From 4f6a4e8338571642ea74def95c2dc881af155923 Mon Sep 17 00:00:00 2001 From: Laura Hermanns Date: Mon, 31 Jul 2023 20:38:12 -0400 Subject: [PATCH] Added reference image for DepthBuffer test. --- tests/Testbed/Reference/DepthBuffer.Ref.tga | Bin 0 -> 1440018 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 tests/Testbed/Reference/DepthBuffer.Ref.tga diff --git a/tests/Testbed/Reference/DepthBuffer.Ref.tga b/tests/Testbed/Reference/DepthBuffer.Ref.tga new file mode 100644 index 0000000000000000000000000000000000000000..e848682530dc81e0c6af9eef57f4eb427afde4b9 GIT binary patch literal 1440018 zcmeI5>yn+vkzFUoFX5l_LLx=U9&79w&+r<&2C0w~Qb-|%=E?12wbijRD|cq136cP6 z^$#j@XX5fL098xpG#dZ#5C8ZNfB*QW|MIJU{ICD?fB1j^1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_@OJ_~laIe={4WF`009U<00Izzz{?4U zUJd2Te+<4Q1Rwwb2tWV=5V(fGU{uriz4&nrHXalL5P$##AOHaf)C3-KGzru&k9swC z_0NM80uX=z1Rwwb2)q*n-hco7AK$N@4earwmmlv0S{?!d5P$##AOL|&2|V=X4fLy0 zx-`7>SBuAn00bZa0SG|gjVJK)pa1+V^{dBE`|*=+KHhlt`~)EY0SG_<0uXoy2|UTk zyXsd?y*~Kc$2<7T$s<7k0uX=z1R(HJ6L?t0&3^S{SR%szd(jj^00I!WkHA0w^FQNPPhan=m#Ai1+0&dkiE8pu zrOJg_r;d%s?~4B8zCS2DF$5q00SG|g6$m`8QTz(ON`}?TRXP11^6?74|5$|p1Rwwb z2)uIy9#=)QwjkHKmY;|cpC})%fI}~<95TZ@GI4-=emEXs+`U*TE&e?0#p_qka`h-mgX+U*Pjlxas>z?_YE!)1e}s8D2tWV= z5O@O#;8)44p4#Sb2==4-?+R8M&EFGrqw=o@j-iUvvhG!?oX$oSHyV#}qm5SS&i`yb z-oQVY{FER70SG|g?IrNIbMPztsu!)&p!zV{)7&|UYI1d_P2K8M9{#WR@%H`!=VuN9 z2tWV=KR$uS9fDt#a`g!N7paei?7 za5k#A@%Yo!f4rH806!}TKmY;|cu=kIvZ8oXgtb|Hcq8GS)tlK z+SAxC*!txHvd zzw6cTzpr!xunYkRKmY=-oxs2U`@iE?qg>%v_|;%kahwdQZ!3+m+2B=i{P@%DK3@At z!gmM(2tWV=O5kz);#c^U>eE>FZv~dq`5nQy(Yz1nMw|Bpbtk_C*!Iz$=B^j2G_@{O z4Wd8|os+9G|BvHCPYG%WKmY;|cqIalGZnwu$Q7?&sdSYF)rZlZ=FUk}ldC&z>ei?7 za5k#AkqoMDD~+<*U{rB@dX!5Jz50(=I!RcD00bZafoB3Ae)u7NwUjIT3crd1HFUjD zrKxqPY7hl#=$uWRIeztgFj7DO0ucB<1U~xcBm8PFSNIivH5gSKCxhzSN~3Hx7*!mf z9_14J>iZn0d|L=W00Peh@T*snEBp$-iUKusy-=m8b*X9)1#0M=O`SP@^?Wu`KmY;| zcrk&GKmHiM!mpnGxf~UzW!-H34Zmea>eUcs$Qi*^r&Mq3e?a!n>us+iVFe)5P-nizfM$FH8=8RY%J{3@02qdm=CFH~u2U8)*Hff_nz zQ)iA}aXUZ&0uXpHfzLks48Ov!RIVPizA&|{`?mti>HLmh+-Tkhbfe9Cg1X~ns+i11 z6*rPW^=+k5HXDp8j!%zr34ZnBbCquh0SH`9;PcNv$FCl8g_|=nK;aB(-euZDX>>%Y^K>z{}NCfb! zXSu?!@GJZZze*=0Ed(F{ffo??^2;yrEBq>H)pNW2oGV=`Kf|gI<7ZdRo%U_x ztey%Lr)Ax%R5_iEDsD6$=1y!D-rnSn{V(d{3_Yh*)HD`)V1;*z&?!c{>`0x6R^qk zy}wOeyh`Px`0CW$^)FbZseP@g8pIcu=kIvZ8oXgtb|Hcq8GUZ#r4Y*cY08C2g^8fCM=sN&e!)S2T~*IpJpI0PWD zC-B{O-{DtIuJ9}T>dCSSi}F#lr@8BeDow3RRf8x{Lw9F z{0hIquTIOtudcl>cyI_nU`gQDzy39TgPlY0yT8brp_F{y7S85sUZM?4T0bO z_P6-eC|CFue)VKoUZ#r4Y*cY08C2g^8fCM=sN(qaD3{<@n=_Uj2teRY0{GQNuJ9}T z3corn3%|Pa*5Iij0D+Of?|%0?{0hHH?zCx_?+NN!`3_(o#&`eb&bHk z@=<(sYVP_MtkTrJR#gq+3sggQdX!7>tMQCw0Rj-XkihSM|9kvuDObFHm846>X<7Fw zRZeH4iW`kbxzWa{bjQn7F`11jZX|>1+e)KsHW*bLJDWOl{OZDsgGYt{1bPC0_`@IY ztG!&|SNPSFWfd0Xqi9ca*9%peT9>K@QJ{wI^eC6$SN$=|6ao;qkN|%5N^*r?;aB+8 zX<7Kyg?9&!3;_r<1pfHPKjK&T)i3|{k;G})F5eT>welUnK8)}F&7FG_u*vnkzfJ95 ztSTn+D^_tMy+YNu)yq^i8(y)BVJlzl+6aCisRFxT!LS@la?F;5V(y1e)TfB!mscv z{OYtU{OYzFgy)3-1WE#b`O9DMEBxx|)2^QXo5X3^F5eT>welUnK8)}F&7FG_u*vnk zzfE1dO68;Y>eSrzFIc6ieXXh*#22WB?(`^^;8*2IO9%l7Tt(oofBh?d^sx-AO zRSlv*4c+NcF2S$P!aMz*PkBEBs2GO6#Ri zr8O0&W!S@hVJwz zm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&h zw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj| zmhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`0 z0KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC z9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8 zb*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xO zQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s z0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{ zjk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkB zEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2 zOlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70o zSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@ z=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2 zn+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCH ziuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+5 z9_2K@QJ{wI^eC6$SLb0%0s#nIMF79T zuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG z>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2 zHmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9 zZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u z5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL) zpoZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21 ziW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g z$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0 zt5i9ijVf+59_2K@QJ{wI^eC6$SLb0% z0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN z701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4< zcfC-hsdcGp5Cv-JPLFa4esvzUBoKhWRRr)W{7Ril>!nboH5I32-K$hNosBAPG#=$f z8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4T< zG^jp|_B3}+qMBUYX;T*#<)dg%bJq)1np&5t22r4f?(`^^;8*8iO9BB1TtxuC!mre+ zv|b8TT2paa*1bxV)7hxvM&nU#v~eok@iJ9RW}}K5$)NhS(kPn^Mis}-rp_F{y6PU` zVIcs4lK_5&U#U}Roy2L`E}cr(N`vadXiszJB&x~Poi=r0Q9g?HGaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWv zmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz3 z3sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$x zD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw* z>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpU zrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI z^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2J zp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)z zHg#c9K8p4!nboH5I32-K$hN zosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%Hy zNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}S zRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>F zfs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9? z7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>u zsNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*V zY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{ z1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*M zGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U* zPjlxas>#)zHg#c9K8p4!nbo zH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B z3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~ zzrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>B zzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G> zcTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPND zaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SL zeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lq zbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1 z<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?s zol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0 ztL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0& zW!S@hVJwzm*7|D zVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@ z4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1 zbgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo z)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i z9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9) z1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0> zy-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsE zsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4Kb zRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2G zO6#Rir8O0&W!S@ zhVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s z8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4W zmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40% z0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4 z$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>j zy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79Tuhglu zUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@? zApn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh z461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaM zz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK z-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx- z5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@B zD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG z`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`U zbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9i zjVf+59_2K@QJ{wI^eC6$SLb0%0s#nI zMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q| z&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8 zFH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa% z;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H z@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0 zm#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6ri zRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePH zbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c z6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$ zSLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&Ab zD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9 zK8p4!nboH5I32-K$hNosBAP zG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL z(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SV zTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7! zg}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BC zXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQB zQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBF zO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{T zN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@ zQJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41w zqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxa zs>#)zHg#c9K8p4!nboH5I32 z-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@w zl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7 zskB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk z;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3 zT-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{B zN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDD zQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P z5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3 zaVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hA zgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV z76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u5 z2wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m z&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj|mhIB1bgeX~ zK8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`00KdYo)Ty*y z3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC9KX8i9^qjj z0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{ zk8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS z*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s0DgsEsZ(j4 z#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{jk4KbRB`NV z>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Ri zr8O0&W!S@hVJwz zm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2OlG5s8_A&h zw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70oSNN4WmDWj| zmhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@=V40%0SH`0 z0KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2n+--4$IhnC z9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCHiuN>jy-=m8 zb*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+59_2K@QJ{wI^eC6$SLb0%0s#nIMF79TuhgluUJ6xO zQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG>K@@?Apn7s z0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2HmbOh461J{ zjk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkB zEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9ZnSYK-SIM2 zOlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u5grx-5I70o zSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL)poZ@BD3{<@ z=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21iW|wG`nJ+2 zn+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g$<>`UbzxCH ziuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0t5i9ijVf+5 z9_2K@QJ{wI^eC6$SLb0%0s#nIMF79T zuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN701q|&K$qG z>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4!nboH5I32-K$hNosBAPG#=$f8>iA8FH^;2 zHmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4TaMz*PkBEBs2GO6#Rir8O0&W!S@hVJwzm*7|DVM_u52wX(~zrwH7skB}SRa#SVTGqWvmDAa%;zr|9 zZnSYK-SIM2OlG5s8_A&hw$dn@4Mr8m&Zf>Bzq;xk;b9>Ffs+7!g}=}H@vE!u z5grx-5I70oSNN4WmDWj|mhIB1bgeX~K8*G>cTS?3T-|9?7Z&BCXisz33sst0m#PL) zpoZ@BD3{<@=V40%0SH`00KdYo)Ty*y3RPNDaaz{BN|n>usNzQBQEs$xD&6riRZM21 ziW|wG`nJ+2n+--4$IhnC9KX8i9^qjj0D+SLeuZDDQ)!*VY1uBFO4mw*>cePHbLS+g z$<>`UbzxCHiuN>jy-=m8b*X9)1#0L{k8%lqbsn}P5P-l{1n?{TN}WpUrBJ0c6{lt0 zt5i9ijVf+59_2K@QJ{wI^eC6$SLb0% z0s#nIMF79TuhgluUJ6xOQ*m0>y-JnS*{I@1<56z3aVp*MGF41wqlz2Jp!&AbD4PvN z701q|&K$qG>K@@?Apn7s0DgsEsZ(j4#A(?sol4hAgX+U*Pjlxas>#)zHg#c9K8p4< zcfC-hsdcGp5Cv-JPLFa4esvzUBoKhWRRr)W{7Ril>!nboH5I32-K$hNosBAPG#=$f z8>iA8FH^;2HmbOh461J{jk4KbRB`NV>df)0tL_mV76K4B3E)@wl{%HyNt~AL(y4T< zG^jp|_B3}+qMBUYX;T*#<)dg%bJq)1np&5t22r4f?(`^^;8*8iO9BB1TtxuC!mre+ zv|b8TT2paa*1bxV)7hxvM&nU#v~eok@iJ9RW}}K5$)NhS(kPn^Mis}-rp_F{y6PU` zVIcs4lK_5&U#U}Roy2L`E}cr(N`vadXiszJB&x~Poi=r0Q9g?HGaMz*PkBEBs2GO6#Rir8O0&W!tFx+yYIgH_S7%P+t9;)~Be|NOJh zKKu03Pe1wOlaD|C_@j?L`tZXKABXDWq9{Hi=` z2_XQ1+X&!SFP5vvH+)sIdfI=PTs^+k3*_qYO_oljYfGU@Ybs95x>u=kIvZ8oXgtb| zHcq8GUZ#r4Y*cY08C2g^8fCM=sN&e!)S2T~w_PGUF9aaq2=MyVixmsMQpH+2m9CWr z)rZlZ=FUk}ldC&z>cXOY6zys5dZ9{F>r&Mq3e?b@9_14J%AK_25P-mK1n?{TN?*Ks z)M`!pTE%Hu_bOFRXQPT6jYqlB#;J72%TzI$jVf*=gX-H#qii-9RUA8;I&=K$wi|@! zg#ZK^0(}0}%M^>(uhhPlPNi$5LG@v@r@31kB4+F`11jZX|>1+e)KsHW*bLJDWOl{OZE% zgGYt{1bPDe{lQmNEc^<;!mpBI;aB}J%M=0-xR3yTgayzScMXmUaJDU^$)N z5sVwn`+#n=c~4MxidU(86kna1yZ!~MG_|i)RfG5f)zBr0YFhm2!n=bQCi5#+aU;D#)wk8lR5lx4v5Mm)QB8|q-Fa*9)DVEck^uky;6|}{{Yuqq=~TK_ z8dM)fdzw2ZQBAJyw5bb=@=>&>x$A{0O|45+gD6l#*9cV_;aAHumNf`K;93Iw`_7|c z;aB+8L%_UD6_eSh;zly4zO6LMW`j}1agwN}#jmctGI($ZKwwYcyYIgH_S7%P+t9;)~Be|NOJhKKu03Pe1wOlaD|C_@j?L`tZXKABXDW$bB5ikJJ2d z1w5{Z$JO%m|NS2?6g*yKc)Td_c;Vu49Y1{qfXBZ({P+ippZw&ZG4H+i-p{_@$K!i= z*~chR%>sUfU*T8DweYL`0m~)?AaE@K{Hl?w#|Xc|ukb7U>e>r}2ZsOzz7GLjziJc< zzrwHZEBxyF9I$*_2teRw0=z%y6brw?ukb7U>gL;lXNLd;z9#`b|Eg3h{0hIqukfqy zdAjn=Apn7!3E)@wm8w)(>la>F*8P`aD5vvRWQZHh*I{s@hcdm=NAb4=eH8z8;N10p zVK7bY-xaI|@h=DtU6QD#<@4KbzA1Qi2teQk1o-=dr(*H?m5SEVsdTM0s6LGLGapx)s+i116*rPW^=+k5HXDp8jvJv$BmC+Grz_tC0uZ>I0AHUWDHeW(U*T8y z)#djBj}HL|ycPm{{VP>0{0hIqukfqaa@z|8+^CnwIwmFTW#rdsR;{uU{qC!mnO@wDJuh z009W_@8div7Jh|a;aB(-Hv$A80D;#?fPa7Rp;-78euZD*SFiD0<-39a1R#K4;a4hG zk9zqzSGrbyhE*TN&#sy~_eoYw&gWn8`B$9q5P$##enJADeDcZ1AAkJOM<0Fo;fIe; zx_JEG*vGN__-Z?kFVXY(5-J9~bN67XIbmK7R3wUwrVv2T!m6 zJVfQa_ul*2_xpH!4=?+8dZ+JY|K;^7RV)>!W!$&y{EFip0uX?} zPfmc>uU=KLUM5$MZ}kGXdVG^tGOMTMy;JF?iqo?0RjQoMMin<2k8&gY3cvcv&sBZ` z5P$##@GJc4$+DJCrE8@@^<_`qi^y^(s|P zXQPT6jYqi=euZDX3Fj(52M9m_0uKUwe*0dr@GJZ({u|C+*Z+ggX=?v1=W1|DRFkAH z!qBvQeNk=%2tWV=ua^LSe{iW-_!WND8C9GmgX-H#qiojL)H!N|Dvj`~*L$w=y+Hs1 z5WuhSt7maq7UesDeHh>Un>+U=V3YIy;4AqRM;`o41uS=hxPbdT93cc z_4vDBk6ZQe52-x;3wMuy7w@4NPs;KB`|rQ^-g`g$ejktT;bk9>AN|E2&&u>l1%7pv zU%fMboOuukKmY;|ctZ%_SEYC1SMQQvy&-?r_-Q}@0uX?}RRkW2#p_qevhb_7$gi&Y z)5^m_00Izzz*|HBzrwGcg(?;0R}k&P_|-$_&V3Qlr~EDYbHq;-0uX=z1R#(I@cCD& zSiFAqY+1cZmDAa%WF!4Pq=f(kAOHafyki6&cje<&<@4JgqJ>|*F28!m{*3TA5P$## zAOL|^C4gT&zl4Qf?fvRif19xn0SG_<0uZ>30Iy#?DHeY9>{qw_5#V_t009U<00KV* z0p1_H>ixkV;0SG_<0w)2!zUVvi`qlZ1O9BB1KmY;|fWS{e0Ka-${OTw9 z?ZFQU0SG_<0uX?}%LqIai+>;I1(x-I_JWTmp)LRWvR^*F6$Bsv0SG_<0&gaPhbKG) zWBNK;d_Pv1Rwwb2teR1C4gV`e)X3Avhvf000bZa0SG|g zrzLRn`-49%d46;VKmY;|fB*#EVFC}udRIUH>K*=7