diff --git a/test/unit/ArgumentTest.php b/test/unit/ArgumentTest.php new file mode 100644 index 0000000..294f191 --- /dev/null +++ b/test/unit/ArgumentTest.php @@ -0,0 +1,16 @@ +getKey()); + self::assertEquals($value, $arg->getValue()); + } +} \ No newline at end of file