diff --git a/.github/workflows/github-actions-build-deb-package.yml b/.github/workflows/github-actions-build-deb-package.yml deleted file mode 100644 index 741c832fe8b..00000000000 --- a/.github/workflows/github-actions-build-deb-package.yml +++ /dev/null @@ -1,87 +0,0 @@ -name: Build deb packages - -on: - push: - branches: - - master - -jobs: - builder: - name: 'Build .deb package' - strategy: - fail-fast: false - matrix: - os: ['debian11', 'ubuntu20.04', 'ubuntu22.04'] - runs-on: ubuntu-latest - container: - image: openroad/${{ matrix.os }}-dev - - steps: - - uses: actions/checkout@v4 - with: - submodules: true - - - name: Set release version - id: set_version - run: | - git config --global --add safe.directory '*' - echo "RELEASE_VERSION=$(git describe | sed 's/v//')" >> $GITHUB_ENV - echo "RELEASE_DATE=$(date +'%Y-%m-%d')" >> $GITHUB_ENV - - - name: Build package - run: | - ./debian/create-changelog.sh ${{ env.RELEASE_VERSION }} - debuild --preserve-env --preserve-envvar=PATH -B - mkdir -p artifacts - mv ../openroad_* artifacts - - - name: Rename artifact - id: artifact - run: | - cd artifacts - file=$(realpath openroad_*.deb) - artifact=$(echo $file | sed 's/\.\([^.]*\)$/-${{ matrix.os }}.\1/') - mv $file $artifact - echo "file=$(realpath $artifact)" >> $GITHUB_OUTPUT - name=$(basename $artifact) - name=${name%.deb} - echo "name=$name" >> $GITHUB_OUTPUT - - - name: Upload workflow artifact - uses: actions/upload-artifact@v3 - with: - name: ${{ steps.artifact.outputs.name }} - path: ${{ steps.artifact.outputs.file }} - - - name: Get release info - uses: cardinalby/git-get-release-action@v1 - env: - GITHUB_TOKEN: ${{ secrets.GITHUB_TOKEN }} - with: - tag_name: ${{ env.RELEASE_VERSION }} - release_name: ${{ env.RELEASE_VERSION }} - doNotFailIfNotFound: true - id: release_info - - - name: Create release if not exists - if: steps.release_info.outputs.name == '' - uses: actions/create-release@v1 - env: - GITHUB_TOKEN: ${{ secrets.GITHUB_TOKEN }} - with: - tag_name: ${{ env.RELEASE_VERSION }} - release_name: ${{ env.RELEASE_VERSION }} - body: "Release ${{ env.RELEASE_VERSION }} on ${{ env.RELEASE_DATE }}" - id: create_release - - - name: Upload Release Asset - id: upload-release-asset - uses: actions/upload-release-asset@v1 - env: - GITHUB_TOKEN: ${{ secrets.GITHUB_TOKEN }} - with: - upload_url: ${{ steps.release_info.outputs.upload_url || steps.create_release.outputs.upload_url }} - asset_path: ${{ steps.artifact.outputs.file }} - asset_name: ${{ steps.artifact.outputs.name }}-${{ env.RELEASE_VERSION }}.deb - asset_content_type: application/octet-stream - diff --git a/CMakeLists.txt b/CMakeLists.txt index 9c9331268bd..ceb735ae84c 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -88,6 +88,7 @@ project(OpenROAD VERSION 1 ) set(OPENROAD_HOME ${PROJECT_SOURCE_DIR}) +set(OPENROAD_SHARE ${CMAKE_INSTALL_PREFIX}/share/openroad) # Default c++ standard used unless otherwise specified in target_compile_features. set(CMAKE_CXX_STANDARD 17 CACHE STRING "the C++ standard to use for this project") @@ -178,13 +179,15 @@ target_compile_definitions(openroad PRIVATE ENABLE_CHARTS) # Build man pages (Optional) -# Use the processor_count command to get the number of cores -include(ProcessorCount) -ProcessorCount(PROCESSOR_COUNT) -message("Number of processor cores: ${PROCESSOR_COUNT}") - option(BUILD_MAN "Enable building man pages" OFF) if(BUILD_MAN) + message(STATUS "man is enabled") + + # Use the processor_count command to get the number of cores + include(ProcessorCount) + ProcessorCount(PROCESSOR_COUNT) + message(STATUS "Number of processor cores: ${PROCESSOR_COUNT}") + add_custom_target( man_page ALL COMMAND make clean && make preprocess && make all -j${PROCESSOR_COUNT} @@ -193,6 +196,5 @@ if(BUILD_MAN) # Based on ${CMAKE_INSTALL_PREFIX}, we want to go to ${CMAKE_INSTALL_PREFIX}/share/man set(MANPAGE_DIR ${OPENROAD_HOME}/docs/cat) - install(DIRECTORY ${MANPAGE_DIR} DESTINATION ${CMAKE_INSTALL_PREFIX}/share/man) - + install(DIRECTORY ${MANPAGE_DIR} DESTINATION ${OPENROAD_SHARE}/man) endif() diff --git a/Jenkinsfile b/Jenkinsfile index 8b555cb1c71..8f47f404aab 100644 --- a/Jenkinsfile +++ b/Jenkinsfile @@ -4,7 +4,7 @@ def baseTests(String image) { Map base_tests = [failFast: false]; base_tests['Unit Tests CTest'] = { - docker.image(image).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage('Setup CTest') { echo 'Nothing to be done.'; } @@ -27,7 +27,7 @@ def baseTests(String image) { base_tests['Unit Tests Tcl'] = { node { - docker.image(image).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage('Setup Tcl Tests') { sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; checkout scm; @@ -68,7 +68,7 @@ def baseTests(String image) { flow_tests.each { current_test -> base_tests["Flow Test - ${current_test}"] = { node { - docker.image(image).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage("Setup ${current_test}") { sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; checkout scm; @@ -104,7 +104,7 @@ def getParallelTests(String image) { 'Build without GUI': { node { - docker.image(image).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage('Setup no-GUI Build') { echo "Build without GUI"; sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; @@ -121,7 +121,7 @@ def getParallelTests(String image) { 'Build without Test': { node { - docker.image(image).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage('Setup no-test Build') { echo "Build without Tests"; sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; @@ -148,7 +148,7 @@ def getParallelTests(String image) { 'Unit Tests Ninja': { node { - docker.image(image).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage('Setup Ninja Tests') { sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; checkout scm; @@ -174,7 +174,7 @@ def getParallelTests(String image) { 'Compile with C++20': { node { - docker.image('openroad/ubuntu-cpp20').inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + withDockerContainer(args: '-u root', image: image) { stage('Setup C++20 Compile') { sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; checkout scm; @@ -188,22 +188,75 @@ def getParallelTests(String image) { ]; + if (env.BRANCH_NAME == 'master') { + deb_os = [ + [name: 'Ubuntu 20.04' , artifact_name: 'ubuntu-20.04', image: 'openroad/ubuntu20.04-dev'], + [name: 'Ubuntu 22.04' , artifact_name: 'ubuntu-22.04', image: 'openroad/ubuntu22.04-dev'], + [name: 'Debian 11' , artifact_name: 'debian11', image: 'openroad/debian11-dev'] + ]; + deb_os.each { os -> + ret["Build .deb - ${os.name}"] = { + node { + stage('Setup and Build') { + sh label: 'Pull latest image', script: "docker pull ${os.image}:latest"; + withDockerContainer(args: '-u root', image: "${os.image}") { + sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; + checkout([ + $class: 'GitSCM', + branches: [[name: scm.branches[0].name]], + doGenerateSubmoduleConfigurations: false, + extensions: [ + [$class: 'CloneOption', noTags: false], + [$class: 'SubmoduleOption', recursiveSubmodules: true] + ], + submoduleCfg: [], + userRemoteConfigs: scm.userRemoteConfigs + ]); + def version = sh(script: 'git describe | sed s,^v,,', returnStdout: true).trim(); + sh label: 'Create Changelog', script: "./debian/create-changelog.sh ${version}"; + sh label: 'Run debuild', script: 'debuild --preserve-env --preserve-envvar=PATH -B -j$(nproc)'; + sh label: 'Move generated files', script: "./debian/move-artifacts.sh ${version} ${os.artifact_name}"; + archiveArtifacts artifacts: '*' + "${version}" + '*'; + } + } + } + } + } + } + return ret; } -timeout(time: 2, unit: 'HOURS') { - node { - stage('Checkout') { - checkout scm; - } - def DOCKER_IMAGE; - stage('Build and Push Docker Image') { - DOCKER_IMAGE = dockerPush('ubuntu22.04', 'openroad'); - echo "Docker image is ${DOCKER_IMAGE}"; - } - parallel(getParallelTests(DOCKER_IMAGE)); - stage('Send Email Report') { - sendEmail(); +node { + stage('Checkout') { + checkout scm; + } + def DOCKER_IMAGE; + stage('Build, Test and Push Docker Image') { + Map build_docker_images = [failFast: false]; + test_os = [ + [name: 'Ubuntu 20.04', base: 'ubuntu:20.04', image: 'ubuntu20.04'], + [name: 'Ubuntu 22.04', base: 'ubuntu:22.04', image: 'ubuntu22.04'], + [name: 'Ubuntu 24.04', base: 'ubuntu:24.04', image: 'ubuntu24.04'], + [name: 'RockyLinux 9', base: 'rockylinux:9', image: 'rockylinux9'], + [name: 'Debian 11', base: 'debian:11', image: 'debian11'] + ]; + test_os.each { os -> + build_docker_images["Test Installer - ${os.name}"] = { + node { + checkout scm; + sh label: 'Build Docker image', script: "./etc/DockerHelper.sh create -target=builder -os=${os.image}"; + sh label: 'Test Docker image', script: "./etc/DockerHelper.sh test -target=builder -os=${os.image}"; + dockerPush("${os.image}", 'openroad'); + } + } } + parallel(build_docker_images); + DOCKER_IMAGE = dockerPush('ubuntu22.04', 'openroad'); + echo "Docker image is ${DOCKER_IMAGE}"; + } + parallel(getParallelTests(DOCKER_IMAGE)); + stage('Send Email Report') { + sendEmail(); } } diff --git a/README.md b/README.md index 56dc01aad82..b2ece2e49cd 100644 --- a/README.md +++ b/README.md @@ -44,7 +44,9 @@ flowchart LR subgraph ORFlow direction TB style ORFlow fill:#ffffff00, stroke-width:0px - A[Verilog\n+ libraries\n + constraints] --> FLOW + A[Verilog + + libraries + + constraints] --> FLOW style A fill:#74c2b5,stroke:#000000,stroke-width:4px subgraph FLOW style FLOW fill:#FFFFFF00,stroke-width:4px @@ -64,7 +66,8 @@ flowchart LR style G fill:#ff6666,stroke:#000000,stroke-width:4px end - FLOW --> H[GDSII\n Final Layout] + FLOW --> H[GDSII + Final Layout] %% H --- H1[ ] %% style H1 stroke-width:0px, fill: #FFFFFF00 %% linkStyle 11 stroke-width:0px diff --git a/debian/create-changelog.sh b/debian/create-changelog.sh index 320f475f707..901e4541cd5 100755 --- a/debian/create-changelog.sh +++ b/debian/create-changelog.sh @@ -8,5 +8,7 @@ fi cat > debian/changelog < on %ad") + * Automated release + +$(git log --date=rfc -1 --pretty=format:" -- %an <%ae> %ad") EOF diff --git a/debian/move-artifacts.sh b/debian/move-artifacts.sh new file mode 100755 index 00000000000..d2329ab9b9a --- /dev/null +++ b/debian/move-artifacts.sh @@ -0,0 +1,14 @@ +#!/usr/bin/env bash + +if [[ $# -ne 2 ]]; then + echo "Error: This script requires exactly one argument." + echo "usage: $0 " + exit 1 +fi + +for file in ../*${1}*; do + base_name=$(basename "$file") + name="${base_name%.*}" + ext="${base_name##*.}" + mv "$file" "${name}-${2}.${ext}" +done diff --git a/debian/rules b/debian/rules index 4512dc18b7b..b62ff4b8fc9 100755 --- a/debian/rules +++ b/debian/rules @@ -18,4 +18,5 @@ override_dh_shlibdeps: override_dh_install: dh_install --sourcedir=/opt +override_dh_auto_test: override_dh_dwz: diff --git a/docker/Dockerfile.cpp20 b/docker/Dockerfile.cpp20 deleted file mode 100644 index 5335ef90ad0..00000000000 --- a/docker/Dockerfile.cpp20 +++ /dev/null @@ -1,26 +0,0 @@ -# create image with all dependencies to compiler openroad app -# copy source code to the docker image and compile the app -# NOTE: don't use this file directly unless you know what you are doing, -# instead use etc/DockerHelper.sh - -FROM openroad/ubuntu22.04-dev:latest - -# Install clang -RUN apt-get install -y lsb-release wget software-properties-common gnupg \ - && wget https://apt.llvm.org/llvm.sh \ - && chmod +x llvm.sh \ - && ./llvm.sh 16 all \ - && rm llvm.sh - -# Install Patched LEMON # Current LEMON does not compile with C++20 -# https://lemon.cs.elte.hu/trac/lemon/ticket/631#no1 -RUN apt-get install -y mercurial \ - && hg clone http://lemon.cs.elte.hu/hg/lemon \ - && cd lemon \ - && hg co a278d16bd2d082aa3c52ff4a9b0e2224ddc0549a \ - && wget https://raw.githubusercontent.com/The-OpenROAD-Project/OpenROAD/master/third-party/lemon/patches/allocator-patch.patch \ - && hg import allocator-patch.patch \ - && cmake -B build \ - && cmake --build build \ - && cmake --build build --target install \ - && cd .. && rm -rf lemon diff --git a/etc/DependencyInstaller.sh b/etc/DependencyInstaller.sh index e84680711e0..42fd1bbb077 100755 --- a/etc/DependencyInstaller.sh +++ b/etc/DependencyInstaller.sh @@ -17,9 +17,6 @@ _equivalenceDeps() { # yosys yosysPrefix=${PREFIX:-"/usr/local"} if [[ ! $(command -v yosys) || ! $(command -v yosys-config) ]]; then ( - if [[ -f /opt/rh/llvm-toolset-7.0/enable ]]; then - source /opt/rh/llvm-toolset-7.0/enable - fi cd "${baseDir}" git clone --depth=1 -b "${yosysVersion}" --recursive https://github.com/YosysHQ/yosys cd yosys @@ -32,9 +29,6 @@ _equivalenceDeps() { # eqy eqyPrefix=${PREFIX:-"/usr/local"} if ! command -v eqy &> /dev/null; then ( - if [[ -f /opt/rh/llvm-toolset-7.0/enable ]]; then - source /opt/rh/llvm-toolset-7.0/enable - fi cd "${baseDir}" git clone --depth=1 -b "${eqyYosysVersion}" https://github.com/YosysHQ/eqy cd eqy @@ -47,9 +41,6 @@ _equivalenceDeps() { # sby sbyPrefix=${PREFIX:-"/usr/local"} if ! command -v sby &> /dev/null; then ( - if [[ -f /opt/rh/llvm-toolset-7.0/enable ]]; then - source /opt/rh/llvm-toolset-7.0/enable - fi cd "${baseDir}" git clone --depth=1 -b "${eqyYosysVersion}" --recursive https://github.com/YosysHQ/sby cd sby @@ -263,10 +254,10 @@ EOF _installOrTools() { os=$1 - version=$2 + osVersion=$2 arch=$3 - orToolsVersionBig=9.10 - orToolsVersionSmall=${orToolsVersionBig}.4067 + orToolsVersionBig=9.11 + orToolsVersionSmall=${orToolsVersionBig}.4210 rm -rf "${baseDir}" mkdir -p "${baseDir}" @@ -275,7 +266,7 @@ _installOrTools() { # Disable exit on error for 'find' command, as it might return non zero set +euo pipefail - LIST=($(find / -type f -name "libortools.so*" 2>/dev/null)) + LIST=($(find /local* /opt* /lib* /usr* /bin* -type f -name "libortools.so*" 2>/dev/null)) # Bring back exit on error set -euo pipefail # Return if right version of or-tools is installed @@ -296,10 +287,10 @@ _installOrTools() { ${cmakePrefix}/bin/cmake -S. -Bbuild -DBUILD_DEPS:BOOL=ON -DBUILD_EXAMPLES:BOOL=OFF -DBUILD_SAMPLES:BOOL=OFF -DBUILD_TESTING:BOOL=OFF -DCMAKE_INSTALL_PREFIX=${orToolsPath} -DCMAKE_CXX_FLAGS="-w" -DCMAKE_C_FLAGS="-w" ${cmakePrefix}/bin/cmake --build build --config Release --target install -v -j $(nproc) else - if [[ $version == rodete ]]; then - version=11 + if [[ $osVersion == rodete ]]; then + osVersion=11 fi - orToolsFile=or-tools_${arch}_${os}-${version}_cpp_v${orToolsVersionSmall}.tar.gz + orToolsFile=or-tools_${arch}_${os}-${osVersion}_cpp_v${orToolsVersionSmall}.tar.gz eval wget https://github.com/google/or-tools/releases/download/v${orToolsVersionBig}/${orToolsFile} if command -v brew &> /dev/null; then orToolsPath="$(brew --prefix or-tools)" @@ -389,17 +380,12 @@ _installRHELCleanUp() { _installRHELPackages() { arch=amd64 - version=3.1.11.1 + pandocVersion=3.1.11.1 yum -y update - if [[ $(yum repolist | egrep -c "rhel-8-for-x86_64-appstream-rpms") -eq 0 ]]; then - yum -y install http://mirror.centos.org/centos/8-stream/BaseOS/x86_64/os/Packages/centos-gpg-keys-8-6.el8.noarch.rpm - yum -y install http://mirror.centos.org/centos/8-stream/BaseOS/x86_64/os/Packages/centos-stream-repos-8-6.el8.noarch.rpm - rpm --import /etc/pki/rpm-gpg/RPM-GPG-KEY-centosofficial - fi yum -y install tzdata yum -y install redhat-rpm-config rpm-build - yum -y install https://dl.fedoraproject.org/pub/epel/epel-release-latest-8.noarch.rpm + yum -y install https://dl.fedoraproject.org/pub/epel/epel-release-latest-9.noarch.rpm yum -y install \ autoconf \ automake \ @@ -410,11 +396,11 @@ _installRHELPackages() { gdb \ git \ glibc-devel \ - libtool \ libffi-devel \ - llvm7.0 \ - llvm7.0-devel \ - llvm7.0-libs \ + libtool \ + llvm \ + llvm-devel \ + llvm-libs \ make \ pcre-devel \ pcre2-devel \ @@ -425,10 +411,9 @@ _installRHELPackages() { python3-devel \ python3-pip \ qt5-qtbase-devel \ + qt5-qtcharts-devel \ qt5-qtimageformats \ readline \ - readline-devel \ - tcl-devel \ tcl-tclreadline \ tcl-tclreadline-devel \ tcl-thread-devel \ @@ -437,65 +422,14 @@ _installRHELPackages() { zlib-devel yum install -y \ - http://repo.okay.com.mx/centos/8/x86_64/release/bison-3.0.4-10.el8.x86_64.rpm \ - https://forensics.cert.org/centos/cert/7/x86_64/flex-2.6.1-9.el7.x86_64.rpm - - eval wget https://github.com/jgm/pandoc/releases/download/${version}/pandoc-${version}-linux-${arch}.tar.gz - tar xvzf pandoc-${version}-linux-${arch}.tar.gz --strip-components 1 -C /usr/local/ - rm -rf pandoc-${version}-linux-${arch}.tar.gz -} - -_installCentosCleanUp() { - yum clean -y all - rm -rf /var/lib/apt/lists/* -} - -_installCentosPackages() { - yum update -y - yum install -y tzdata - yum groupinstall -y "Development Tools" - if ! command -v lcov &> /dev/null; then - yum install -y http://downloads.sourceforge.net/ltp/lcov-1.14-1.noarch.rpm - fi - if ! command -v yum list installed ius-release &> /dev/null; then - yum install -y https://repo.ius.io/ius-release-el7.rpm - fi - if ! command -v yum list installed epel-release &> /dev/null; then - yum install -y https://dl.fedoraproject.org/pub/epel/epel-release-latest-7.noarch.rpm - fi - yum install -y centos-release-scl - yum install -y \ - devtoolset-8 \ - devtoolset-8-libatomic-devel \ - groff \ - libffi-devel \ - libgomp \ - libstdc++ \ - llvm-toolset-7.0 \ - llvm-toolset-7.0-libomp-devel \ - pandoc \ - pcre-devel \ - pcre2-devel \ - python-devel \ - python36 \ - python36-devel \ - python36-libs \ - python36-pip \ - qt5-qtbase-devel \ - qt5-qtimageformats \ - readline-devel \ - rh-python38-python \ - rh-python38-python-libs \ - rh-python38-python-pip \ - rh-python38-scldevel \ - tcl \ - tcl-devel \ - tcl-tclreadline \ - tcl-tclreadline-devel \ - tcllib \ - wget \ - ccache \ - zlib-devel + https://mirror.stream.centos.org/9-stream/AppStream/x86_64/os/Packages/bison-3.7.4-5.el9.x86_64.rpm \ + https://mirror.stream.centos.org/9-stream/AppStream/x86_64/os/Packages/flex-2.6.4-9.el9.x86_64.rpm \ + https://mirror.stream.centos.org/9-stream/AppStream/x86_64/os/Packages/readline-devel-8.1-4.el9.x86_64.rpm \ + https://rpmfind.net/linux/centos-stream/9-stream/AppStream/x86_64/os/Packages/tcl-devel-8.6.10-7.el9.x86_64.rpm + + eval wget https://github.com/jgm/pandoc/releases/download/${pandocVersion}/pandoc-${pandocVersion}-linux-${arch}.tar.gz + tar xvzf pandoc-${pandocVersion}-linux-${arch}.tar.gz --strip-components 1 -C /usr/local/ + rm -rf pandoc-${pandocVersion}-linux-${arch}.tar.gz } _installOpenSuseCleanUp() { @@ -667,7 +601,9 @@ _installCI() { apt-transport-https \ ca-certificates \ curl \ + gnupg \ jq \ + lsb-release \ parallel \ software-properties-common @@ -697,6 +633,14 @@ _installCI() { docker-ce-cli \ containerd.io \ docker-buildx-plugin + + if _versionCompare ${1} -lt 24.04; then + # Install clang for C++20 support + wget https://apt.llvm.org/llvm.sh + chmod +x llvm.sh + ./llvm.sh 16 all + fi + } _checkIsLocal() { @@ -866,50 +810,39 @@ case "${platform}" in esac case "${os}" in - "CentOS Linux" ) - if [[ ${CI} == "yes" ]]; then - echo "WARNING: Installing CI dependencies is only supported on Ubuntu 22.04" >&2 - fi - if [[ "${option}" == "base" || "${option}" == "all" ]]; then - _checkIsLocal - _installCentosPackages - _installCentosCleanUp - fi - if [[ "${option}" == "common" || "${option}" == "all" ]]; then - _installCommonDev - _installOrTools "centos" "7" "amd64" - fi - cat <&2 fi @@ -920,7 +853,7 @@ EOF fi if [[ "${option}" == "common" || "${option}" == "all" ]]; then _installCommonDev - _installOrTools "centos" "8" "amd64" + _installOrTools "rockylinux" "9" "amd64" fi ;; "Darwin" ) @@ -955,21 +888,21 @@ To enable GCC-11 you need to run: EOF ;; "Debian GNU/Linux" | "Debian GNU/Linux rodete" ) - version=$(awk -F= '/^VERSION_ID/{print $2}' /etc/os-release | sed 's/"//g') - if [[ -z ${version} ]]; then - version=$(awk -F= '/^VERSION_CODENAME/{print $2}' /etc/os-release | sed 's/"//g') + debianVersion=$(awk -F= '/^VERSION_ID/{print $2}' /etc/os-release | sed 's/"//g') + if [[ -z ${debianVersion} ]]; then + debianVersion=$(awk -F= '/^VERSION_CODENAME/{print $2}' /etc/os-release | sed 's/"//g') fi if [[ ${CI} == "yes" ]]; then echo "WARNING: Installing CI dependencies is only supported on Ubuntu 22.04" >&2 fi if [[ "${option}" == "base" || "${option}" == "all" ]]; then _checkIsLocal - _installDebianPackages "${version}" + _installDebianPackages "${debianVersion}" _installDebianCleanUp fi if [[ "${option}" == "common" || "${option}" == "all" ]]; then _installCommonDev - _installOrTools "debian" "${version}" "amd64" + _installOrTools "debian" "${debianVersion}" "amd64" fi ;; *) diff --git a/etc/DockerHelper.sh b/etc/DockerHelper.sh index de32db17aff..e66938fe772 100755 --- a/etc/DockerHelper.sh +++ b/etc/DockerHelper.sh @@ -8,6 +8,7 @@ baseDir="$(pwd)" # docker hub organization/user from where to pull/push images org=openroad depsPrefixesFile="/etc/openroad_deps_prefixes.txt" +args=("${@}") _help() { cat <&2 @@ -90,7 +96,7 @@ _setup() { imageName="${IMAGE_NAME_OVERRIDE:-"${imageName}-${compiler}"}" ;; "dev" ) - fromImage="${FROM_IMAGE_OVERRIDE:-$osBaseImage}" + fromImage="${FROM_IMAGE_OVERRIDE:-${osBaseImage}}" context="etc" buildArgs="-save-deps-prefixes=${depsPrefixesFile}" if [[ "${isLocal}" == "yes" ]]; then @@ -99,7 +105,7 @@ _setup() { if [[ "${equivalenceDeps}" == "yes" ]]; then buildArgs="${buildArgs} -eqy" fi - if [[ "$CI" == "yes" ]]; then + if [[ "${CI}" == "yes" ]]; then buildArgs="${buildArgs} -ci" fi if [[ "${buildArgs}" != "" ]]; then @@ -139,7 +145,56 @@ _test() { docker run --rm "${imagePath}" "./docker/test_wrapper.sh" "${compiler}" "ctest --test-dir build -j ${numThreads}" } +_checkFromImage() { + set +e + # Check if the image exists locally + if docker image inspect "${fromImage}" > /dev/null 2>&1; then + echo "Image '${fromImage}' exists locally." + else + echo "Image '${fromImage}' does not exist locally. Attempting to pull..." + # Try to pull the image + if docker pull "${fromImage}"; then + echo "Successfully pulled '${fromImage}'." + else + echo "Unable to pull '${fromImage}'. Attempting to build..." + # Build the image using the createImage command + newArgs="" + newTarget="" + for arg in "${args[@]}"; do + # Check if the argument matches -target=builder + if [[ "${arg}" == "-target=builder" ]]; then + newTarget="dev" + elif [[ "${arg}" == "-target=binary" ]]; then + newTarget="builder" + else + newArgs+=" ${arg}" + fi + done + if [[ "${newTarget}" == "" ]]; then + echo "Error" + exit 1 + fi + newArgs+=" -target=${newTarget}" + createImage="$0 ${newArgs}" + echo "Running: ${createImage}" + if ${createImage}; then + echo "Successfully built '${newTarget}' image." + else + echo "Failed to build '${newTarget}' needed for '${target}' target." + return 1 + fi + fi + fi + set -e +} + _create() { + if [[ "${target}" == "binary" ]]; then + _checkFromImage "builder" + fi + if [[ "${target}" == "builder" ]]; then + _checkFromImage "dev" + fi echo "Create docker image ${imagePath} using ${file}" eval docker buildx build \ --file "${file}" \ @@ -260,9 +315,9 @@ while [ "$#" -gt 0 ]; do done if [[ "${numThreads}" == "-1" ]]; then - if [[ "$OSTYPE" == "linux-gnu"* ]]; then + if [[ "${OSTYPE}" == "linux-gnu"* ]]; then numThreads=$(nproc --all) - elif [[ "$OSTYPE" == "darwin"* ]]; then + elif [[ "${OSTYPE}" == "darwin"* ]]; then numThreads=$(sysctl -n hw.ncpu) else numThreads=2 diff --git a/flake.nix b/flake.nix index 12240ccf66b..4e9b2041040 100644 --- a/flake.nix +++ b/flake.nix @@ -29,6 +29,17 @@ openroad = (nixpkgs.lib.callPackageWith pkgs) ./default.nix { flake = self; }; + openroad-release = all.openroad.overrideAttrs (fa: pa: { + pname = "openroad-release"; + version = "24Q3"; + src = pkgs.fetchFromGitHub { + owner = "The-OpenROAD-Project"; + repo = "OpenROAD"; + rev = fa.version; + fetchSubmodules = true; + sha256 = "sha256-Ye9XJcoUxtg031eazT4qrexvyN0jZHd8/kmvAr/lPzk="; + }; + }); default = all.openroad; }; in diff --git a/include/ord/InitOpenRoad.hh b/include/ord/InitOpenRoad.hh index 9d6a17cbe74..95323a1a61f 100644 --- a/include/ord/InitOpenRoad.hh +++ b/include/ord/InitOpenRoad.hh @@ -37,8 +37,12 @@ #include +#include + namespace ord { // Call this inside of Tcl_Main. -void initOpenRoad(Tcl_Interp* interp); +void initOpenRoad(Tcl_Interp* interp, + const char* log_filename, + const char* metrics_filename); } // namespace ord diff --git a/include/ord/OpenRoad.hh b/include/ord/OpenRoad.hh index 05f9b4f20f6..4c220270274 100644 --- a/include/ord/OpenRoad.hh +++ b/include/ord/OpenRoad.hh @@ -166,7 +166,9 @@ class OpenRoad // OpenRoad object and/or any other tools they need to reference. static OpenRoad* openRoad(); static void setOpenRoad(OpenRoad* app, bool reinit_ok = false); - void init(Tcl_Interp* tcl_interp); + void init(Tcl_Interp* tcl_interp, + const char* log_filename, + const char* metrics_filename); Tcl_Interp* tclInterp() { return tcl_interp_; } utl::Logger* getLogger() { return logger_; } diff --git a/include/ord/Tech.h b/include/ord/Tech.h index 29d8399b166..40c28e98bf5 100644 --- a/include/ord/Tech.h +++ b/include/ord/Tech.h @@ -60,7 +60,9 @@ class Tech public: // interp is only passed by standalone OR as it gets its // interpreter from Tcl_Main. - Tech(Tcl_Interp* interp = nullptr); + Tech(Tcl_Interp* interp = nullptr, + const char* log_filename = nullptr, + const char* metrics_filename = nullptr); ~Tech(); void readLef(const std::string& file_name); diff --git a/jenkins/Jenkinsfile.coverage b/jenkins/Jenkinsfile.coverage index 01a0f8b00b9..cad7c504b6e 100644 --- a/jenkins/Jenkinsfile.coverage +++ b/jenkins/Jenkinsfile.coverage @@ -1,49 +1,55 @@ @Library('utils@or-v2.0.1') _ -timeout(time: 2, unit: 'HOURS') { - node { +node { - stage('Checkout'){ - checkout scm; - } + stage('Checkout'){ + checkout scm; + } - def DOCKER_IMAGE; - stage('Build and Push Docker Image') { - DOCKER_IMAGE = dockerPush('ubuntu22.04', 'openroad'); - echo "Docker image is ${DOCKER_IMAGE}"; - } + def DOCKER_IMAGE; + stage('Build and Push Docker Image') { + DOCKER_IMAGE = dockerPush('ubuntu22.04', 'openroad'); + echo "Docker image is ${DOCKER_IMAGE}"; + } - docker.image(DOCKER_IMAGE).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { - stage('Build for Coverage') { - catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { - sh label: 'Build OpenROAD', script: './etc/Build.sh -no-warnings -coverage'; - } + docker.image(DOCKER_IMAGE).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock') { + stage('Build for Coverage') { + catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { + sh label: 'Build OpenROAD', script: './etc/Build.sh -no-warnings -coverage'; } + } - stage('Dynamic Code Coverage') { - catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { + stage('Dynamic Code Coverage') { + catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { + timeout(time: 2, unit: 'HOURS') { sh './etc/CodeCoverage.sh dynamic'; } } + } - stage('Publish Code Coverage') { - catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { - publishHTML([ - allowMissing: false, - alwaysLinkToLastBuild: false, - keepAll: false, - reportDir: 'coverage-output', - reportFiles: 'index.html', - reportName: 'Dynamic Code Coverage', - reportTitles: '' - ]); - } + stage('Publish Code Coverage') { + catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { + publishHTML([ + allowMissing: false, + alwaysLinkToLastBuild: false, + keepAll: false, + reportDir: 'coverage-output', + reportFiles: 'index.html', + reportName: 'Dynamic Code Coverage', + reportTitles: '' + ]); } } - stage('Send Email Report') { - sendEmail(); + stage('Save Artifacts') { + sh label: "Save code coverage results", script: "find . -name results -type d -exec tar zcvf code-coverage.tgz {} '+'"; + archiveArtifacts artifacts: "code-coverage.tgz"; } } + + stage('Send Email Report') { + sendEmail(); + } + } diff --git a/jenkins/Jenkinsfile.coverity b/jenkins/Jenkinsfile.coverity index 0436eccd01f..9a006a8a1fe 100644 --- a/jenkins/Jenkinsfile.coverity +++ b/jenkins/Jenkinsfile.coverity @@ -1,38 +1,38 @@ @Library('utils@or-v2.0.1') _ -timeout(time: 2, unit: 'HOURS') { - node { +node { - stage('Checkout'){ - checkout scm; - } + stage('Checkout'){ + checkout scm; + } - def DOCKER_IMAGE; - stage('Build and Push Docker Image') { - DOCKER_IMAGE = dockerPush('ubuntu22.04', 'openroad'); - echo "Docker image is ${DOCKER_IMAGE}"; - } + def DOCKER_IMAGE; + stage('Build and Push Docker Image') { + DOCKER_IMAGE = dockerPush('ubuntu22.04', 'openroad'); + echo "Docker image is ${DOCKER_IMAGE}"; + } - docker.image(DOCKER_IMAGE).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock -v /home/jenkins/cov-latest:/root/cov-latest') { - stage("Setup") { - sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; - } - stage('Run Static Code Coverage') { - catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { - withCredentials([string(credentialsId: 'COVERITY_TOKEN', variable: 'TOKEN')]) { + docker.image(DOCKER_IMAGE).inside('--user=root --privileged -v /var/run/docker.sock:/var/run/docker.sock -v /home/jenkins/cov-latest:/root/cov-latest') { + stage("Setup") { + sh label: 'Configure git', script: "git config --system --add safe.directory '*'"; + } + stage('Run Static Code Coverage') { + catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { + withCredentials([string(credentialsId: 'COVERITY_TOKEN', variable: 'TOKEN')]) { + timeout(time: 2, unit: 'HOURS') { sh label: 'CodeCoverage Script', script: ''' export PATH=/root/cov-latest/bin:$PATH ./etc/CodeCoverage.sh static ${TOKEN} '''; } } - archiveArtifacts artifacts: 'openroad.tgz'; } + archiveArtifacts artifacts: 'openroad.tgz'; } + } - stage('Send Email Report') { - sendEmail(); - } - + stage('Send Email Report') { + sendEmail(); } + } diff --git a/jenkins/Jenkinsfile.nightly b/jenkins/Jenkinsfile.nightly index 6bc1a6a63e0..c7c8a0ec864 100644 --- a/jenkins/Jenkinsfile.nightly +++ b/jenkins/Jenkinsfile.nightly @@ -46,7 +46,9 @@ node { } stage("Run ${name}") { catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { - sh "python3 src/drt/test/run-ispd.py --program ${WORKSPACE}/build/src/openroad --tests ispd${ispd_year}_test${test_to_run}"; + timeout(time: 8, unit: 'HOURS') { + sh "python3 src/drt/test/run-ispd.py --program ${WORKSPACE}/build/src/openroad --tests ispd${ispd_year}_test${test_to_run}"; + } } } stage("Save ${name} Results") { @@ -68,7 +70,9 @@ node { } stage('Run GPL Tests') { catchError(buildResult: 'FAILURE', stageResult: 'FAILURE') { - sh './src/gpl/test/regression-large'; + timeout(time: 2, unit: 'HOURS') { + sh './src/gpl/test/regression-large'; + } } } stage('Save GPL Results') { diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt index ab7f828106b..cf33b31a136 100755 --- a/src/CMakeLists.txt +++ b/src/CMakeLists.txt @@ -280,6 +280,7 @@ if (NOT USE_SYSTEM_OPENSTA) if (TCL_READLINE_LIBRARY AND TCL_READLINE_H) # Pass along tcl readline enablement to OpenSTA build set(USE_TCL_READLINE ON) + set(CMAKE_POLICY_DEFAULT_CMP0077 NEW) endif() add_subdirectory(sta) endif() @@ -483,11 +484,6 @@ endif() # executable install(TARGETS openroad DESTINATION bin) - -if(BUILD_MAN) - install(DIRECTORY ${MANPAGE_DIR} DESTINATION ${CMAKE_INSTALL_PREFIX}/share/man) -endif() - ################################################################ add_custom_target(openroad_tags etags -o TAGS diff --git a/src/Main.cc b/src/Main.cc index 8329340e018..0e6886655d4 100644 --- a/src/Main.cc +++ b/src/Main.cc @@ -117,9 +117,10 @@ FOREACH_TOOL(X) int cmd_argc; char** cmd_argv; -const char* log_filename = nullptr; -const char* metrics_filename = nullptr; -bool no_settings = false; +static const char* log_filename = nullptr; +static const char* metrics_filename = nullptr; +static bool no_settings = false; +static bool minimize = false; static const char* init_filename = ".openroad"; @@ -207,8 +208,16 @@ static volatile sig_atomic_t fatal_error_in_progress = 0; // When we enter through main() we have a single tech and design. // Custom applications using OR as a library might define multiple. // Such applications won't allocate or use these objects. -static std::unique_ptr the_tech; -static std::unique_ptr the_design; +// +// Use a wrapper struct to ensure destruction ordering - design +// then tech (members are destroyed in reverse order). +struct TechAndDesign +{ + std::unique_ptr tech; + std::unique_ptr design; +}; + +static TechAndDesign the_tech_and_design; static void handler(int sig) { @@ -267,6 +276,7 @@ int main(int argc, char* argv[]) } no_settings = findCmdLineFlag(argc, argv, "-no_settings"); + minimize = findCmdLineFlag(argc, argv, "-minimize"); cmd_argc = argc; cmd_argv = argv; @@ -276,10 +286,11 @@ int main(int argc, char* argv[]) // Setup the app with tcl auto* interp = Tcl_CreateInterp(); Tcl_Init(interp); - the_tech = std::make_unique(interp); - the_design = std::make_unique(the_tech.get()); - ord::OpenRoad::setOpenRoad(the_design->getOpenRoad()); - ord::initOpenRoad(interp); + the_tech_and_design.tech = std::make_unique(interp); + the_tech_and_design.design + = std::make_unique(the_tech_and_design.tech.get()); + ord::OpenRoad::setOpenRoad(the_tech_and_design.design->getOpenRoad()); + ord::initOpenRoad(interp, log_filename, metrics_filename); if (!findCmdLineFlag(cmd_argc, cmd_argv, "-no_splash")) { showSplash(); } @@ -412,7 +423,7 @@ static int tclAppInit(int& argc, ; } - gui::startGui(argc, argv, interp, "", true, !no_settings); + gui::startGui(argc, argv, interp, "", true, !no_settings, minimize); } else { // init tcl if (Tcl_Init(interp) == TCL_ERROR) { @@ -446,7 +457,7 @@ static int tclAppInit(int& argc, } #endif - ord::initOpenRoad(interp); + ord::initOpenRoad(interp, log_filename, metrics_filename); bool no_splash = findCmdLineFlag(argc, argv, "-no_splash"); if (!no_splash) { @@ -532,15 +543,16 @@ static int tclAppInit(int& argc, int ord::tclAppInit(Tcl_Interp* interp) { - the_tech = std::make_unique(interp); - the_design = std::make_unique(the_tech.get()); - ord::OpenRoad::setOpenRoad(the_design->getOpenRoad()); + the_tech_and_design.tech = std::make_unique(interp); + the_tech_and_design.design + = std::make_unique(the_tech_and_design.tech.get()); + ord::OpenRoad::setOpenRoad(the_tech_and_design.design->getOpenRoad()); // This is to enable Design.i where a design arg can be // retrieved from the interpreter. This is necessary for // cases with more than one interpreter (ie more than one Design). // This should replace the use of the singleton OpenRoad::openRoad(). - Tcl_SetAssocData(interp, "design", nullptr, the_design.get()); + Tcl_SetAssocData(interp, "design", nullptr, the_tech_and_design.design.get()); return ord::tclInit(interp); } @@ -554,7 +566,7 @@ static void showUsage(const char* prog, const char* init_filename) { printf("Usage: %s [-help] [-version] [-no_init] [-no_splash] [-exit] ", prog); printf("[-gui] [-threads count|max] [-log file_name] [-metrics file_name] "); - printf("[-no_settings] cmd_file\n"); + printf("[-no_settings] [-minimize] cmd_file\n"); printf(" -help show help and exit\n"); printf(" -version show version and exit\n"); printf(" -no_init do not read %s init file\n", init_filename); @@ -562,6 +574,7 @@ static void showUsage(const char* prog, const char* init_filename) printf(" -no_splash do not show the license splash at startup\n"); printf(" -exit exit after reading cmd_file\n"); printf(" -gui start in gui mode\n"); + printf(" -minimize start the gui minimized\n"); printf(" -no_settings do not load the previous gui settings\n"); #ifdef ENABLE_PYTHON3 printf( diff --git a/src/OpenRoad.cc b/src/OpenRoad.cc index fc46d46587f..a89c5515ff9 100644 --- a/src/OpenRoad.cc +++ b/src/OpenRoad.cc @@ -98,10 +98,6 @@ extern int Odbtcl_Init(Tcl_Interp* interp); extern int Upf_Init(Tcl_Interp* interp); } -// Main.cc set by main() -extern const char* log_filename; -extern const char* metrics_filename; - namespace ord { using odb::dbBlock; @@ -176,12 +172,16 @@ void OpenRoad::setOpenRoad(OpenRoad* app, bool reinit_ok) //////////////////////////////////////////////////////////////// -void initOpenRoad(Tcl_Interp* interp) +void initOpenRoad(Tcl_Interp* interp, + const char* log_filename, + const char* metrics_filename) { - OpenRoad::openRoad()->init(interp); + OpenRoad::openRoad()->init(interp, log_filename, metrics_filename); } -void OpenRoad::init(Tcl_Interp* tcl_interp) +void OpenRoad::init(Tcl_Interp* tcl_interp, + const char* log_filename, + const char* metrics_filename) { tcl_interp_ = tcl_interp; diff --git a/src/Tech.cc b/src/Tech.cc index 65d9380caaa..1540b1e859e 100644 --- a/src/Tech.cc +++ b/src/Tech.cc @@ -44,12 +44,15 @@ namespace ord { -Tech::Tech(Tcl_Interp* interp) : app_(new OpenRoad()) +Tech::Tech(Tcl_Interp* interp, + const char* log_filename, + const char* metrics_filename) + : app_(new OpenRoad()) { if (!interp) { interp = Tcl_CreateInterp(); Tcl_Init(interp); - app_->init(interp); + app_->init(interp, log_filename, metrics_filename); } } diff --git a/src/cts/include/cts/TritonCTS.h b/src/cts/include/cts/TritonCTS.h index 0c0cad89ad5..d8c207b4888 100644 --- a/src/cts/include/cts/TritonCTS.h +++ b/src/cts/include/cts/TritonCTS.h @@ -58,6 +58,8 @@ class Clock; class dbNetwork; class Unit; class LibertyCell; +class Vertex; +class Graph; } // namespace sta namespace stt { @@ -119,15 +121,18 @@ class TritonCTS // db functions bool masterExists(const std::string& master) const; void populateTritonCTS(); - void writeClockNetsToDb(Clock& clockNet, std::set& clkLeafNets); + void writeClockNetsToDb(TreeBuilder* builder, + std::set& clkLeafNets); void writeClockNDRsToDb(const std::set& clkLeafNets); void incrementNumClocks() { ++numberOfClocks_; } void clearNumClocks() { numberOfClocks_ = 0; } unsigned getNumClocks() const { return numberOfClocks_; } void initOneClockTree(odb::dbNet* driverNet, + odb::dbNet* clkInputNet, const std::string& sdcClockName, TreeBuilder* parent); - TreeBuilder* initClock(odb::dbNet* net, + TreeBuilder* initClock(odb::dbNet* firstNet, + odb::dbNet* clkInputNet, const std::string& sdcClock, TreeBuilder* parentBuilder); void disconnectAllSinksFromNet(odb::dbNet* net); @@ -135,7 +140,8 @@ class TritonCTS void checkUpstreamConnections(odb::dbNet* net); void createClockBuffers(Clock& clockNet, odb::dbModule* parent); HTreeBuilder* initClockTreeForMacrosAndRegs( - odb::dbNet*& net, + odb::dbNet*& firstNet, + odb::dbNet* clkInputNet, const std::unordered_set& buffer_masters, Clock& ClockNet, TreeBuilder* parentBuilder); @@ -155,7 +161,8 @@ class TritonCTS Clock& clockNet, const std::vector>& registerSinks, odb::dbNet*& firstNet, - odb::dbNet*& secondNet); + odb::dbNet*& secondNet, + std::string& topBufferName); void computeITermPosition(odb::dbITerm* term, int& x, int& y) const; void countSinksPostDbWrite(TreeBuilder* builder, odb::dbNet* net, @@ -200,11 +207,18 @@ class TritonCTS ClockInst& dummyClock); void printClockNetwork(const Clock& clockNet) const; void balanceMacroRegisterLatencies(); - void computeAveSinkArrivals(TreeBuilder* builder); + float getVertexClkArrival(sta::Vertex* sinkVertex, + odb::dbNet* topNet, + odb::dbITerm* iterm); + void computeAveSinkArrivals(TreeBuilder* builder, sta::Graph* graph); + void computeSinkArrivalRecur(odb::dbNet* topClokcNet, + odb::dbITerm* iterm, + float& sumArrivals, + unsigned& numSinks, + sta::Graph* graph); void adjustLatencies(TreeBuilder* macroBuilder, TreeBuilder* registerBuilder); void computeTopBufferDelay(TreeBuilder* builder); odb::dbInst* insertDelayBuffer(odb::dbInst* driver, - int index, const std::string& clockName, int locX, int locY); @@ -232,6 +246,11 @@ class TritonCTS // root buffer and sink bufer candidates std::vector rootBuffers_; std::vector sinkBuffers_; + + // register tree root buffer indices + unsigned regTreeRootBufIndex_ = 0; + // index for delay buffer added for latency adjustment + unsigned delayBufIndex_ = 0; }; } // namespace cts diff --git a/src/cts/src/HTreeBuilder.cpp b/src/cts/src/HTreeBuilder.cpp index efb8081f2ad..665b7151971 100644 --- a/src/cts/src/HTreeBuilder.cpp +++ b/src/cts/src/HTreeBuilder.cpp @@ -1954,8 +1954,7 @@ void HTreeBuilder::createSingleBufferClockNet() void HTreeBuilder::plotSolution() { - static int cnt = 0; - auto name = std::string("plot") + std::to_string(cnt++) + ".py"; + auto name = std::string("plot_") + clock_.getName() + ".py"; std::ofstream file(name); file << "import numpy as np\n"; file << "import matplotlib.pyplot as plt\n"; diff --git a/src/cts/src/TreeBuilder.h b/src/cts/src/TreeBuilder.h index 8ac102bc759..9323d6e80c5 100644 --- a/src/cts/src/TreeBuilder.h +++ b/src/cts/src/TreeBuilder.h @@ -247,6 +247,12 @@ class TreeBuilder void setTopBufferDelay(float delay) { topBufferDelay_ = delay; } odb::dbInst* getTopBuffer() const { return topBuffer_; } void setTopBuffer(odb::dbInst* inst) { topBuffer_ = inst; } + std::string getTopBufferName() const { return topBufferName_; } + void setTopBufferName(std::string name) { topBufferName_ = std::move(name); } + odb::dbNet* getTopInputNet() const { return topInputNet_; } + void setTopInputNet(odb::dbNet* net) { topInputNet_ = net; } + odb::dbNet* getDrivingNet() const { return drivingNet_; } + void setDrivingNet(odb::dbNet* net) { drivingNet_ = net; } protected: CtsOptions* options_ = nullptr; @@ -276,6 +282,9 @@ class TreeBuilder float aveArrival_ = 0.0; float topBufferDelay_ = 0.0; odb::dbInst* topBuffer_ = nullptr; + std::string topBufferName_; + odb::dbNet* drivingNet_ = nullptr; + odb::dbNet* topInputNet_ = nullptr; }; } // namespace cts diff --git a/src/cts/src/TritonCTS.cpp b/src/cts/src/TritonCTS.cpp index 6dbaefdc4ef..52c1aff048b 100644 --- a/src/cts/src/TritonCTS.cpp +++ b/src/cts/src/TritonCTS.cpp @@ -55,7 +55,12 @@ #include "ord/OpenRoad.hh" #include "rsz/Resizer.hh" #include "sta/Fuzzy.hh" +#include "sta/Graph.hh" +#include "sta/GraphDelayCalc.hh" #include "sta/Liberty.hh" +#include "sta/PathAnalysisPt.hh" +#include "sta/PathEnd.hh" +#include "sta/PathExpanded.hh" #include "sta/PatternMatch.hh" #include "sta/Sdc.hh" #include "utl/Logger.h" @@ -268,6 +273,7 @@ void TritonCTS::buildClockTrees() } void TritonCTS::initOneClockTree(odb::dbNet* driverNet, + odb::dbNet* clkInputNet, const std::string& sdcClockName, TreeBuilder* parent) { @@ -276,7 +282,7 @@ void TritonCTS::initOneClockTree(odb::dbNet* driverNet, logger_->info( CTS, 116, "Special net \"{}\" skipped.", driverNet->getName()); } else { - clockBuilder = initClock(driverNet, sdcClockName, parent); + clockBuilder = initClock(driverNet, clkInputNet, sdcClockName, parent); } // Treat gated clocks as separate clock trees // TODO: include sinks from gated clocks together with other sinks and build @@ -293,7 +299,8 @@ void TritonCTS::initOneClockTree(odb::dbNet* driverNet, if (visitedClockNets_.find(outputNet) == visitedClockNets_.end() && !openSta_->sdc()->isLeafPinClock( network_->dbToSta(outputPin))) { - initOneClockTree(outputNet, sdcClockName, clockBuilder); + initOneClockTree( + outputNet, clkInputNet, sdcClockName, clockBuilder); } } } @@ -453,7 +460,7 @@ void TritonCTS::writeDataToDb() std::unordered_set clkDummies; for (TreeBuilder* builder : *builders_) { - writeClockNetsToDb(builder->getClock(), clkLeafNets); + writeClockNetsToDb(builder, clkLeafNets); if (options_->applyNDR()) { writeClockNDRsToDb(clkLeafNets); } @@ -934,7 +941,7 @@ void TritonCTS::populateTritonCTS() // Initializes the net in TritonCTS. If the number of sinks is less than // 2, the net is discarded. if (visitedClockNets_.find(net) == visitedClockNets_.end()) { - initOneClockTree(net, clkName, nullptr); + initOneClockTree(net, net, clkName, nullptr); } } else { logger_->warn( @@ -955,6 +962,7 @@ void TritonCTS::populateTritonCTS() } TreeBuilder* TritonCTS::initClock(odb::dbNet* firstNet, + odb::dbNet* clkInputNet, const std::string& sdcClock, TreeBuilder* parentBuilder) { @@ -1010,7 +1018,7 @@ TreeBuilder* TritonCTS::initClock(odb::dbNet* firstNet, // Build a clock tree to drive macro cells with insertion delays // separated from registers or leaves without insertion delays HTreeBuilder* builder = initClockTreeForMacrosAndRegs( - firstNet, buffer_masters, clockNet, parentBuilder); + firstNet, clkInputNet, buffer_masters, clockNet, parentBuilder); return builder; } @@ -1033,6 +1041,7 @@ TreeBuilder* TritonCTS::initClock(odb::dbNet* firstNet, // HTreeBuilder* TritonCTS::initClockTreeForMacrosAndRegs( odb::dbNet*& firstNet, + odb::dbNet* clkInputNet, const std::unordered_set& buffer_masters, Clock& clockNet, TreeBuilder* parentBuilder) @@ -1093,12 +1102,14 @@ HTreeBuilder* TritonCTS::initClockTreeForMacrosAndRegs( "macros"); if (firstBuilder) { firstBuilder->setTreeType(TreeType::MacroTree); + firstBuilder->setTopInputNet(clkInputNet); } // create a new net 'secondNet' to drive register sinks odb::dbNet* secondNet; - Clock clockNet2 - = forkRegisterClockNetwork(clockNet, registerSinks, firstNet, secondNet); + std::string topBufferName; + Clock clockNet2 = forkRegisterClockNetwork( + clockNet, registerSinks, firstNet, secondNet, topBufferName); // add register sinks to secondNet HTreeBuilder* secondBuilder = addClockSinks( @@ -1109,6 +1120,9 @@ HTreeBuilder* TritonCTS::initClockTreeForMacrosAndRegs( "registers"); if (secondBuilder) { secondBuilder->setTreeType(TreeType::RegisterTree); + secondBuilder->setTopBufferName(topBufferName); + secondBuilder->setDrivingNet(firstNet); + secondBuilder->setTopInputNet(clkInputNet); } return secondBuilder; @@ -1137,7 +1151,8 @@ bool TritonCTS::separateMacroRegSinks( if (iterm->isInputSignal() && inst->isPlaced()) { odb::dbMTerm* mterm = iterm->getMTerm(); - if (hasInsertionDelay(inst, mterm)) { + // Treat clock gaters like macro sink + if (hasInsertionDelay(inst, mterm) || !isSink(iterm)) { macroSinks.emplace_back(inst, mterm); } else { registerSinks.emplace_back(inst, mterm); @@ -1185,7 +1200,8 @@ Clock TritonCTS::forkRegisterClockNetwork( Clock& clockNet, const std::vector>& registerSinks, odb::dbNet*& firstNet, - odb::dbNet*& secondNet) + odb::dbNet*& secondNet, + std::string& topBufferName) { // create a new clock net to drive register sinks std::string newClockName = clockNet.getName() + "_regs"; @@ -1213,11 +1229,10 @@ Clock TritonCTS::forkRegisterClockNetwork( // create a new clock buffer odb::dbMaster* master = db_->findMaster(options_->getRootBuffer().c_str()); - std::string cellName = "clkbuf_regs_0_" + clockNet.getSdcName(); - + topBufferName = "clkbuf_regs_" + std::to_string(regTreeRootBufIndex_++) + "_" + + clockNet.getSdcName(); odb::dbInst* clockBuf = odb::dbInst::create( - block_, master, cellName.c_str(), false, target_module); - + block_, master, topBufferName.c_str(), false, target_module); odb::dbITerm* inputTerm = getFirstInput(clockBuf); odb::dbITerm* outputTerm = clockBuf->getFirstOutput(); inputTerm->connect(firstNet); @@ -1262,26 +1277,25 @@ void TritonCTS::computeITermPosition(odb::dbITerm* term, int& x, int& y) const } }; -void TritonCTS::writeClockNetsToDb(Clock& clockNet, +void TritonCTS::writeClockNetsToDb(TreeBuilder* builder, std::set& clkLeafNets) { + Clock& clockNet = builder->getClock(); odb::dbNet* topClockNet = clockNet.getNetObj(); // gets the module for the driver for the net odb::dbModule* top_module = network_->getNetDriverParentModule(network_->dbToSta(topClockNet)); - const std::string topRegBufferName = "clkbuf_regs_0_" + clockNet.getSdcName(); - odb::dbInst* topRegBuffer = block_->findInst(topRegBufferName.c_str()); - odb::dbNet* topNet = nullptr; - if (topRegBuffer) { - topNet = getFirstInput(topRegBuffer)->getNet(); - } - disconnectAllSinksFromNet(topClockNet); // re-connect top buffer that separates macros from registers - if (topRegBuffer) { - getFirstInput(topRegBuffer)->connect(topNet); + if (builder->getTreeType() == TreeType::RegisterTree) { + odb::dbInst* topRegBuffer + = block_->findInst(builder->getTopBufferName().c_str()); + if (topRegBuffer) { + odb::dbITerm* topRegBufferInputPin = getFirstInput(topRegBuffer); + topRegBufferInputPin->connect(builder->getDrivingNet()); + } } createClockBuffers(clockNet, top_module); @@ -2048,53 +2062,90 @@ void TritonCTS::balanceMacroRegisterLatencies() return; } - for (TreeBuilder* registerBuilder : *builders_) { + // Visit builders from bottom up such that latencies are adjusted near bottom + // trees first + openSta_->ensureGraph(); + openSta_->searchPreamble(); + openSta_->ensureClkNetwork(); + sta::Graph* graph = openSta_->graph(); + for (auto iter = builders_->rbegin(); iter != builders_->rend(); ++iter) { + TreeBuilder* registerBuilder = *iter; if (registerBuilder->getTreeType() == TreeType::RegisterTree) { TreeBuilder* macroBuilder = registerBuilder->getParent(); if (macroBuilder) { - computeAveSinkArrivals(registerBuilder); - computeAveSinkArrivals(macroBuilder); + // Update graph information after possible buffers inserted + openSta_->updateTiming(false); + computeAveSinkArrivals(registerBuilder, graph); + computeAveSinkArrivals(macroBuilder, graph); adjustLatencies(macroBuilder, registerBuilder); } } } } -void TritonCTS::computeAveSinkArrivals(TreeBuilder* builder) +float TritonCTS::getVertexClkArrival(sta::Vertex* sinkVertex, + odb::dbNet* topNet, + odb::dbITerm* iterm) +{ + sta::VertexPathIterator pathIter(sinkVertex, openSta_); + float clkPathArrival = 0.0; + while (pathIter.hasNext()) { + sta::Path* path = pathIter.next(); + if (path->clkEdge(openSta_)->transition() != sta::RiseFall::rise()) { + // only populate with rising edges + continue; + } + + if (path->dcalcAnalysisPt(openSta_)->delayMinMax() != sta::MinMax::max()) { + continue; + // only populate with max delay + } + + const sta::Clock* clock = path->clock(openSta_); + if (clock) { + sta::PathExpanded expand(path, openSta_); + const sta::PathRef* start = expand.startPath(); + + odb::dbNet* pathStartNet = nullptr; + + odb::dbITerm* term; + odb::dbBTerm* port; + odb::dbModITerm* modIterm; + odb::dbModBTerm* modBterm; + network_->staToDb(start->pin(openSta_), term, port, modIterm, modBterm); + if (term) { + pathStartNet = term->getNet(); + } + if (port) { + pathStartNet = port->getNet(); + } + if (pathStartNet == topNet) { + clkPathArrival = path->arrival(openSta_); + return clkPathArrival; + } + } + } + logger_->warn(CTS, 2, "No paths found for pin {}.", iterm->getName()); + return clkPathArrival; +} + +void TritonCTS::computeAveSinkArrivals(TreeBuilder* builder, sta::Graph* graph) { Clock clock = builder->getClock(); + odb::dbNet* topInputClockNet = clock.getNetObj(); + if (builder->getTopInputNet() != nullptr) { + topInputClockNet = builder->getTopInputNet(); + } // compute average input arrival at all sinks - float arrival = 0.0; - float ins_delay = 0.0; + float sumArrivals = 0.0; + unsigned numSinks = 0; clock.forEachSink([&](const ClockInst& sink) { odb::dbITerm* iterm = sink.getDbInputPin(); - odb::dbInst* inst = iterm->getInst(); - sta::Pin* pin = network_->dbToSta(iterm); - // ignore arrival fall (no inverters in current clock tree) - arrival - += openSta_->pinArrival(pin, sta::RiseFall::rise(), sta::MinMax::max()); - // add insertion delay - ins_delay = 0.0; - sta::LibertyCell* libCell = network_->libertyCell(network_->dbToSta(inst)); - odb::dbMTerm* mterm = iterm->getMTerm(); - if (libCell && mterm) { - sta::LibertyPort* libPort - = libCell->findLibertyPort(mterm->getConstName()); - if (libPort) { - const float rise = libPort->clkTreeDelay( - 0.0, sta::RiseFall::rise(), sta::MinMax::max()); - const float fall = libPort->clkTreeDelay( - 0.0, sta::RiseFall::fall(), sta::MinMax::max()); - - if (rise != 0 || fall != 0) { - ins_delay = (rise + fall) / 2.0; - } - } - } - arrival += ins_delay; + computeSinkArrivalRecur( + topInputClockNet, iterm, sumArrivals, numSinks, graph); }); - arrival = arrival / (float) clock.getNumSinks(); - builder->setAveSinkArrival(arrival); + float aveArrival = sumArrivals / (float) numSinks; + builder->setAveSinkArrival(aveArrival); debugPrint(logger_, CTS, "insertion delay", @@ -2106,6 +2157,65 @@ void TritonCTS::computeAveSinkArrivals(TreeBuilder* builder) builder->getAveSinkArrival()); } +void TritonCTS::computeSinkArrivalRecur(odb::dbNet* topClokcNet, + odb::dbITerm* iterm, + float& sumArrivals, + unsigned& numSinks, + sta::Graph* graph) +{ + if (iterm) { + odb::dbInst* inst = iterm->getInst(); + if (inst) { + if (isSink(iterm)) { + // either register or macro input pin + sta::Pin* pin = network_->dbToSta(iterm); + if (pin) { + sta::Vertex* sinkVertex = graph->pinDrvrVertex(pin); + float arrival = getVertexClkArrival(sinkVertex, topClokcNet, iterm); + // add insertion delay + float insDelay = 0.0; + sta::LibertyCell* libCell + = network_->libertyCell(network_->dbToSta(inst)); + odb::dbMTerm* mterm = iterm->getMTerm(); + if (libCell && mterm) { + sta::LibertyPort* libPort + = libCell->findLibertyPort(mterm->getConstName()); + if (libPort) { + const float rise = libPort->clkTreeDelay( + 0.0, sta::RiseFall::rise(), sta::MinMax::max()); + const float fall = libPort->clkTreeDelay( + 0.0, sta::RiseFall::fall(), sta::MinMax::max()); + + if (rise != 0 || fall != 0) { + insDelay = (rise + fall) / 2.0; + } + } + } + sumArrivals += (arrival + insDelay); + numSinks++; + } + } else { + // not a sink, but a clock gater + odb::dbITerm* outTerm = inst->getFirstOutput(); + if (outTerm) { + odb::dbNet* outNet = outTerm->getNet(); + if (outNet) { + odb::dbSet iterms = outNet->getITerms(); + odb::dbSet::iterator iter; + for (iter = iterms.begin(); iter != iterms.end(); ++iter) { + odb::dbITerm* inTerm = *iter; + if (inTerm->getIoType() == odb::dbIoType::INPUT) { + computeSinkArrivalRecur( + topClokcNet, inTerm, sumArrivals, numSinks, graph); + } + } + } + } + } + } + } +} + // Balance latencies between macro tree and register tree // by adding delay buffers to one tree void TritonCTS::adjustLatencies(TreeBuilder* macroBuilder, @@ -2170,7 +2280,6 @@ void TritonCTS::adjustLatencies(TreeBuilder* macroBuilder, = builder->legalizeOneBuffer(bufferLoc, options_->getRootBuffer()); odb::dbInst* buffer = insertDelayBuffer(driver, - i, builder->getClock().getSdcName(), legalBufferLoc.getX() * scalingFactor, legalBufferLoc.getY() * scalingFactor); @@ -2188,7 +2297,7 @@ void TritonCTS::computeTopBufferDelay(TreeBuilder* builder) Clock clock = builder->getClock(); std::string topBufferName; if (builder->getTreeType() == TreeType::RegisterTree) { - topBufferName = "clkbuf_regs_0_" + clock.getSdcName(); + topBufferName = builder->getTopBufferName(); } else { topBufferName = "clkbuf_0_" + clock.getName(); } @@ -2222,20 +2331,19 @@ void TritonCTS::computeTopBufferDelay(TreeBuilder* builder) // Create a new delay buffer and connect output pin of driver to input pin of // new buffer. Output pin of new buffer will be connected later. odb::dbInst* TritonCTS::insertDelayBuffer(odb::dbInst* driver, - int index, const std::string& clockName, int locX, int locY) { // creat a new input net std::string newNetName - = "delaynet_" + std::to_string(index) + "_" + clockName; + = "delaynet_" + std::to_string(delayBufIndex_) + "_" + clockName; odb::dbNet* newNet = odb::dbNet::create(block_, newNetName.c_str()); newNet->setSigType(odb::dbSigType::CLOCK); // create a new delay buffer std::string newBufName - = "delaybuf_" + std::to_string(index) + "_" + clockName; + = "delaybuf_" + std::to_string(delayBufIndex_++) + "_" + clockName; odb::dbMaster* master = db_->findMaster(options_->getRootBuffer().c_str()); odb::dbInst* newBuf = odb::dbInst::create(block_, master, newBufName.c_str()); diff --git a/src/cts/test/balance_levels.defok b/src/cts/test/balance_levels.defok index 37dd5644672..27fc13e0e6d 100644 --- a/src/cts/test/balance_levels.defok +++ b/src/cts/test/balance_levels.defok @@ -4,103 +4,58 @@ BUSBITCHARS "[]" ; DESIGN multi_sink ; UNITS DISTANCE MICRONS 2000 ; DIEAREA ( 0 0 ) ( 200000 200000 ) ; -COMPONENTS 413 ; +COMPONENTS 368 ; - CELL/CKGATE BUF_X1 + PLACED ( 100000 100000 ) N ; - clkbuf_0_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 103228 140117 ) N ; - - clkbuf_0_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 103228 51229 ) N ; + - clkbuf_0_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 100250 101225 ) N ; + - clkbuf_0_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 103228 51229 ) N ; + - clkbuf_1_0__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 100250 87225 ) N ; - clkbuf_4_0__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 35318 119627 ) N ; - - clkbuf_4_0__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 37046 24385 ) N ; + - clkbuf_4_0__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 37181 26644 ) N ; - clkbuf_4_10__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 169474 113337 ) N ; - - clkbuf_4_10__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 169474 24449 ) N ; + - clkbuf_4_10__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 169474 24449 ) N ; - clkbuf_4_11__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 176988 120851 ) N ; - - clkbuf_4_11__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 176988 31963 ) N ; + - clkbuf_4_11__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 176988 31963 ) N ; - clkbuf_4_12__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 136180 148710 ) N ; - - clkbuf_4_12__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 135454 59367 ) N ; + - clkbuf_4_12__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 135454 59367 ) N ; - clkbuf_4_13__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 138264 161152 ) N ; - - clkbuf_4_13__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 137599 71599 ) N ; + - clkbuf_4_13__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 137599 71599 ) N ; - clkbuf_4_14__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 175261 148092 ) N ; - - clkbuf_4_14__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 175738 59340 ) N ; + - clkbuf_4_14__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 175738 59340 ) N ; - clkbuf_4_15__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 173171 159411 ) N ; - - clkbuf_4_15__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 173942 71102 ) N ; + - clkbuf_4_15__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 173942 71102 ) N ; - clkbuf_4_1__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 31476 129670 ) N ; - - clkbuf_4_1__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 30387 31043 ) N ; + - clkbuf_4_1__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 28978 35266 ) N ; - clkbuf_4_2__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 68717 119605 ) N ; - - clkbuf_4_2__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 64508 26508 ) N ; + - clkbuf_4_2__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 66913 28914 ) N ; - clkbuf_4_3__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 71061 131581 ) N ; - - clkbuf_4_3__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 71219 35368 ) N ; + - clkbuf_4_3__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 69122 41782 ) N ; - clkbuf_4_4__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 31714 150934 ) N ; - - clkbuf_4_4__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 31830 61223 ) N ; + - clkbuf_4_4__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 30369 61835 ) N ; - clkbuf_4_5__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 33478 164353 ) N ; - - clkbuf_4_5__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 33728 74610 ) N ; + - clkbuf_4_5__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 31247 76899 ) N ; - clkbuf_4_6__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 70729 156126 ) N ; - - clkbuf_4_6__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 68707 60992 ) N ; + - clkbuf_4_6__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 68700 66662 ) N ; - clkbuf_4_7__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 64318 165027 ) N ; - - clkbuf_4_7__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 66599 73858 ) N ; + - clkbuf_4_7__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 64650 75806 ) N ; - clkbuf_4_8__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 141948 115396 ) N ; - - clkbuf_4_8__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 141948 26508 ) N ; + - clkbuf_4_8__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 141948 26508 ) N ; - clkbuf_4_9__f_CELL\/clk2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 135237 124256 ) N ; - - clkbuf_4_9__f_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 135237 35368 ) N ; - - clkbuf_level_0_1_1027_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 133484 35513 ) N ; - - clkbuf_level_0_1_10_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 36329 22762 ) N ; - - clkbuf_level_0_1_1130_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 170571 22017 ) N ; - - clkbuf_level_0_1_1233_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 179381 31532 ) N ; - - clkbuf_level_0_1_1336_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 134033 58567 ) N ; - - clkbuf_level_0_1_1439_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 136203 73072 ) N ; - - clkbuf_level_0_1_1542_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 177253 58502 ) N ; - - clkbuf_level_0_1_1645_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 175553 72615 ) N ; - - clkbuf_level_0_1_23_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 27455 30225 ) N ; - - clkbuf_level_0_1_36_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 66780 23660 ) N ; - - clkbuf_level_0_1_49_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 72971 35513 ) N ; - - clkbuf_level_0_1_512_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 30235 60113 ) N ; - - clkbuf_level_0_1_615_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 31658 77098 ) N ; - - clkbuf_level_0_1_718_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 70393 59940 ) N ; - - clkbuf_level_0_1_821_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 68117 75839 ) N ; - - clkbuf_level_0_1_924_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 139675 23660 ) N ; - - clkbuf_level_1_1_1028_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 131732 35659 ) N ; - - clkbuf_level_1_1_1131_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 171668 19585 ) N ; - - clkbuf_level_1_1_11_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 35613 21140 ) N ; - - clkbuf_level_1_1_1234_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 181774 31102 ) N ; - - clkbuf_level_1_1_1337_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 132612 57767 ) N ; - - clkbuf_level_1_1_1440_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 134807 74545 ) N ; - - clkbuf_level_1_1_1543_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 178768 57665 ) N ; - - clkbuf_level_1_1_1646_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 177165 74128 ) N ; - - clkbuf_level_1_1_24_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 24524 29407 ) N ; - - clkbuf_level_1_1_37_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 69053 20813 ) N ; - - clkbuf_level_1_1_410_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 74723 35659 ) N ; - - clkbuf_level_1_1_513_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 28640 59003 ) N ; - - clkbuf_level_1_1_616_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 29589 79586 ) N ; - - clkbuf_level_1_1_719_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 72079 58888 ) N ; - - clkbuf_level_1_1_822_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 69636 77821 ) N ; - - clkbuf_level_1_1_925_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 137402 20813 ) N ; - - clkbuf_level_2_1_1029_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 129980 35805 ) N ; - - clkbuf_level_2_1_1132_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 172765 17153 ) N ; - - clkbuf_level_2_1_1235_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 184167 30671 ) N ; - - clkbuf_level_2_1_12_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 34897 19518 ) N ; - - clkbuf_level_2_1_1338_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 131192 56967 ) N ; - - clkbuf_level_2_1_1441_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 133411 76018 ) N ; - - clkbuf_level_2_1_1544_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 180283 56828 ) N ; - - clkbuf_level_2_1_1647_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 178776 75641 ) N ; - - clkbuf_level_2_1_25_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 21592 28590 ) N ; - - clkbuf_level_2_1_38_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 71326 17965 ) N ; - - clkbuf_level_2_1_411_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 76476 35805 ) N ; - - clkbuf_level_2_1_514_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 27046 57894 ) N ; - - clkbuf_level_2_1_617_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 27520 82074 ) N ; - - clkbuf_level_2_1_720_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 73765 57836 ) N ; - - clkbuf_level_2_1_823_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 71154 79802 ) N ; - - clkbuf_level_2_1_926_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 135130 17965 ) N ; - - clkload0 CLKBUF_X3 + SOURCE TIMING + PLACED ( 37046 24385 ) N ; - - clkload1 CLKBUF_X3 + SOURCE TIMING + PLACED ( 30387 31043 ) N ; - - clkload10 CLKBUF_X3 + SOURCE TIMING + PLACED ( 176988 31963 ) N ; - - clkload11 CLKBUF_X3 + SOURCE TIMING + PLACED ( 135454 59367 ) N ; - - clkload12 CLKBUF_X3 + SOURCE TIMING + PLACED ( 137599 71599 ) N ; - - clkload13 CLKBUF_X3 + SOURCE TIMING + PLACED ( 175738 59340 ) N ; - - clkload14 CLKBUF_X3 + SOURCE TIMING + PLACED ( 173942 71102 ) N ; + - clkbuf_4_9__f_clk_regs CLKBUF_X3 + SOURCE TIMING + PLACED ( 135237 35368 ) N ; + - clkbuf_regs_0_clk CLKBUF_X3 + SOURCE TIMING + PLACED ( 99481 48451 ) N ; + - clkload0 INV_X2 + SOURCE TIMING + PLACED ( 37181 26644 ) N ; + - clkload1 INV_X4 + SOURCE TIMING + PLACED ( 28978 35266 ) N ; + - clkload10 INV_X2 + SOURCE TIMING + PLACED ( 176988 31963 ) N ; + - clkload11 INV_X2 + SOURCE TIMING + PLACED ( 135454 59367 ) N ; + - clkload12 INV_X2 + SOURCE TIMING + PLACED ( 137599 71599 ) N ; + - clkload13 INV_X4 + SOURCE TIMING + PLACED ( 175738 59340 ) N ; + - clkload14 INV_X2 + SOURCE TIMING + PLACED ( 173942 71102 ) N ; - clkload15 INV_X2 + SOURCE TIMING + PLACED ( 35318 119627 ) N ; - clkload16 INV_X4 + SOURCE TIMING + PLACED ( 31476 129670 ) N ; - clkload17 CLKBUF_X3 + SOURCE TIMING + PLACED ( 68717 119605 ) N ; - clkload18 INV_X2 + SOURCE TIMING + PLACED ( 71061 131581 ) N ; - clkload19 INV_X2 + SOURCE TIMING + PLACED ( 31714 150934 ) N ; - - clkload2 CLKBUF_X3 + SOURCE TIMING + PLACED ( 64508 26508 ) N ; + - clkload2 INV_X2 + SOURCE TIMING + PLACED ( 69122 41782 ) N ; - clkload20 INV_X1 + SOURCE TIMING + PLACED ( 33478 164353 ) N ; - clkload21 INV_X2 + SOURCE TIMING + PLACED ( 70729 156126 ) N ; - clkload22 CLKBUF_X3 + SOURCE TIMING + PLACED ( 64318 165027 ) N ; @@ -111,13 +66,13 @@ COMPONENTS 413 ; - clkload27 INV_X2 + SOURCE TIMING + PLACED ( 136180 148710 ) N ; - clkload28 INV_X4 + SOURCE TIMING + PLACED ( 175261 148092 ) N ; - clkload29 INV_X2 + SOURCE TIMING + PLACED ( 173171 159411 ) N ; - - clkload3 CLKBUF_X3 + SOURCE TIMING + PLACED ( 71219 35368 ) N ; - - clkload4 CLKBUF_X3 + SOURCE TIMING + PLACED ( 31830 61223 ) N ; - - clkload5 CLKBUF_X3 + SOURCE TIMING + PLACED ( 33728 74610 ) N ; - - clkload6 CLKBUF_X3 + SOURCE TIMING + PLACED ( 68707 60992 ) N ; - - clkload7 CLKBUF_X3 + SOURCE TIMING + PLACED ( 141948 26508 ) N ; - - clkload8 CLKBUF_X3 + SOURCE TIMING + PLACED ( 135237 35368 ) N ; - - clkload9 CLKBUF_X3 + SOURCE TIMING + PLACED ( 169474 24449 ) N ; + - clkload3 INV_X4 + SOURCE TIMING + PLACED ( 30369 61835 ) N ; + - clkload4 INV_X1 + SOURCE TIMING + PLACED ( 31247 76899 ) N ; + - clkload5 INV_X4 + SOURCE TIMING + PLACED ( 68700 66662 ) N ; + - clkload6 INV_X2 + SOURCE TIMING + PLACED ( 64650 75806 ) N ; + - clkload7 INV_X1 + SOURCE TIMING + PLACED ( 141948 26508 ) N ; + - clkload8 INV_X4 + SOURCE TIMING + PLACED ( 135237 35368 ) N ; + - clkload9 INV_X4 + SOURCE TIMING + PLACED ( 169474 24449 ) N ; - ff0 DFF_X1 + PLACED ( 5555 5555 ) N ; - ff1 DFF_X1 + PLACED ( 16666 5555 ) N ; - ff10 DFF_X1 + PLACED ( 116665 5555 ) N ; @@ -425,126 +380,81 @@ PINS 1 ; + LAYER metal6 ( -140 -140 ) ( 140 140 ) + FIXED ( 100000 199860 ) N ; END PINS -NETS 84 ; +NETS 39 ; - CELL/clk2 ( clkbuf_0_CELL\/clk2 A ) ( CELL/CKGATE Z ) + USE CLOCK ; - - clk ( PIN clk ) ( clkbuf_0_clk A ) + USE CLOCK ; + - clk ( PIN clk ) ( clkbuf_regs_0_clk A ) ( clkbuf_0_clk A ) + USE CLOCK ; + - clk_regs ( clkbuf_regs_0_clk Z ) ( clkbuf_0_clk_regs A ) + USE CLOCK ; - clknet_0_CELL\/clk2 ( clkbuf_4_15__f_CELL\/clk2 A ) ( clkbuf_4_14__f_CELL\/clk2 A ) ( clkbuf_4_13__f_CELL\/clk2 A ) ( clkbuf_4_12__f_CELL\/clk2 A ) ( clkbuf_4_11__f_CELL\/clk2 A ) ( clkbuf_4_10__f_CELL\/clk2 A ) ( clkbuf_4_9__f_CELL\/clk2 A ) ( clkbuf_4_8__f_CELL\/clk2 A ) ( clkbuf_4_7__f_CELL\/clk2 A ) ( clkbuf_4_6__f_CELL\/clk2 A ) ( clkbuf_4_5__f_CELL\/clk2 A ) ( clkbuf_4_4__f_CELL\/clk2 A ) ( clkbuf_4_3__f_CELL\/clk2 A ) ( clkbuf_4_2__f_CELL\/clk2 A ) ( clkbuf_4_1__f_CELL\/clk2 A ) ( clkbuf_4_0__f_CELL\/clk2 A ) ( clkbuf_0_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_0_clk ( clkbuf_4_15__f_clk A ) ( clkbuf_4_14__f_clk A ) ( clkbuf_4_13__f_clk A ) ( clkbuf_4_12__f_clk A ) ( clkbuf_4_11__f_clk A ) ( clkbuf_4_10__f_clk A ) ( clkbuf_4_9__f_clk A ) - ( clkbuf_4_8__f_clk A ) ( clkbuf_4_7__f_clk A ) ( clkbuf_4_6__f_clk A ) ( clkbuf_4_5__f_clk A ) ( clkbuf_4_4__f_clk A ) ( clkbuf_4_3__f_clk A ) ( clkbuf_4_2__f_clk A ) ( clkbuf_4_1__f_clk A ) - ( clkbuf_4_0__f_clk A ) ( clkbuf_0_clk Z ) + USE CLOCK ; + - clknet_0_clk ( clkbuf_1_0__f_clk A ) ( clkbuf_0_clk Z ) + USE CLOCK ; + - clknet_0_clk_regs ( clkbuf_4_15__f_clk_regs A ) ( clkbuf_4_14__f_clk_regs A ) ( clkbuf_4_13__f_clk_regs A ) ( clkbuf_4_12__f_clk_regs A ) ( clkbuf_4_11__f_clk_regs A ) ( clkbuf_4_10__f_clk_regs A ) ( clkbuf_4_9__f_clk_regs A ) + ( clkbuf_4_8__f_clk_regs A ) ( clkbuf_4_7__f_clk_regs A ) ( clkbuf_4_6__f_clk_regs A ) ( clkbuf_4_5__f_clk_regs A ) ( clkbuf_4_4__f_clk_regs A ) ( clkbuf_4_3__f_clk_regs A ) ( clkbuf_4_2__f_clk_regs A ) ( clkbuf_4_1__f_clk_regs A ) + ( clkbuf_4_0__f_clk_regs A ) ( clkbuf_0_clk_regs Z ) + USE CLOCK ; + - clknet_1_0__leaf_clk ( CELL/CKGATE A ) ( clkbuf_1_0__f_clk Z ) + USE CLOCK ; - clknet_4_0__leaf_CELL\/clk2 ( clkload15 A ) ( ff162 CK ) ( ff163 CK ) ( ff164 CK ) ( ff165 CK ) ( ff180 CK ) ( ff181 CK ) ( ff182 CK ) ( ff183 CK ) ( ff184 CK ) ( clkbuf_4_0__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_0__leaf_clk ( clkload0 A ) ( clkbuf_level_0_1_10_clk A ) ( clkbuf_4_0__f_clk Z ) + USE CLOCK ; + - clknet_4_0__leaf_clk_regs ( clkload0 A ) ( ff0 CK ) ( ff1 CK ) ( ff2 CK ) ( ff3 CK ) ( ff18 CK ) ( ff19 CK ) + ( ff20 CK ) ( ff21 CK ) ( ff39 CK ) ( ff40 CK ) ( clkbuf_4_0__f_clk_regs Z ) + USE CLOCK ; - clknet_4_10__leaf_CELL\/clk2 ( clkload25 A ) ( ff158 CK ) ( ff159 CK ) ( ff160 CK ) ( ff176 CK ) ( ff177 CK ) ( ff178 CK ) ( ff194 CK ) ( clkbuf_4_10__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_10__leaf_clk ( clkload9 A ) ( clkbuf_level_0_1_1130_clk A ) ( clkbuf_4_10__f_clk Z ) + USE CLOCK ; + - clknet_4_10__leaf_clk_regs ( clkload9 A ) ( ff14 CK ) ( ff15 CK ) ( ff16 CK ) ( ff32 CK ) ( ff33 CK ) ( ff34 CK ) + ( ff50 CK ) ( clkbuf_4_10__f_clk_regs Z ) + USE CLOCK ; - clknet_4_11__leaf_CELL\/clk2 ( clkload26 A ) ( ff161 CK ) ( ff179 CK ) ( ff195 CK ) ( ff196 CK ) ( ff197 CK ) ( ff212 CK ) ( ff213 CK ) ( ff214 CK ) ( ff215 CK ) ( clkbuf_4_11__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_11__leaf_clk ( clkload10 A ) ( clkbuf_level_0_1_1233_clk A ) ( clkbuf_4_11__f_clk Z ) + USE CLOCK ; + - clknet_4_11__leaf_clk_regs ( clkload10 A ) ( ff17 CK ) ( ff35 CK ) ( ff51 CK ) ( ff52 CK ) ( ff53 CK ) ( ff68 CK ) + ( ff69 CK ) ( ff70 CK ) ( ff71 CK ) ( clkbuf_4_11__f_clk_regs Z ) + USE CLOCK ; - clknet_4_12__leaf_CELL\/clk2 ( clkload27 A ) ( ff225 CK ) ( ff226 CK ) ( ff227 CK ) ( ff228 CK ) ( ff229 CK ) ( ff243 CK ) ( ff244 CK ) ( ff245 CK ) ( ff246 CK ) ( ff247 CK ) ( clkbuf_4_12__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_12__leaf_clk ( clkload11 A ) ( clkbuf_level_0_1_1336_clk A ) ( clkbuf_4_12__f_clk Z ) + USE CLOCK ; + - clknet_4_12__leaf_clk_regs ( clkload11 A ) ( ff81 CK ) ( ff82 CK ) ( ff83 CK ) ( ff84 CK ) ( ff85 CK ) ( ff99 CK ) + ( ff100 CK ) ( ff101 CK ) ( ff103 CK ) ( clkbuf_4_12__f_clk_regs Z ) + USE CLOCK ; - clknet_4_13__leaf_CELL\/clk2 ( ff261 CK ) ( ff262 CK ) ( ff263 CK ) ( ff264 CK ) ( ff265 CK ) ( ff279 CK ) ( ff280 CK ) ( ff281 CK ) ( ff282 CK ) ( ff283 CK ) ( ff297 CK ) ( ff298 CK ) ( ff299 CK ) ( clkbuf_4_13__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_13__leaf_clk ( clkload12 A ) ( clkbuf_level_0_1_1439_clk A ) ( clkbuf_4_13__f_clk Z ) + USE CLOCK ; + - clknet_4_13__leaf_clk_regs ( clkload12 A ) ( ff102 CK ) ( ff117 CK ) ( ff118 CK ) ( ff119 CK ) ( ff120 CK ) ( ff121 CK ) + ( ff135 CK ) ( ff136 CK ) ( ff137 CK ) ( ff138 CK ) ( ff139 CK ) ( clkbuf_4_13__f_clk_regs Z ) + USE CLOCK ; - clknet_4_14__leaf_CELL\/clk2 ( clkload28 A ) ( ff230 CK ) ( ff231 CK ) ( ff232 CK ) ( ff233 CK ) ( ff248 CK ) ( ff250 CK ) ( ff251 CK ) ( clkbuf_4_14__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_14__leaf_clk ( clkload13 A ) ( clkbuf_level_0_1_1542_clk A ) ( clkbuf_4_14__f_clk Z ) + USE CLOCK ; + - clknet_4_14__leaf_clk_regs ( clkload13 A ) ( ff86 CK ) ( ff87 CK ) ( ff88 CK ) ( ff89 CK ) ( ff104 CK ) ( ff106 CK ) + ( ff107 CK ) ( clkbuf_4_14__f_clk_regs Z ) + USE CLOCK ; - clknet_4_15__leaf_CELL\/clk2 ( clkload29 A ) ( ff249 CK ) ( ff266 CK ) ( ff267 CK ) ( ff268 CK ) ( ff269 CK ) ( ff284 CK ) ( ff285 CK ) ( ff286 CK ) ( ff287 CK ) ( clkbuf_4_15__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_15__leaf_clk ( clkload14 A ) ( clkbuf_level_0_1_1645_clk A ) ( clkbuf_4_15__f_clk Z ) + USE CLOCK ; + - clknet_4_15__leaf_clk_regs ( clkload14 A ) ( ff105 CK ) ( ff122 CK ) ( ff123 CK ) ( ff124 CK ) ( ff125 CK ) ( ff140 CK ) + ( ff141 CK ) ( ff142 CK ) ( ff143 CK ) ( clkbuf_4_15__f_clk_regs Z ) + USE CLOCK ; - clknet_4_1__leaf_CELL\/clk2 ( clkload16 A ) ( ff198 CK ) ( ff199 CK ) ( ff200 CK ) ( ff201 CK ) ( ff202 CK ) ( ff216 CK ) ( clkbuf_4_1__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_1__leaf_clk ( clkload1 A ) ( clkbuf_level_0_1_23_clk A ) ( clkbuf_4_1__f_clk Z ) + USE CLOCK ; + - clknet_4_1__leaf_clk_regs ( clkload1 A ) ( ff36 CK ) ( ff37 CK ) ( ff38 CK ) ( ff54 CK ) ( ff55 CK ) ( ff56 CK ) + ( ff57 CK ) ( clkbuf_4_1__f_clk_regs Z ) + USE CLOCK ; - clknet_4_2__leaf_CELL\/clk2 ( clkload17 A ) ( ff150 CK ) ( ff151 CK ) ( ff152 CK ) ( ff166 CK ) ( ff167 CK ) ( ff168 CK ) ( ff169 CK ) ( ff170 CK ) ( ff185 CK ) ( ff186 CK ) ( ff187 CK ) ( ff188 CK ) ( clkbuf_4_2__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_2__leaf_clk ( clkload2 A ) ( clkbuf_level_0_1_36_clk A ) ( clkbuf_4_2__f_clk Z ) + USE CLOCK ; + - clknet_4_2__leaf_clk_regs ( ff4 CK ) ( ff5 CK ) ( ff6 CK ) ( ff7 CK ) ( ff8 CK ) ( ff22 CK ) ( ff23 CK ) + ( ff24 CK ) ( ff25 CK ) ( ff26 CK ) ( ff41 CK ) ( ff42 CK ) ( ff43 CK ) ( ff44 CK ) ( clkbuf_4_2__f_clk_regs Z ) + USE CLOCK ; - clknet_4_3__leaf_CELL\/clk2 ( clkload18 A ) ( ff203 CK ) ( ff204 CK ) ( ff205 CK ) ( ff206 CK ) ( ff220 CK ) ( ff221 CK ) ( ff222 CK ) ( ff223 CK ) ( ff224 CK ) ( clkbuf_4_3__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_3__leaf_clk ( clkload3 A ) ( clkbuf_level_0_1_49_clk A ) ( clkbuf_4_3__f_clk Z ) + USE CLOCK ; + - clknet_4_3__leaf_clk_regs ( clkload2 A ) ( ff58 CK ) ( ff59 CK ) ( ff60 CK ) ( ff61 CK ) ( ff62 CK ) ( ff76 CK ) + ( ff77 CK ) ( ff78 CK ) ( ff79 CK ) ( ff80 CK ) ( clkbuf_4_3__f_clk_regs Z ) + USE CLOCK ; - clknet_4_4__leaf_CELL\/clk2 ( clkload19 A ) ( ff217 CK ) ( ff218 CK ) ( ff219 CK ) ( ff234 CK ) ( ff235 CK ) ( ff236 CK ) ( ff237 CK ) ( ff254 CK ) ( clkbuf_4_4__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_4__leaf_clk ( clkload4 A ) ( clkbuf_level_0_1_512_clk A ) ( clkbuf_4_4__f_clk Z ) + USE CLOCK ; + - clknet_4_4__leaf_clk_regs ( clkload3 A ) ( ff72 CK ) ( ff73 CK ) ( ff74 CK ) ( ff75 CK ) ( ff90 CK ) ( ff91 CK ) + ( ff92 CK ) ( ff93 CK ) ( clkbuf_4_4__f_clk_regs Z ) + USE CLOCK ; - clknet_4_5__leaf_CELL\/clk2 ( clkload20 A ) ( ff252 CK ) ( ff253 CK ) ( ff255 CK ) ( ff270 CK ) ( ff271 CK ) ( ff272 CK ) ( ff273 CK ) ( ff288 CK ) ( ff289 CK ) ( ff290 CK ) ( ff291 CK ) ( clkbuf_4_5__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_5__leaf_clk ( clkload5 A ) ( clkbuf_level_0_1_615_clk A ) ( clkbuf_4_5__f_clk Z ) + USE CLOCK ; + - clknet_4_5__leaf_clk_regs ( clkload4 A ) ( ff108 CK ) ( ff109 CK ) ( ff110 CK ) ( ff111 CK ) ( ff126 CK ) ( ff127 CK ) + ( ff128 CK ) ( ff129 CK ) ( ff144 CK ) ( ff145 CK ) ( ff146 CK ) ( ff147 CK ) ( clkbuf_4_5__f_clk_regs Z ) + USE CLOCK ; - clknet_4_6__leaf_CELL\/clk2 ( clkload21 A ) ( ff238 CK ) ( ff239 CK ) ( ff240 CK ) ( ff241 CK ) ( ff242 CK ) ( ff257 CK ) ( ff259 CK ) ( ff260 CK ) ( clkbuf_4_6__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_6__leaf_clk ( clkload6 A ) ( clkbuf_level_0_1_718_clk A ) ( clkbuf_4_6__f_clk Z ) + USE CLOCK ; + - clknet_4_6__leaf_clk_regs ( clkload5 A ) ( ff94 CK ) ( ff95 CK ) ( ff96 CK ) ( ff97 CK ) ( ff98 CK ) ( ff113 CK ) + ( ff114 CK ) ( clkbuf_4_6__f_clk_regs Z ) + USE CLOCK ; - clknet_4_7__leaf_CELL\/clk2 ( clkload22 A ) ( ff256 CK ) ( ff258 CK ) ( ff274 CK ) ( ff275 CK ) ( ff276 CK ) ( ff277 CK ) ( ff278 CK ) ( ff292 CK ) ( ff293 CK ) ( ff294 CK ) ( ff295 CK ) ( ff296 CK ) ( clkbuf_4_7__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_7__leaf_clk ( CELL/CKGATE A ) ( clkbuf_level_0_1_821_clk A ) ( clkbuf_4_7__f_clk Z ) + USE CLOCK ; + - clknet_4_7__leaf_clk_regs ( clkload6 A ) ( ff112 CK ) ( ff115 CK ) ( ff116 CK ) ( ff130 CK ) ( ff131 CK ) ( ff132 CK ) + ( ff133 CK ) ( ff134 CK ) ( ff148 CK ) ( ff149 CK ) ( clkbuf_4_7__f_clk_regs Z ) + USE CLOCK ; - clknet_4_8__leaf_CELL\/clk2 ( clkload23 A ) ( ff153 CK ) ( ff154 CK ) ( ff155 CK ) ( ff156 CK ) ( ff157 CK ) ( ff171 CK ) ( ff172 CK ) ( ff173 CK ) ( ff174 CK ) ( ff175 CK ) ( ff191 CK ) ( ff193 CK ) ( clkbuf_4_8__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_8__leaf_clk ( clkload7 A ) ( clkbuf_level_0_1_924_clk A ) ( clkbuf_4_8__f_clk Z ) + USE CLOCK ; + - clknet_4_8__leaf_clk_regs ( clkload7 A ) ( ff9 CK ) ( ff10 CK ) ( ff11 CK ) ( ff12 CK ) ( ff13 CK ) ( ff27 CK ) + ( ff28 CK ) ( ff29 CK ) ( ff30 CK ) ( ff31 CK ) ( ff47 CK ) ( ff49 CK ) ( clkbuf_4_8__f_clk_regs Z ) + USE CLOCK ; - clknet_4_9__leaf_CELL\/clk2 ( clkload24 A ) ( ff189 CK ) ( ff190 CK ) ( ff192 CK ) ( ff207 CK ) ( ff208 CK ) ( ff209 CK ) ( ff210 CK ) ( ff211 CK ) ( clkbuf_4_9__f_CELL\/clk2 Z ) + USE CLOCK ; - - clknet_4_9__leaf_clk ( clkload8 A ) ( clkbuf_level_0_1_1027_clk A ) ( clkbuf_4_9__f_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1027_clk ( clkbuf_level_1_1_1028_clk A ) ( clkbuf_level_0_1_1027_clk Z ) + USE CLOCK ; - - clknet_level_0_1_10_clk ( clkbuf_level_1_1_11_clk A ) ( clkbuf_level_0_1_10_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1130_clk ( clkbuf_level_1_1_1131_clk A ) ( clkbuf_level_0_1_1130_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1233_clk ( clkbuf_level_1_1_1234_clk A ) ( clkbuf_level_0_1_1233_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1336_clk ( clkbuf_level_1_1_1337_clk A ) ( clkbuf_level_0_1_1336_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1439_clk ( clkbuf_level_1_1_1440_clk A ) ( clkbuf_level_0_1_1439_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1542_clk ( clkbuf_level_1_1_1543_clk A ) ( clkbuf_level_0_1_1542_clk Z ) + USE CLOCK ; - - clknet_level_0_1_1645_clk ( clkbuf_level_1_1_1646_clk A ) ( clkbuf_level_0_1_1645_clk Z ) + USE CLOCK ; - - clknet_level_0_1_23_clk ( clkbuf_level_1_1_24_clk A ) ( clkbuf_level_0_1_23_clk Z ) + USE CLOCK ; - - clknet_level_0_1_36_clk ( clkbuf_level_1_1_37_clk A ) ( clkbuf_level_0_1_36_clk Z ) + USE CLOCK ; - - clknet_level_0_1_49_clk ( clkbuf_level_1_1_410_clk A ) ( clkbuf_level_0_1_49_clk Z ) + USE CLOCK ; - - clknet_level_0_1_512_clk ( clkbuf_level_1_1_513_clk A ) ( clkbuf_level_0_1_512_clk Z ) + USE CLOCK ; - - clknet_level_0_1_615_clk ( clkbuf_level_1_1_616_clk A ) ( clkbuf_level_0_1_615_clk Z ) + USE CLOCK ; - - clknet_level_0_1_718_clk ( clkbuf_level_1_1_719_clk A ) ( clkbuf_level_0_1_718_clk Z ) + USE CLOCK ; - - clknet_level_0_1_821_clk ( clkbuf_level_1_1_822_clk A ) ( clkbuf_level_0_1_821_clk Z ) + USE CLOCK ; - - clknet_level_0_1_924_clk ( clkbuf_level_1_1_925_clk A ) ( clkbuf_level_0_1_924_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1028_clk ( clkbuf_level_2_1_1029_clk A ) ( clkbuf_level_1_1_1028_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1131_clk ( clkbuf_level_2_1_1132_clk A ) ( clkbuf_level_1_1_1131_clk Z ) + USE CLOCK ; - - clknet_level_1_1_11_clk ( clkbuf_level_2_1_12_clk A ) ( clkbuf_level_1_1_11_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1234_clk ( clkbuf_level_2_1_1235_clk A ) ( clkbuf_level_1_1_1234_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1337_clk ( clkbuf_level_2_1_1338_clk A ) ( clkbuf_level_1_1_1337_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1440_clk ( clkbuf_level_2_1_1441_clk A ) ( clkbuf_level_1_1_1440_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1543_clk ( clkbuf_level_2_1_1544_clk A ) ( clkbuf_level_1_1_1543_clk Z ) + USE CLOCK ; - - clknet_level_1_1_1646_clk ( clkbuf_level_2_1_1647_clk A ) ( clkbuf_level_1_1_1646_clk Z ) + USE CLOCK ; - - clknet_level_1_1_24_clk ( clkbuf_level_2_1_25_clk A ) ( clkbuf_level_1_1_24_clk Z ) + USE CLOCK ; - - clknet_level_1_1_37_clk ( clkbuf_level_2_1_38_clk A ) ( clkbuf_level_1_1_37_clk Z ) + USE CLOCK ; - - clknet_level_1_1_410_clk ( clkbuf_level_2_1_411_clk A ) ( clkbuf_level_1_1_410_clk Z ) + USE CLOCK ; - - clknet_level_1_1_513_clk ( clkbuf_level_2_1_514_clk A ) ( clkbuf_level_1_1_513_clk Z ) + USE CLOCK ; - - clknet_level_1_1_616_clk ( clkbuf_level_2_1_617_clk A ) ( clkbuf_level_1_1_616_clk Z ) + USE CLOCK ; - - clknet_level_1_1_719_clk ( clkbuf_level_2_1_720_clk A ) ( clkbuf_level_1_1_719_clk Z ) + USE CLOCK ; - - clknet_level_1_1_822_clk ( clkbuf_level_2_1_823_clk A ) ( clkbuf_level_1_1_822_clk Z ) + USE CLOCK ; - - clknet_level_1_1_925_clk ( clkbuf_level_2_1_926_clk A ) ( clkbuf_level_1_1_925_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1029_clk ( ff45 CK ) ( ff46 CK ) ( ff48 CK ) ( ff63 CK ) ( ff64 CK ) ( ff65 CK ) ( ff66 CK ) - ( ff67 CK ) ( clkbuf_level_2_1_1029_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1132_clk ( ff14 CK ) ( ff15 CK ) ( ff16 CK ) ( ff32 CK ) ( ff33 CK ) ( ff34 CK ) ( ff50 CK ) - ( clkbuf_level_2_1_1132_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1235_clk ( ff17 CK ) ( ff35 CK ) ( ff51 CK ) ( ff52 CK ) ( ff53 CK ) ( ff68 CK ) ( ff69 CK ) - ( ff70 CK ) ( ff71 CK ) ( clkbuf_level_2_1_1235_clk Z ) + USE CLOCK ; - - clknet_level_2_1_12_clk ( ff0 CK ) ( ff2 CK ) ( ff3 CK ) ( ff20 CK ) ( ff21 CK ) ( ff39 CK ) ( ff57 CK ) - ( clkbuf_level_2_1_12_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1338_clk ( ff81 CK ) ( ff82 CK ) ( ff83 CK ) ( ff84 CK ) ( ff85 CK ) ( ff99 CK ) ( ff100 CK ) - ( ff101 CK ) ( ff103 CK ) ( clkbuf_level_2_1_1338_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1441_clk ( ff102 CK ) ( ff117 CK ) ( ff118 CK ) ( ff119 CK ) ( ff120 CK ) ( ff121 CK ) ( ff135 CK ) - ( ff136 CK ) ( ff137 CK ) ( ff138 CK ) ( ff139 CK ) ( clkbuf_level_2_1_1441_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1544_clk ( ff86 CK ) ( ff87 CK ) ( ff88 CK ) ( ff89 CK ) ( ff104 CK ) ( ff106 CK ) ( ff107 CK ) - ( clkbuf_level_2_1_1544_clk Z ) + USE CLOCK ; - - clknet_level_2_1_1647_clk ( ff105 CK ) ( ff122 CK ) ( ff123 CK ) ( ff124 CK ) ( ff125 CK ) ( ff140 CK ) ( ff141 CK ) - ( ff142 CK ) ( ff143 CK ) ( clkbuf_level_2_1_1647_clk Z ) + USE CLOCK ; - - clknet_level_2_1_25_clk ( ff1 CK ) ( ff18 CK ) ( ff19 CK ) ( ff36 CK ) ( ff37 CK ) ( ff38 CK ) ( ff54 CK ) - ( ff55 CK ) ( ff56 CK ) ( clkbuf_level_2_1_25_clk Z ) + USE CLOCK ; - - clknet_level_2_1_38_clk ( ff4 CK ) ( ff5 CK ) ( ff6 CK ) ( ff7 CK ) ( ff8 CK ) ( ff22 CK ) ( ff23 CK ) - ( ff24 CK ) ( ff25 CK ) ( ff26 CK ) ( ff40 CK ) ( ff42 CK ) ( clkbuf_level_2_1_38_clk Z ) + USE CLOCK ; - - clknet_level_2_1_411_clk ( ff41 CK ) ( ff43 CK ) ( ff44 CK ) ( ff58 CK ) ( ff59 CK ) ( ff60 CK ) ( ff61 CK ) - ( ff62 CK ) ( clkbuf_level_2_1_411_clk Z ) + USE CLOCK ; - - clknet_level_2_1_514_clk ( ff72 CK ) ( ff73 CK ) ( ff74 CK ) ( ff75 CK ) ( ff90 CK ) ( ff91 CK ) ( ff92 CK ) - ( ff93 CK ) ( clkbuf_level_2_1_514_clk Z ) + USE CLOCK ; - - clknet_level_2_1_617_clk ( ff108 CK ) ( ff109 CK ) ( ff110 CK ) ( ff111 CK ) ( ff126 CK ) ( ff127 CK ) ( ff128 CK ) - ( ff129 CK ) ( ff144 CK ) ( ff145 CK ) ( ff146 CK ) ( ff147 CK ) ( clkbuf_level_2_1_617_clk Z ) + USE CLOCK ; - - clknet_level_2_1_720_clk ( ff76 CK ) ( ff77 CK ) ( ff78 CK ) ( ff79 CK ) ( ff80 CK ) ( ff94 CK ) ( ff95 CK ) - ( ff96 CK ) ( ff97 CK ) ( ff98 CK ) ( clkbuf_level_2_1_720_clk Z ) + USE CLOCK ; - - clknet_level_2_1_823_clk ( ff112 CK ) ( ff113 CK ) ( ff114 CK ) ( ff115 CK ) ( ff116 CK ) ( ff130 CK ) ( ff131 CK ) - ( ff132 CK ) ( ff133 CK ) ( ff134 CK ) ( ff148 CK ) ( ff149 CK ) ( clkbuf_level_2_1_823_clk Z ) + USE CLOCK ; - - clknet_level_2_1_926_clk ( ff9 CK ) ( ff10 CK ) ( ff11 CK ) ( ff12 CK ) ( ff13 CK ) ( ff27 CK ) ( ff28 CK ) - ( ff29 CK ) ( ff30 CK ) ( ff31 CK ) ( ff47 CK ) ( ff49 CK ) ( clkbuf_level_2_1_926_clk Z ) + USE CLOCK ; + - clknet_4_9__leaf_clk_regs ( clkload8 A ) ( ff45 CK ) ( ff46 CK ) ( ff48 CK ) ( ff63 CK ) ( ff64 CK ) ( ff65 CK ) + ( ff66 CK ) ( ff67 CK ) ( clkbuf_4_9__f_clk_regs Z ) + USE CLOCK ; END NETS END DESIGN diff --git a/src/cts/test/balance_levels.ok b/src/cts/test/balance_levels.ok index db4d578ee6c..9ee00fc2d59 100644 --- a/src/cts/test/balance_levels.ok +++ b/src/cts/test/balance_levels.ok @@ -5,14 +5,34 @@ CLKBUF_X3 [INFO CTS-0049] Characterization buffer is CLKBUF_X3. [INFO CTS-0007] Net "clk" found for clock "clk". -[INFO CTS-0010] Clock net "clk" has 151 sinks. +[INFO CTS-0011] Clock net "clk" for macros has 1 sinks. +[INFO CTS-0011] Clock net "clk_regs" for registers has 150 sinks. [INFO CTS-0010] Clock net "CELL/clk2" has 150 sinks. -[INFO CTS-0008] TritonCTS found 2 clock nets. +[INFO CTS-0008] TritonCTS found 3 clock nets. [INFO CTS-0097] Characterization used 1 buffer(s) types. [INFO CTS-0200] 0 placement blockages have been identified. [INFO CTS-0201] 0 placed hard macros will be treated like blockages. [INFO CTS-0027] Generating H-Tree topology for net clk. -[INFO CTS-0028] Total number of sinks: 151. +[INFO CTS-0028] Total number of sinks: 1. +[INFO CTS-0029] Sinks will be clustered in groups of up to 5 and with maximum cluster diameter of 60.0 um. +[INFO CTS-0030] Number of static layers: 1. +[INFO CTS-0020] Wire segment unit: 14000 dbu (7 um). +[INFO CTS-0021] Distance between buffers: 7 units (100 um). +[INFO CTS-0023] Original sink region: [(100250, 101225), (100250, 101225)]. +[INFO CTS-0024] Normalized sink region: [(7.16071, 7.23036), (7.16071, 7.23036)]. +[INFO CTS-0025] Width: 0.0000. +[INFO CTS-0026] Height: 0.0000. + Level 1 + Direction: Vertical + Sinks per sub-region: 1 + Sub-region size: 0.0000 X 0.0000 +[INFO CTS-0034] Segment length (rounded): 1. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 1. +[INFO CTS-0200] 0 placement blockages have been identified. +[INFO CTS-0201] 0 placed hard macros will be treated like blockages. +[INFO CTS-0027] Generating H-Tree topology for net clk_regs. +[INFO CTS-0028] Total number of sinks: 150. [INFO CTS-0029] Sinks will be clustered in groups of up to 5 and with maximum cluster diameter of 60.0 um. [INFO CTS-0030] Number of static layers: 1. [INFO CTS-0020] Wire segment unit: 14000 dbu (7 um). @@ -23,7 +43,7 @@ [INFO CTS-0026] Height: 6.3491. Level 1 Direction: Horizontal - Sinks per sub-region: 76 + Sinks per sub-region: 75 Sub-region size: 6.7460 X 6.3491 [INFO CTS-0034] Segment length (rounded): 4. Level 2 @@ -42,7 +62,7 @@ Sub-region size: 3.3730 X 1.5873 [INFO CTS-0034] Segment length (rounded): 1. [INFO CTS-0032] Stop criterion found. Max number of sinks is 15. -[INFO CTS-0035] Number of sinks covered: 151. +[INFO CTS-0035] Number of sinks covered: 150. [INFO CTS-0200] 0 placement blockages have been identified. [INFO CTS-0201] 0 placed hard macros will be treated like blockages. [INFO CTS-0027] Generating H-Tree topology for net CELL\/clk2. @@ -77,13 +97,17 @@ [INFO CTS-0034] Segment length (rounded): 1. [INFO CTS-0032] Stop criterion found. Max number of sinks is 15. [INFO CTS-0035] Number of sinks covered: 150. -[INFO CTS-0093] Fixing tree levels for max depth 5 -Fixing from level 2 (parent=0 + current=2) to max 5 for driver clk -[INFO CTS-0018] Created 65 clock buffers. +[INFO CTS-0018] Created 2 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 2 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 1:1.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 17 clock buffers. [INFO CTS-0012] Minimum number of buffers in the clock path: 2. -[INFO CTS-0013] Maximum number of buffers in the clock path: 5. -[INFO CTS-0015] Created 65 clock nets. -[INFO CTS-0016] Fanout distribution for the current clock = 2:1, 7:3, 8:3, 9:4, 10:1, 11:1, 12:4.. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 17 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 7:4, 8:2, 9:3, 10:3, 11:1, 12:2, 14:1.. [INFO CTS-0017] Max level of the clock tree: 4. [INFO CTS-0018] Created 17 clock buffers. [INFO CTS-0012] Minimum number of buffers in the clock path: 2. @@ -91,11 +115,13 @@ Fixing from level 2 (parent=0 + current=2) to max 5 for driver clk [INFO CTS-0015] Created 17 clock nets. [INFO CTS-0016] Fanout distribution for the current clock = 6:1, 7:2, 8:3, 9:4, 10:1, 11:1, 12:3, 13:1.. [INFO CTS-0017] Max level of the clock tree: 4. -[INFO CTS-0098] Clock net "clk" -[INFO CTS-0099] Sinks 151 +[INFO CTS-0124] Clock net "clk" +[INFO CTS-0125] Sinks 1 +[INFO CTS-0098] Clock net "clk_regs" +[INFO CTS-0099] Sinks 165 [INFO CTS-0100] Leaf buffers 0 -[INFO CTS-0101] Average sink wire length 125.08 um -[INFO CTS-0102] Path depth 2 - 5 +[INFO CTS-0101] Average sink wire length 48.10 um +[INFO CTS-0102] Path depth 2 - 2 [INFO CTS-0207] Leaf load cells 30 [INFO CTS-0098] Clock net "CELL\/clk2" [INFO CTS-0099] Sinks 165 diff --git a/src/cts/test/lvt_lib.ok b/src/cts/test/lvt_lib.ok index 9560c02ec12..d754950c415 100644 --- a/src/cts/test/lvt_lib.ok +++ b/src/cts/test/lvt_lib.ok @@ -7,14 +7,32 @@ CLKBUF_X1_L [INFO CTS-0049] Characterization buffer is CLKBUF_X1_L. [INFO CTS-0007] Net "clk" found for clock "clk". -[INFO CTS-0010] Clock net "clk" has 151 sinks. +[INFO CTS-0011] Clock net "clk" for macros has 1 sinks. +[INFO CTS-0011] Clock net "clk_regs" for registers has 150 sinks. [INFO CTS-0010] Clock net "CELL/clk2" has 150 sinks. -[INFO CTS-0008] TritonCTS found 2 clock nets. +[INFO CTS-0008] TritonCTS found 3 clock nets. [INFO CTS-0097] Characterization used 1 buffer(s) types. [INFO CTS-0200] 0 placement blockages have been identified. [INFO CTS-0201] 0 placed hard macros will be treated like blockages. [INFO CTS-0027] Generating H-Tree topology for net clk. -[INFO CTS-0028] Total number of sinks: 151. +[INFO CTS-0028] Total number of sinks: 1. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 14000 dbu (7 um). +[INFO CTS-0023] Original sink region: [(100250, 101225), (100250, 101225)]. +[INFO CTS-0024] Normalized sink region: [(7.16071, 7.23036), (7.16071, 7.23036)]. +[INFO CTS-0025] Width: 0.0000. +[INFO CTS-0026] Height: 0.0000. + Level 1 + Direction: Vertical + Sinks per sub-region: 1 + Sub-region size: 0.0000 X 0.0000 +[INFO CTS-0034] Segment length (rounded): 1. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 1. +[INFO CTS-0200] 0 placement blockages have been identified. +[INFO CTS-0201] 0 placed hard macros will be treated like blockages. +[INFO CTS-0027] Generating H-Tree topology for net clk_regs. +[INFO CTS-0028] Total number of sinks: 150. [INFO CTS-0030] Number of static layers: 0. [INFO CTS-0020] Wire segment unit: 14000 dbu (7 um). [INFO CTS-0023] Original sink region: [(8785, 6785), (197672, 95673)]. @@ -23,7 +41,7 @@ [INFO CTS-0026] Height: 6.3491. Level 1 Direction: Horizontal - Sinks per sub-region: 76 + Sinks per sub-region: 75 Sub-region size: 6.7460 X 6.3491 [INFO CTS-0034] Segment length (rounded): 4. Level 2 @@ -42,7 +60,7 @@ Sub-region size: 3.3730 X 1.5873 [INFO CTS-0034] Segment length (rounded): 1. [INFO CTS-0032] Stop criterion found. Max number of sinks is 15. -[INFO CTS-0035] Number of sinks covered: 151. +[INFO CTS-0035] Number of sinks covered: 150. [INFO CTS-0200] 0 placement blockages have been identified. [INFO CTS-0201] 0 placed hard macros will be treated like blockages. [INFO CTS-0027] Generating H-Tree topology for net CELL\/clk2. @@ -75,11 +93,17 @@ [INFO CTS-0034] Segment length (rounded): 1. [INFO CTS-0032] Stop criterion found. Max number of sinks is 15. [INFO CTS-0035] Number of sinks covered: 150. +[INFO CTS-0018] Created 2 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 2 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 1:1.. +[INFO CTS-0017] Max level of the clock tree: 1. [INFO CTS-0018] Created 17 clock buffers. [INFO CTS-0012] Minimum number of buffers in the clock path: 2. [INFO CTS-0013] Maximum number of buffers in the clock path: 2. [INFO CTS-0015] Created 17 clock nets. -[INFO CTS-0016] Fanout distribution for the current clock = 7:1, 8:4, 9:5, 10:3, 12:2, 13:1.. +[INFO CTS-0016] Fanout distribution for the current clock = 7:1, 8:4, 9:6, 10:2, 12:2, 13:1.. [INFO CTS-0017] Max level of the clock tree: 4. [INFO CTS-0018] Created 17 clock buffers. [INFO CTS-0012] Minimum number of buffers in the clock path: 2. @@ -87,10 +111,12 @@ [INFO CTS-0015] Created 17 clock nets. [INFO CTS-0016] Fanout distribution for the current clock = 7:3, 8:2, 9:4, 10:4, 12:2, 13:1.. [INFO CTS-0017] Max level of the clock tree: 4. -[INFO CTS-0098] Clock net "clk" -[INFO CTS-0099] Sinks 166 +[INFO CTS-0124] Clock net "clk" +[INFO CTS-0125] Sinks 1 +[INFO CTS-0098] Clock net "clk_regs" +[INFO CTS-0099] Sinks 165 [INFO CTS-0100] Leaf buffers 0 -[INFO CTS-0101] Average sink wire length 121.61 um +[INFO CTS-0101] Average sink wire length 48.97 um [INFO CTS-0102] Path depth 2 - 2 [INFO CTS-0207] Leaf load cells 30 [INFO CTS-0098] Clock net "CELL\/clk2" diff --git a/src/cts/test/regression_tests.tcl b/src/cts/test/regression_tests.tcl index fb1faee0075..9bd42c02e2f 100644 --- a/src/cts/test/regression_tests.tcl +++ b/src/cts/test/regression_tests.tcl @@ -21,7 +21,6 @@ record_tests { simple_test simple_test_clustered simple_test_clustered_max_cap - simple_test_hier lvt_lib #cts_readme_msgs_check #cts_man_tcl_check diff --git a/src/cts/test/simple_test_hier_out.vok b/src/cts/test/simple_test_hier_out.vok index 3a3b3020531..83be17fc49a 100644 --- a/src/cts/test/simple_test_hier_out.vok +++ b/src/cts/test/simple_test_hier_out.vok @@ -11,18 +11,18 @@ module test_16_sinks (clk); .Z(clknet_0_clk)); flop_pair U1 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-1 U2 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), + flop_pair_U2 U2 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-2 U3 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), + flop_pair_U3 U3 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-3 U4 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), + flop_pair_U4 U4 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-4 U5 (.clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-5 U6 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), + flop_pair_U5 U5 (.clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); + flop_pair_U6 U6 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-6 U7 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), + flop_pair_U7 U7 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); - flop_pair-7 U8 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), + flop_pair_U8 U8 (.clknet_1_1__leaf_clk_i(clknet_1_1__leaf_clk), .clknet_1_0__leaf_clk_i(clknet_1_0__leaf_clk)); endmodule module flop_pair (clknet_1_1__leaf_clk_i, @@ -34,7 +34,7 @@ module flop_pair (clknet_1_1__leaf_clk_i, DFF_X1 ff1 (.CK(clknet_1_1__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_0__leaf_clk_i)); endmodule -module flop_pair-1 (clknet_1_1__leaf_clk_i, +module flop_pair_U2 (clknet_1_1__leaf_clk_i, clknet_1_0__leaf_clk_i); input clknet_1_1__leaf_clk_i; input clknet_1_0__leaf_clk_i; @@ -43,7 +43,7 @@ module flop_pair-1 (clknet_1_1__leaf_clk_i, DFF_X1 ff1 (.CK(clknet_1_1__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_0__leaf_clk_i)); endmodule -module flop_pair-2 (clknet_1_1__leaf_clk_i, +module flop_pair_U3 (clknet_1_1__leaf_clk_i, clknet_1_0__leaf_clk_i); input clknet_1_1__leaf_clk_i; input clknet_1_0__leaf_clk_i; @@ -52,7 +52,7 @@ module flop_pair-2 (clknet_1_1__leaf_clk_i, DFF_X1 ff1 (.CK(clknet_1_1__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_0__leaf_clk_i)); endmodule -module flop_pair-3 (clknet_1_1__leaf_clk_i, +module flop_pair_U4 (clknet_1_1__leaf_clk_i, clknet_1_0__leaf_clk_i); input clknet_1_1__leaf_clk_i; input clknet_1_0__leaf_clk_i; @@ -61,14 +61,14 @@ module flop_pair-3 (clknet_1_1__leaf_clk_i, DFF_X1 ff1 (.CK(clknet_1_0__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_1__leaf_clk_i)); endmodule -module flop_pair-4 (clknet_1_0__leaf_clk_i); +module flop_pair_U5 (clknet_1_0__leaf_clk_i); input clknet_1_0__leaf_clk_i; DFF_X1 ff1 (.CK(clknet_1_0__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_0__leaf_clk_i)); endmodule -module flop_pair-5 (clknet_1_1__leaf_clk_i, +module flop_pair_U6 (clknet_1_1__leaf_clk_i, clknet_1_0__leaf_clk_i); input clknet_1_1__leaf_clk_i; input clknet_1_0__leaf_clk_i; @@ -77,7 +77,7 @@ module flop_pair-5 (clknet_1_1__leaf_clk_i, DFF_X1 ff1 (.CK(clknet_1_1__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_0__leaf_clk_i)); endmodule -module flop_pair-6 (clknet_1_1__leaf_clk_i, +module flop_pair_U7 (clknet_1_1__leaf_clk_i, clknet_1_0__leaf_clk_i); input clknet_1_1__leaf_clk_i; input clknet_1_0__leaf_clk_i; @@ -86,7 +86,7 @@ module flop_pair-6 (clknet_1_1__leaf_clk_i, DFF_X1 ff1 (.CK(clknet_1_0__leaf_clk_i)); DFF_X1 ff2 (.CK(clknet_1_1__leaf_clk_i)); endmodule -module flop_pair-7 (clknet_1_1__leaf_clk_i, +module flop_pair_U8 (clknet_1_1__leaf_clk_i, clknet_1_0__leaf_clk_i); input clknet_1_1__leaf_clk_i; input clknet_1_0__leaf_clk_i; diff --git a/src/dbSta/include/db_sta/dbNetwork.hh b/src/dbSta/include/db_sta/dbNetwork.hh index f38d8e1fdd4..b749a52124e 100644 --- a/src/dbSta/include/db_sta/dbNetwork.hh +++ b/src/dbSta/include/db_sta/dbNetwork.hh @@ -185,7 +185,8 @@ class dbNetwork : public ConcreteNetwork bool ConnectionToModuleExists(dbITerm* source_pin, dbModule* dest_module, - dbModBTerm*& dest_modbterm); + dbModBTerm*& dest_modbterm, + dbModITerm*& dest_moditerm); void hierarchicalConnect(dbITerm* source_pin, dbITerm* dest_pin, @@ -195,6 +196,9 @@ class dbNetwork : public ConcreteNetwork std::vector& parent_hierarchy); dbModule* findHighestCommonModule(std::vector& itree1, std::vector& itree2); + dbModule* findModule(const char* name); + Instance* findHierInstance(const char* name); + void replaceDesign(Instance* instance, dbModule* module); //////////////////////////////////////////////////////////////// // @@ -268,6 +272,7 @@ class dbNetwork : public ConcreteNetwork //////////////////////////////////////////////////////////////// // Port functions + Cell* cell(const Port* port) const override; void registerConcretePort(const Port*); diff --git a/src/dbSta/src/PathRenderer.cc b/src/dbSta/src/PathRenderer.cc index 4c8c14f8a6e..edfb2f2b7e1 100644 --- a/src/dbSta/src/PathRenderer.cc +++ b/src/dbSta/src/PathRenderer.cc @@ -12,8 +12,8 @@ namespace sta { -gui::Painter::Color PathRenderer::signal_color = gui::Painter::red; -gui::Painter::Color PathRenderer::clock_color = gui::Painter::yellow; +const gui::Painter::Color PathRenderer::signal_color = gui::Painter::red; +const gui::Painter::Color PathRenderer::clock_color = gui::Painter::yellow; PathRenderer::PathRenderer(dbSta* sta) : sta_(sta) { @@ -41,9 +41,9 @@ void PathRenderer::drawObjects(gui::Painter& painter) const PathRef* prev_path = path_->path(i - 1); const Pin* pin = path->pin(sta_); const Pin* prev_pin = prev_path->pin(sta_); - odb::Point pt1 = network->location(pin); - odb::Point pt2 = network->location(prev_pin); - gui::Painter::Color wire_color + const odb::Point pt1 = network->location(pin); + const odb::Point pt2 = network->location(prev_pin); + const gui::Painter::Color wire_color = sta_->isClock(pin) ? clock_color : signal_color; painter.setPen(wire_color, true); painter.drawLine(pt1, pt2); @@ -66,8 +66,8 @@ void PathRenderer::highlightInst(const Pin* pin, gui::Painter& painter) network->staToDb(inst, db_inst, mod_inst); if (db_inst != nullptr) { odb::dbBox* bbox = db_inst->getBBox(); - odb::Rect rect = bbox->getBox(); - gui::Painter::Color inst_color + const odb::Rect rect = bbox->getBox(); + const gui::Painter::Color inst_color = sta_->isClock(pin) ? clock_color : signal_color; painter.setBrush(inst_color); painter.drawRect(rect); diff --git a/src/dbSta/src/PathRenderer.h b/src/dbSta/src/PathRenderer.h index d32614668e2..3f9ac571357 100644 --- a/src/dbSta/src/PathRenderer.h +++ b/src/dbSta/src/PathRenderer.h @@ -30,8 +30,8 @@ class PathRenderer : public gui::Renderer, public AbstractPathRenderer dbSta* sta_; // Expanded path is owned by PathRenderer. std::unique_ptr path_; - static gui::Painter::Color signal_color; - static gui::Painter::Color clock_color; + static const gui::Painter::Color signal_color; + static const gui::Painter::Color clock_color; }; } // namespace sta diff --git a/src/dbSta/src/dbNetwork.cc b/src/dbSta/src/dbNetwork.cc index 3f4c53c047d..04adf221a25 100644 --- a/src/dbSta/src/dbNetwork.cc +++ b/src/dbSta/src/dbNetwork.cc @@ -1778,7 +1778,7 @@ void dbNetwork::makeCell(Library* library, dbMaster* master) // Fill in liberty to db/LEF master correspondence for libraries not used // for corners that are not used for "linking". - LibertyLibraryIterator* lib_iter = libertyLibraryIterator(); + std::unique_ptr lib_iter{libertyLibraryIterator()}; while (lib_iter->hasNext()) { LibertyLibrary* lib = lib_iter->next(); LibertyCell* lib_cell = lib->findLibertyCell(cell_name); @@ -1807,8 +1807,6 @@ void dbNetwork::makeCell(Library* library, dbMaster* master) Port* cur_port = port_iter->next(); registerConcretePort(cur_port); } - - delete lib_iter; } void dbNetwork::readDbNetlistAfter() @@ -1894,7 +1892,8 @@ void dbNetwork::readLibertyAfter(LibertyLibrary* lib) { for (ConcreteLibrary* clib : library_seq_) { if (!clib->isLiberty()) { - ConcreteLibraryCellIterator* cell_iter = clib->cellIterator(); + std::unique_ptr cell_iter{ + clib->cellIterator()}; while (cell_iter->hasNext()) { ConcreteCell* ccell = cell_iter->next(); // Don't clobber an existing liberty cell so link points to the first. @@ -1904,7 +1903,8 @@ void dbNetwork::readLibertyAfter(LibertyLibrary* lib) TestCell* test_cell = lcell->testCell(); lcell->setExtCell(ccell->extCell()); ccell->setLibertyCell(lcell); - ConcreteCellPortBitIterator* port_iter = ccell->portBitIterator(); + std::unique_ptr port_iter{ + ccell->portBitIterator()}; while (port_iter->hasNext()) { ConcretePort* cport = port_iter->next(); const char* port_name = cport->name(); @@ -1930,11 +1930,9 @@ void dbNetwork::readLibertyAfter(LibertyLibrary* lib) } } } - delete port_iter; } } } - delete cell_iter; } } @@ -2896,6 +2894,7 @@ class PinModuleConnection : public PinVisitor const Pin* drvr_pin_; const dbModule* target_module_; dbModBTerm* dest_modbterm_; + dbModITerm* dest_moditerm_; friend class dbNetwork; }; @@ -2907,6 +2906,7 @@ PinModuleConnection::PinModuleConnection(const dbNetwork* nwk, drvr_pin_ = drvr_pin; target_module_ = target_module; dest_modbterm_ = nullptr; + dest_moditerm_ = nullptr; } void PinModuleConnection::operator()(const Pin* pin) @@ -2915,6 +2915,7 @@ void PinModuleConnection::operator()(const Pin* pin) dbBTerm* bterm; dbModBTerm* modbterm; dbModITerm* moditerm; + db_network_->staToDb(pin, iterm, bterm, moditerm, modbterm); (void) (iterm); (void) (bterm); @@ -2924,12 +2925,21 @@ void PinModuleConnection::operator()(const Pin* pin) if (modbterm->getParent() == target_module_) { dest_modbterm_ = modbterm; } + } else if (modbterm) { + if (modbterm->getParent() == target_module_) { + dest_modbterm_ = modbterm; + } + dbModITerm* moditerm = modbterm->getParentModITerm(); + if (moditerm->getParent()->getParent() == target_module_) { + dest_moditerm_ = moditerm; + } } } bool dbNetwork::ConnectionToModuleExists(dbITerm* source_pin, dbModule* dest_module, - dbModBTerm*& dest_modbterm) + dbModBTerm*& dest_modbterm, + dbModITerm*& dest_moditerm) { PinModuleConnection visitor(this, dbToSta(source_pin), dest_module); network_->visitConnectedPins(dbToSta(source_pin), visitor); @@ -2937,6 +2947,10 @@ bool dbNetwork::ConnectionToModuleExists(dbITerm* source_pin, dest_modbterm = visitor.dest_modbterm_; return true; } + if (visitor.dest_moditerm_ != nullptr) { + dest_moditerm = visitor.dest_moditerm_; + return true; + } return false; } @@ -2964,9 +2978,17 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, dest_pin->connect(source_db_mod_net); } else { // Attempt to factor connection (minimize punch through) - dbModBTerm* dest_modbterm; - if (ConnectionToModuleExists(source_pin, dest_db_module, dest_modbterm)) { - dbModNet* dest_mod_net = dest_modbterm->getModNet(); + // + dbModBTerm* dest_modbterm = nullptr; + dbModITerm* dest_moditerm = nullptr; + if (ConnectionToModuleExists( + source_pin, dest_db_module, dest_modbterm, dest_moditerm)) { + dbModNet* dest_mod_net = nullptr; + if (dest_modbterm) { + dest_mod_net = dest_modbterm->getModNet(); + } else if (dest_moditerm) { + dest_mod_net = dest_moditerm->getModNet(); + } if (dest_mod_net) { dest_pin->connect(dest_mod_net); return; @@ -2992,6 +3014,11 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, = std::string(connection_name) + std::string("_o"); dbModBTerm* mod_bterm = dbModBTerm::create(cur_module, connection_name_o.c_str()); + if (!source_db_mod_net) { + source_db_mod_net + = dbModNet::create(source_db_module, connection_name_o.c_str()); + } + source_pin->connect(source_db_mod_net); mod_bterm->connect(source_db_mod_net); mod_bterm->setIoType(dbIoType::OUTPUT); mod_bterm->setSigType(dbSigType::SIGNAL); @@ -3000,10 +3027,12 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, dbModITerm* mod_iterm = dbModITerm::create(parent_inst, connection_name_o.c_str()); mod_iterm->setChildModBTerm(mod_bterm); + mod_bterm->setParentModITerm(mod_iterm); source_db_mod_net = dbModNet::create(cur_module, connection_name); mod_iterm->connect(source_db_mod_net); top_net = source_db_mod_net; } + // make dest hierarchy cur_module = dest_db_module; while (cur_module != highest_common_module) { @@ -3028,6 +3057,7 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, dbModITerm* mod_iterm = dbModITerm::create(parent_inst, connection_name_i.c_str()); mod_iterm->setChildModBTerm(mod_bterm); + mod_bterm->setParentModITerm(mod_iterm); if (cur_module != highest_common_module) { dest_db_mod_net = dbModNet::create(cur_module, connection_name); mod_iterm->connect(dest_db_mod_net); @@ -3075,4 +3105,64 @@ void dbNetwork::hierarchicalConnect(dbITerm* source_pin, } } +// Find a hierarchical module with a given name +// TODO: support finding uninstantiated modules +dbModule* dbNetwork::findModule(const char* name) +{ + dbModule* module = nullptr; + Instance* top_inst = topInstance(); + std::unique_ptr child_iter{childIterator(top_inst)}; + while (child_iter->hasNext()) { + Instance* child = child_iter->next(); + if (network_->isHierarchical(child)) { + dbInst* db_inst; + dbModInst* mod_inst; + staToDb(child, db_inst, mod_inst); + if (mod_inst) { + dbModule* master = mod_inst->getMaster(); + if (master) { + if (strcmp(master->getName(), name) == 0) { + module = master; + break; + } + } + } + } + } + return module; +} + +// Find a hierarchical instance with a given name +Instance* dbNetwork::findHierInstance(const char* name) +{ + Instance* inst = nullptr; + Instance* top_inst = topInstance(); + std::unique_ptr child_iter{childIterator(top_inst)}; + while (child_iter->hasNext()) { + Instance* child = child_iter->next(); + if (network_->isHierarchical(child) + && strcmp(network_->name(child), name) == 0) { + inst = child; + break; + } + } + return inst; +} + +void dbNetwork::replaceDesign(Instance* instance, dbModule* module) +{ + dbInst* db_inst; + dbModInst* mod_inst; + staToDb(instance, db_inst, mod_inst); + if (mod_inst) { + mod_inst->swapMaster(module); + } else { + logger_->error(ORD, + 1104, + "Instance {} cannot be replaced because it is not a " + "hierarchical module", + network_->name(instance)); + } +} + } // namespace sta diff --git a/src/dbSta/src/dbReadVerilog.cc b/src/dbSta/src/dbReadVerilog.cc index a0e0067a056..0eb53032029 100644 --- a/src/dbSta/src/dbReadVerilog.cc +++ b/src/dbSta/src/dbReadVerilog.cc @@ -179,7 +179,6 @@ class Verilog2db bool hasTerminals(Net* net) const; dbMaster* getMaster(Cell* cell); - dbModule* makeUniqueDbModule(const char* name); std::optional parseLineInfo(const std::string& attribute); Network* network_; @@ -187,7 +186,6 @@ class Verilog2db dbBlock* block_ = nullptr; Logger* logger_; std::map master_map_; - std::map uniquify_id_; // key: module name // Map file names to a unique id to avoid having to store the full file name // for each instance std::map src_file_id_; @@ -293,21 +291,6 @@ void Verilog2db::recordBusPortsOrder() } } -dbModule* Verilog2db::makeUniqueDbModule(const char* name) -{ - dbModule* module; - do { - std::string full_name(name); - int& id = uniquify_id_[name]; - if (id > 0) { - full_name += '-' + std::to_string(id); - } - ++id; - module = dbModule::create(block_, full_name.c_str()); - } while (module == nullptr); - return module; -} - std::optional Verilog2db::parseLineInfo( const std::string& attribute) { @@ -339,7 +322,8 @@ void Verilog2db::makeDbModule( module = block_->getTopModule(); } else { // This uniquifies the cell - module = makeUniqueDbModule(network_->name(cell)); + module = dbModule::makeUniqueDbModule( + network_->name(cell), network_->name(inst), block_); // Strip out the full hiearchical name. We are now // storing the module instances in the scope of their @@ -453,6 +437,7 @@ void Verilog2db::makeDbModule( dbModule* module = modinst->getMaster(); modbterm = module->findModBTerm(port_name_str.c_str()); moditerm->setChildModBTerm(modbterm); + modbterm->setParentModITerm(moditerm); (void) moditerm; debugPrint(logger_, @@ -465,7 +450,8 @@ void Verilog2db::makeDbModule( } } } - InstanceChildIterator* child_iter = network_->childIterator(inst); + std::unique_ptr child_iter{ + network_->childIterator(inst)}; while (child_iter->hasNext()) { Instance* child = child_iter->next(); if (network_->isHierarchical(child)) { @@ -538,7 +524,6 @@ void Verilog2db::makeDbModule( } } } - delete child_iter; if (module->getChildren().reversible() && module->getChildren().orderReversed()) { module->getChildren().reverse(); @@ -639,14 +624,14 @@ dbIoType Verilog2db::staToDb(PortDirection* dir) void Verilog2db::makeDbNets(const Instance* inst) { bool is_top = (inst == network_->topInstance()); - NetIterator* net_iter = network_->netIterator(inst); + std::unique_ptr net_iter{network_->netIterator(inst)}; // Todo, put dbnets in the module in case of hierarchy (not block) while (net_iter->hasNext()) { Net* net = net_iter->next(); const char* net_name = network_->pathName(net); + if (is_top || !hasTerminals(net)) { dbNet* db_net = dbNet::create(block_, net_name); - if (network_->isPower(net)) { db_net->setSigType(odb::dbSigType::POWER); } @@ -656,12 +641,12 @@ void Verilog2db::makeDbNets(const Instance* inst) // Sort connected pins for regression stability. PinSeq net_pins; - NetConnectedPinIterator* pin_iter = network_->connectedPinIterator(net); + std::unique_ptr pin_iter{ + network_->connectedPinIterator(net)}; while (pin_iter->hasNext()) { const Pin* pin = pin_iter->next(); net_pins.push_back(pin); } - delete pin_iter; sort(net_pins, PinPathNameLess(network_)); for (const Pin* pin : net_pins) { @@ -688,13 +673,13 @@ void Verilog2db::makeDbNets(const Instance* inst) } } } - delete net_iter; - InstanceChildIterator* child_iter = network_->childIterator(inst); + + std::unique_ptr child_iter{ + network_->childIterator(inst)}; while (child_iter->hasNext()) { const Instance* child = child_iter->next(); makeDbNets(child); } - delete child_iter; } void Verilog2db::makeVModNets( @@ -716,14 +701,38 @@ void Verilog2db::makeVModNets(const Instance* inst, dbModInst* mod_inst) std::unique_ptr pinIter{network_->pinIterator(inst)}; while (pinIter->hasNext()) { Pin* inst_pin = pinIter->next(); - Net* inst_pin_net = network_->net(inst_pin); + if (!inst_pin_net) { continue; } dbModNet* upper_mod_net = constructModNet(inst_pin_net, parent_module); - (void) upper_mod_net; + + dbModITerm* mod_iterm = nullptr; + dbModBTerm* mod_bterm = nullptr; + dbBTerm* bterm = nullptr; + dbITerm* iterm = nullptr; + staToDb(child_module, inst_pin, bterm, iterm, mod_bterm, mod_iterm); + if (mod_bterm) { + mod_iterm = mod_bterm->getParentModITerm(); + if (mod_iterm) { + mod_iterm->connect(upper_mod_net); + } + } + + // make sure any top level bterms are connected to this net too... + if (parent_module == block_->getTopModule()) { + NetConnectedPinIterator* pin_iter + = network_->connectedPinIterator(inst_pin_net); + while (pin_iter->hasNext()) { + const Pin* pin = pin_iter->next(); + staToDb(parent_module, pin, bterm, iterm, mod_bterm, mod_iterm); + if (bterm) { + bterm->connect(upper_mod_net); + } + } + } // push down inside the hierarchical instance to find any // modnets connected on the inside of the instance @@ -789,10 +798,8 @@ dbModNet* Verilog2db::constructModNet(Net* inst_pin_net, dbModule* module) bool Verilog2db::hasTerminals(Net* net) const { - NetTermIterator* term_iter = network_->termIterator(net); - bool has_terms = term_iter->hasNext(); - delete term_iter; - return has_terms; + std::unique_ptr term_iter{network_->termIterator(net)}; + return term_iter->hasNext(); } dbMaster* Verilog2db::getMaster(Cell* cell) diff --git a/src/dbSta/src/dbSdcNetwork.cc b/src/dbSta/src/dbSdcNetwork.cc index feef1bcefc8..2020f70528a 100644 --- a/src/dbSta/src/dbSdcNetwork.cc +++ b/src/dbSta/src/dbSdcNetwork.cc @@ -91,14 +91,14 @@ InstanceSeq dbSdcNetwork::findInstancesMatching( void dbSdcNetwork::findInstancesMatching1(const PatternMatch* pattern, InstanceSeq& insts) const { - InstanceChildIterator* child_iter = childIterator(topInstance()); + std::unique_ptr child_iter{ + childIterator(topInstance())}; while (child_iter->hasNext()) { Instance* child = child_iter->next(); if (pattern->match(staToSdc(name(child)))) { insts.push_back(child); } } - delete child_iter; } NetSeq dbSdcNetwork::findNetsMatching(const Instance*, @@ -129,14 +129,13 @@ NetSeq dbSdcNetwork::findNetsMatching(const Instance*, void dbSdcNetwork::findNetsMatching1(const PatternMatch* pattern, NetSeq& nets) const { - NetIterator* net_iter = netIterator(topInstance()); + std::unique_ptr net_iter{netIterator(topInstance())}; while (net_iter->hasNext()) { Net* net = net_iter->next(); if (pattern->match(staToSdc(name(net)))) { nets.push_back(net); } } - delete net_iter; } PinSeq dbSdcNetwork::findPinsMatching(const Instance* instance, @@ -145,17 +144,15 @@ PinSeq dbSdcNetwork::findPinsMatching(const Instance* instance, PinSeq pins; if (stringEq(pattern->pattern(), "*")) { // Pattern of '*' matches all child instance pins. - InstanceChildIterator* child_iter = childIterator(instance); + std::unique_ptr child_iter{childIterator(instance)}; while (child_iter->hasNext()) { Instance* child = child_iter->next(); - InstancePinIterator* pin_iter = pinIterator(child); + std::unique_ptr pin_iter{pinIterator(child)}; while (pin_iter->hasNext()) { Pin* pin = pin_iter->next(); pins.push_back(pin); } - delete pin_iter; } - delete child_iter; } else { char *inst_path, *port_name; pathNameLast(pattern->pattern(), inst_path, port_name); @@ -188,7 +185,8 @@ void dbSdcNetwork::findMatchingPins(const Instance* instance, bool bus_matches = port_pattern->match(port_name) || port_pattern->match(escapeDividers(port_name, network_)); - PortMemberIterator* member_iter = network_->memberIterator(port); + std::unique_ptr member_iter{ + network_->memberIterator(port)}; while (member_iter->hasNext()) { Port* member_port = member_iter->next(); Pin* pin = network_->findPin(instance, member_port); @@ -205,7 +203,6 @@ void dbSdcNetwork::findMatchingPins(const Instance* instance, } } } - delete member_iter; } else if (port_pattern->match(port_name) || port_pattern->match(escapeDividers(port_name, network_))) { Pin* pin = network_->findPin(instance, port); diff --git a/src/dbSta/src/dbSta.cc b/src/dbSta/src/dbSta.cc index 2c39356b99c..26d4163f65b 100644 --- a/src/dbSta/src/dbSta.cc +++ b/src/dbSta/src/dbSta.cc @@ -536,17 +536,31 @@ void dbSta::report_cell_usage(odb::dbModule* module, const bool verbose) module->getName()); } logger_->report(header_format, "Cell type report:", "Count", "Area"); + + const std::regex regexp(" |/|-"); + std::string metrics_suffix; + if (block->getTopModule() != module) { + metrics_suffix = fmt::format("__in_module:{}", module->getName()); + } + for (auto [type, stats] : instances_types) { - std::string type_name = getInstanceTypeText(type); + const std::string type_name = getInstanceTypeText(type); logger_->report( format, type_name, stats.count, stats.area / area_to_microns); total_area += stats.area; - std::regex regexp(" |/|-"); - logger_->metric("design__instance__count__class:" - + toLowerCase(regex_replace(type_name, regexp, "_")), + const std::string type_class + = toLowerCase(regex_replace(type_name, regexp, "_")); + const std::string metric_suffix = type_class + metrics_suffix; + + logger_->metric("design__instance__count__class:" + metric_suffix, stats.count); + logger_->metric("design__instance__area__class:" + metric_suffix, + stats.area / area_to_microns); } + logger_->metric("design__instance__count" + metrics_suffix, total_usage); + logger_->metric("design__instance__area" + metrics_suffix, + total_area / area_to_microns); logger_->report(format, "Total", total_usage, total_area / area_to_microns); if (verbose) { diff --git a/src/dbSta/src/dbSta.i b/src/dbSta/src/dbSta.i index 72285371aef..48909c4599c 100644 --- a/src/dbSta/src/dbSta.i +++ b/src/dbSta/src/dbSta.i @@ -190,4 +190,28 @@ write_verilog_cmd(const char *filename, delete remove_cells; } +Instance* +find_hier_inst_cmd(const char *name) +{ + ord::OpenRoad *openroad = ord::getOpenRoad(); + sta::dbNetwork *db_network = openroad->getDbNetwork(); + return db_network->findHierInstance(name); +} + +odb::dbModule* +find_module_cmd(const char *name) +{ + ord::OpenRoad *openroad = ord::getOpenRoad(); + sta::dbNetwork *db_network = openroad->getDbNetwork(); + return db_network->findModule(name); +} + +void +replace_design_cmd(Instance* inst, odb::dbModule* module) +{ + ord::OpenRoad *openroad = ord::getOpenRoad(); + sta::dbNetwork *db_network = openroad->getDbNetwork(); + db_network->replaceDesign(inst, module); +} + %} // inline diff --git a/src/dbSta/src/dbSta.tcl b/src/dbSta/src/dbSta.tcl index 372c7996cec..d011c7876ee 100644 --- a/src/dbSta/src/dbSta.tcl +++ b/src/dbSta/src/dbSta.tcl @@ -112,5 +112,28 @@ proc sta_warn { id msg } { utl::warn STA $id $msg } +define_cmd_args "replace_design" {instance module} + +proc replace_design { instance module } { + set design [get_design_error $module] + if { $design != "NULL" } { + set inst [find_hier_inst_cmd $instance] + replace_design_cmd $inst $design + return 1 + } + return 0 +} + +proc get_design_error { arg } { + if { [llength $arg] > 1 } { + sta_error 200 "module must be a single module." + } + set design [find_module_cmd $arg] + if { $design == "NULL" } { + sta_error 201 "module $arg cannot be found." + } + return $design +} + # namespace } diff --git a/src/dbSta/test/hierclock_out.vok b/src/dbSta/test/hierclock_out.vok index e1478242f1c..6686b000a7c 100644 --- a/src/dbSta/test/hierclock_out.vok +++ b/src/dbSta/test/hierclock_out.vok @@ -36,7 +36,7 @@ module hierclock (a_count_valid_o, a_count_o[1], a_count_o[0]}), .count_valid_o(a_count_valid_o)); - counter-1 U3 (.clk_i(clk2_int), + counter_U3 U3 (.clk_i(clk2_int), .rst_n_i(rst_n_i), .load_i(b_ld_i), .load_value_i({b_i[3], @@ -200,7 +200,7 @@ module counter (clk_i, .Q(counter_q[3]), .QN(\U2/_38_ )); endmodule -module counter-1 (clk_i, +module counter_U3 (clk_i, rst_n_i, load_i, load_value_i, diff --git a/src/dbSta/test/read_verilog10.ok b/src/dbSta/test/read_verilog10.ok index 14a007e614f..09cea3b5a35 100644 --- a/src/dbSta/test/read_verilog10.ok +++ b/src/dbSta/test/read_verilog10.ok @@ -4,7 +4,7 @@ b1 block1 b1/r1 snl_ffqx1 b1/u1 snl_bufx1 b1/u2 snl_bufx1 -b2 block1-1 +b2 block1_b2 b2/r1 snl_ffqx1 b2/u1 snl_bufx1 b2/u2 snl_bufx1 diff --git a/src/dbSta/test/regression_tests.tcl b/src/dbSta/test/regression_tests.tcl index 4fad0606ba7..a52f411d8e3 100644 --- a/src/dbSta/test/regression_tests.tcl +++ b/src/dbSta/test/regression_tests.tcl @@ -35,6 +35,7 @@ record_tests { report_cell_usage report_cell_usage_modinsts + report_cell_usage_modinsts_metrics write_verilog1 write_verilog2 diff --git a/src/dbSta/test/report_cell_usage_modinsts.ok b/src/dbSta/test/report_cell_usage_modinsts.ok index 2d119ec14c5..963918703e5 100644 --- a/src/dbSta/test/report_cell_usage_modinsts.ok +++ b/src/dbSta/test/report_cell_usage_modinsts.ok @@ -16,7 +16,7 @@ Cell type report: Count Area Cell instance report: snl_bufx1 2 2000.00 snl_ffqx1 1 1000.00 -Cell type report for b2 (block1-1) +Cell type report for b2 (block1_b2) Cell type report: Count Area Buffer 2 2000.00 Sequential cell 1 1000.00 diff --git a/src/dbSta/test/report_cell_usage_modinsts_metrics.jsonok b/src/dbSta/test/report_cell_usage_modinsts_metrics.jsonok new file mode 100644 index 00000000000..dbe3566a831 --- /dev/null +++ b/src/dbSta/test/report_cell_usage_modinsts_metrics.jsonok @@ -0,0 +1,20 @@ +{ + "design__instance__count__class:buffer": 4, + "design__instance__area__class:buffer": 4000, + "design__instance__count__class:sequential_cell": 2, + "design__instance__area__class:sequential_cell": 2000, + "design__instance__count": 6, + "design__instance__area": 6000, + "design__instance__count__class:buffer__in_module:block1": 2, + "design__instance__area__class:buffer__in_module:block1": 2000, + "design__instance__count__class:sequential_cell__in_module:block1": 1, + "design__instance__area__class:sequential_cell__in_module:block1": 1000, + "design__instance__count__in_module:block1": 3, + "design__instance__area__in_module:block1": 3000, + "design__instance__count__class:buffer__in_module:block1.b2": 2, + "design__instance__area__class:buffer__in_module:block1.b2": 2000, + "design__instance__count__class:sequential_cell__in_module:block1.b2": 1, + "design__instance__area__class:sequential_cell__in_module:block1.b2": 1000, + "design__instance__count__in_module:block1.b2": 3, + "design__instance__area__in_module:block1.b2": 3000 +} \ No newline at end of file diff --git a/src/dbSta/test/report_cell_usage_modinsts_metrics.ok b/src/dbSta/test/report_cell_usage_modinsts_metrics.ok new file mode 100644 index 00000000000..c29e019e9e4 --- /dev/null +++ b/src/dbSta/test/report_cell_usage_modinsts_metrics.ok @@ -0,0 +1,30 @@ +[INFO ODB-0227] LEF file: liberty1.lef, created 2 layers, 6 library cells +Cell type report: Count Area + Buffer 4 4000.00 + Sequential cell 2 2000.00 + Total 6 6000.00 + +Cell instance report: + snl_bufx1 4 4000.00 + snl_ffqx1 2 2000.00 +Cell type report for b1 (block1) +Cell type report: Count Area + Buffer 2 2000.00 + Sequential cell 1 1000.00 + Total 3 3000.00 + +Cell instance report: + snl_bufx1 2 2000.00 + snl_ffqx1 1 1000.00 +Cell type report for b2 (block1_b2) +Cell type report: Count Area + Buffer 2 2000.00 + Sequential cell 1 1000.00 + Total 3 3000.00 + +Cell instance report: + snl_bufx1 2 2000.00 + snl_ffqx1 1 1000.00 +Differences found at line 14. + "design__instance__count__class:buffer__in_module:block1.b2": 2, + "design__instance__count__class:buffer__in_module:block1_b2": 2, diff --git a/src/dbSta/test/report_cell_usage_modinsts_metrics.tcl b/src/dbSta/test/report_cell_usage_modinsts_metrics.tcl new file mode 100644 index 00000000000..1530f49d707 --- /dev/null +++ b/src/dbSta/test/report_cell_usage_modinsts_metrics.tcl @@ -0,0 +1,20 @@ +# Report cell usage for modinsts with metrics + +source "helpers.tcl" +read_lef liberty1.lef +read_liberty liberty1.lib +read_verilog hier1.v +link_design top + +set metrics [make_result_file report_cell_usage_modinsts_metrics.json] + +utl::open_metrics $metrics + +report_cell_usage -verbose + +report_cell_usage -verbose b1 +report_cell_usage -verbose b2 + +utl::close_metrics $metrics + +diff_files report_cell_usage_modinsts_metrics.jsonok $metrics diff --git a/src/drt/include/triton_route/TritonRoute.h b/src/drt/include/triton_route/TritonRoute.h index cc8d6c78945..4d553bd3c10 100644 --- a/src/drt/include/triton_route/TritonRoute.h +++ b/src/drt/include/triton_route/TritonRoute.h @@ -75,6 +75,7 @@ struct frDebugSettings; class FlexDR; struct FlexDRViaData; class frMarker; +struct RouterConfiguration; struct ParamStruct { @@ -113,6 +114,10 @@ class TritonRoute stt::SteinerTreeBuilder* stt_builder); frDesign* getDesign() const { return design_.get(); } + RouterConfiguration* getRouterConfiguration() const + { + return router_cfg_.get(); + } int main(); void endFR(); @@ -177,8 +182,8 @@ class TritonRoute int getWorkerResultsSize(); void sendDesignDist(); bool writeGlobals(const std::string& name); - void sendDesignUpdates(const std::string& globals_path); - void sendGlobalsUpdates(const std::string& globals_path, + void sendDesignUpdates(const std::string& router_cfg_path); + void sendGlobalsUpdates(const std::string& router_cfg_path, const std::string& serializedViaData); void reportDRC(const std::string& file_name, const std::list>& markers, @@ -199,6 +204,7 @@ class TritonRoute std::unique_ptr design_; std::unique_ptr debug_; std::unique_ptr db_callback_; + std::unique_ptr router_cfg_; odb::dbDatabase* db_{nullptr}; utl::Logger* logger_{nullptr}; std::unique_ptr dr_; // kept for single stepping diff --git a/src/drt/src/TritonRoute.cpp b/src/drt/src/TritonRoute.cpp index 12897f58dfc..2823f528d11 100644 --- a/src/drt/src/TritonRoute.cpp +++ b/src/drt/src/TritonRoute.cpp @@ -73,6 +73,7 @@ namespace drt { TritonRoute::TritonRoute() : debug_(std::make_unique()), db_callback_(std::make_unique(this)), + router_cfg_(std::make_unique()), gui_(gui::Gui::get()) { } @@ -222,7 +223,7 @@ std::string TritonRoute::runDRWorker(const std::string& workerStr, worker->setSharedVolume(shared_volume_); worker->setDebugSettings(debug_.get()); if (graphics_) { - graphics_->startIter(worker->getDRIter()); + graphics_->startIter(worker->getDRIter(), router_cfg_.get()); } std::string result = worker->reloadedMain(); return result; @@ -278,7 +279,7 @@ void TritonRoute::debugSingleWorker(const std::string& dumpDir, worker->setDebugSettings(debug_.get()); worker->setViaData(&viaData); if (graphics_) { - graphics_->startIter(worker->getDRIter()); + graphics_->startIter(worker->getDRIter(), router_cfg_.get()); } std::string result = worker->reloadedMain(); bool updated = worker->end(design_.get()); @@ -305,13 +306,13 @@ void TritonRoute::updateGlobals(const char* file_name) } frIArchive ar(file); registerTypes(ar); - serializeGlobals(ar); + serializeGlobals(ar, router_cfg_.get()); file.close(); } void TritonRoute::resetDb(const char* file_name) { - design_ = std::make_unique(logger_); + design_ = std::make_unique(logger_, router_cfg_.get()); ord::OpenRoad::openRoad()->readDb(file_name); initDesign(); if (!db_->getChip()->getBlock()->getAccessPoints().empty()) { @@ -323,7 +324,7 @@ void TritonRoute::resetDb(const char* file_name) void TritonRoute::clearDesign() { - design_ = std::make_unique(logger_); + design_ = std::make_unique(logger_, router_cfg_.get()); } static void deserializeUpdate(frDesign* design, @@ -535,7 +536,7 @@ void TritonRoute::init(Tcl_Interp* tcl_interp, logger_ = logger; dist_ = dist; stt_builder_ = stt_builder; - design_ = std::make_unique(logger_); + design_ = std::make_unique(logger_, router_cfg_.get()); dist->addCallBack(new RoutingCallBack(this, dist, logger)); // Define swig TCL commands. Drt_Init(tcl_interp); @@ -545,10 +546,11 @@ void TritonRoute::init(Tcl_Interp* tcl_interp, bool TritonRoute::initGuide() { - io::GuideProcessor guide_processor(getDesign(), db_, logger_); + io::GuideProcessor guide_processor( + getDesign(), db_, logger_, router_cfg_.get()); bool guideOk = guide_processor.readGuides(); guide_processor.processGuides(); - io::Parser parser(db_, getDesign(), logger_); + io::Parser parser(db_, getDesign(), logger_, router_cfg_.get()); parser.initRPin(); return guideOk; } @@ -558,7 +560,7 @@ void TritonRoute::initDesign() || db_->getChip()->getBlock() == nullptr) { logger_->error(utl::DRT, 151, "Database, chip or block not initialized."); } - io::Parser parser(db_, getDesign(), logger_); + io::Parser parser(db_, getDesign(), logger_, router_cfg_.get()); if (getDesign()->getTopBlock() != nullptr) { parser.updateDesign(); return; @@ -567,37 +569,39 @@ void TritonRoute::initDesign() parser.readDesign(db_); auto tech = getDesign()->getTech(); - if (!VIAINPIN_BOTTOMLAYER_NAME.empty()) { - frLayer* layer = tech->getLayer(VIAINPIN_BOTTOMLAYER_NAME); + if (!router_cfg_->VIAINPIN_BOTTOMLAYER_NAME.empty()) { + frLayer* layer = tech->getLayer(router_cfg_->VIAINPIN_BOTTOMLAYER_NAME); if (layer) { - VIAINPIN_BOTTOMLAYERNUM = layer->getLayerNum(); + router_cfg_->VIAINPIN_BOTTOMLAYERNUM = layer->getLayerNum(); } else { logger_->warn(utl::DRT, 606, "via in pin bottom layer {} not found.", - VIAINPIN_BOTTOMLAYER_NAME); + router_cfg_->VIAINPIN_BOTTOMLAYER_NAME); } } - if (!VIAINPIN_TOPLAYER_NAME.empty()) { - frLayer* layer = tech->getLayer(VIAINPIN_TOPLAYER_NAME); + if (!router_cfg_->VIAINPIN_TOPLAYER_NAME.empty()) { + frLayer* layer = tech->getLayer(router_cfg_->VIAINPIN_TOPLAYER_NAME); if (layer) { - VIAINPIN_TOPLAYERNUM = layer->getLayerNum(); + router_cfg_->VIAINPIN_TOPLAYERNUM = layer->getLayerNum(); } else { logger_->warn(utl::DRT, 607, "via in pin top layer {} not found.", - VIAINPIN_TOPLAYER_NAME); + router_cfg_->VIAINPIN_TOPLAYER_NAME); } } - if (!REPAIR_PDN_LAYER_NAME.empty()) { - frLayer* layer = tech->getLayer(REPAIR_PDN_LAYER_NAME); + if (!router_cfg_->REPAIR_PDN_LAYER_NAME.empty()) { + frLayer* layer = tech->getLayer(router_cfg_->REPAIR_PDN_LAYER_NAME); if (layer) { - GC_IGNORE_PDN_LAYER_NUM = layer->getLayerNum(); + router_cfg_->GC_IGNORE_PDN_LAYER_NUM = layer->getLayerNum(); } else { - logger_->warn( - utl::DRT, 617, "PDN layer {} not found.", REPAIR_PDN_LAYER_NAME); + logger_->warn(utl::DRT, + 617, + "PDN layer {} not found.", + router_cfg_->REPAIR_PDN_LAYER_NAME); } } parser.postProcess(); @@ -606,19 +610,19 @@ void TritonRoute::initDesign() void TritonRoute::prep() { - FlexRP rp(getDesign(), getDesign()->getTech(), logger_); + FlexRP rp(getDesign(), getDesign()->getTech(), logger_, router_cfg_.get()); rp.main(); } void TritonRoute::gr() { - FlexGR gr(getDesign(), logger_, stt_builder_); + FlexGR gr(getDesign(), logger_, stt_builder_, router_cfg_.get()); gr.main(db_); } void TritonRoute::ta() { - FlexTA ta(getDesign(), logger_, distributed_); + FlexTA ta(getDesign(), logger_, router_cfg_.get(), distributed_); ta.setDebug(debug_.get(), db_); ta.main(); } @@ -626,12 +630,13 @@ void TritonRoute::ta() void TritonRoute::dr() { num_drvs_ = -1; - dr_ = std::make_unique(this, getDesign(), logger_, db_); + dr_ = std::make_unique( + this, getDesign(), logger_, db_, router_cfg_.get()); dr_->setDebug(debug_.get()); if (distributed_) { dr_->setDistributed(dist_, dist_ip_, dist_port_, shared_volume_); } - if (SINGLE_STEP_DR) { + if (router_cfg_->SINGLE_STEP_DR) { dr_->init(); } else { dr_->main(); @@ -662,12 +667,12 @@ void TritonRoute::stepDR(int size, void TritonRoute::endFR() { - if (SINGLE_STEP_DR) { + if (router_cfg_->SINGLE_STEP_DR) { dr_->end(/* done */ true); } dr_.reset(); io::Writer writer(getDesign(), logger_); - writer.updateDb(db_); + writer.updateDb(db_, router_cfg_.get()); if (debug_->writeNetTracks) { writer.updateTrackAssignment(db_->getChip()->getBlock()); } @@ -679,17 +684,18 @@ void TritonRoute::endFR() void TritonRoute::repairPDNVias() { - if (REPAIR_PDN_LAYER_NAME.empty()) { + if (router_cfg_->REPAIR_PDN_LAYER_NAME.empty()) { return; } auto dbBlock = db_->getChip()->getBlock(); - auto pdnLayer = design_->getTech()->getLayer(REPAIR_PDN_LAYER_NAME); + auto pdnLayer + = design_->getTech()->getLayer(router_cfg_->REPAIR_PDN_LAYER_NAME); frLayerNum pdnLayerNum = pdnLayer->getLayerNum(); frList> markers; auto blockBox = design_->getTopBlock()->getBBox(); - REPAIR_PDN_LAYER_NUM = pdnLayerNum; - GC_IGNORE_PDN_LAYER_NUM = -1; + router_cfg_->REPAIR_PDN_LAYER_NUM = pdnLayerNum; + router_cfg_->GC_IGNORE_PDN_LAYER_NUM = -1; getDRCMarkers(markers, blockBox); markers.erase(std::remove_if(markers.begin(), markers.end(), @@ -808,7 +814,7 @@ bool TritonRoute::writeGlobals(const std::string& name) } frOArchive ar(file); registerTypes(ar); - serializeGlobals(ar); + serializeGlobals(ar, router_cfg_.get()); file.close(); return true; } @@ -817,9 +823,10 @@ void TritonRoute::sendDesignDist() { if (distributed_) { std::string design_path = fmt::format("{}DESIGN.db", shared_volume_); - std::string globals_path = fmt::format("{}DESIGN.globals", shared_volume_); + std::string router_cfg_path + = fmt::format("{}DESIGN.router_cfg", shared_volume_); ord::OpenRoad::openRoad()->writeDb(design_path.c_str()); - writeGlobals(globals_path); + writeGlobals(router_cfg_path); dst::JobMessage msg(dst::JobMessage::UPDATE_DESIGN, dst::JobMessage::BROADCAST), result(dst::JobMessage::NONE); @@ -829,7 +836,7 @@ void TritonRoute::sendDesignDist() = static_cast(desc.get()); rjd->setDesignPath(design_path); rjd->setSharedDir(shared_volume_); - rjd->setGlobalsPath(globals_path); + rjd->setGlobalsPath(router_cfg_path); rjd->setDesignUpdate(false); msg.setJobDescription(std::move(desc)); bool ok = dist_->sendJob(msg, dist_ip_.c_str(), dist_port_, result); @@ -849,7 +856,7 @@ static void serializeUpdatesBatch(const std::vector& batch, file.close(); } -void TritonRoute::sendGlobalsUpdates(const std::string& globals_path, +void TritonRoute::sendGlobalsUpdates(const std::string& router_cfg_path, const std::string& serializedViaData) { if (!distributed_) { @@ -862,17 +869,17 @@ void TritonRoute::sendGlobalsUpdates(const std::string& globals_path, std::unique_ptr desc = std::make_unique(); RoutingJobDescription* rjd = static_cast(desc.get()); - rjd->setGlobalsPath(globals_path); + rjd->setGlobalsPath(router_cfg_path); rjd->setSharedDir(shared_volume_); rjd->setViaData(serializedViaData); msg.setJobDescription(std::move(desc)); bool ok = dist_->sendJob(msg, dist_ip_.c_str(), dist_port_, result); if (!ok) { - logger_->error(DRT, 9504, "Updating globals remotely failed"); + logger_->error(DRT, 9504, "Updating router_cfg remotely failed"); } } -void TritonRoute::sendDesignUpdates(const std::string& globals_path) +void TritonRoute::sendDesignUpdates(const std::string& router_cfg_path) { if (!distributed_) { return; @@ -887,7 +894,7 @@ void TritonRoute::sendDesignUpdates(const std::string& globals_path) serializeTask = std::make_unique("DIST: SERIALIZE_UPDATES"); } const auto& designUpdates = design_->getUpdates(); - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); std::vector updates(designUpdates.size()); #pragma omp parallel for schedule(dynamic) for (int i = 0; i < designUpdates.size(); i++) { @@ -908,7 +915,7 @@ void TritonRoute::sendDesignUpdates(const std::string& globals_path) = std::make_unique(); RoutingJobDescription* rjd = static_cast(desc.get()); rjd->setUpdates(updates); - rjd->setGlobalsPath(globals_path); + rjd->setGlobalsPath(router_cfg_path); rjd->setSharedDir(shared_volume_); rjd->setDesignUpdate(true); msg.setJobDescription(std::move(desc)); @@ -923,21 +930,21 @@ void TritonRoute::sendDesignUpdates(const std::string& globals_path) int TritonRoute::main() { - if (DBPROCESSNODE == "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { - USENONPREFTRACKS = false; + if (router_cfg_->DBPROCESSNODE == "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { + router_cfg_->USENONPREFTRACKS = false; } asio::thread_pool pa_pool(1); if (!distributed_) { pa_pool.join(); } if (debug_->debugDumpDR) { - std::string globals_path - = fmt::format("{}/init_globals.bin", debug_->dumpDir); - writeGlobals(globals_path); + std::string router_cfg_path + = fmt::format("{}/init_router_cfg_->bin", debug_->dumpDir); + writeGlobals(router_cfg_path); } - MAX_THREADS = ord::OpenRoad::openRoad()->getThreadCount(); + router_cfg_->MAX_THREADS = ord::OpenRoad::openRoad()->getThreadCount(); if (distributed_) { - if (DO_PA) { + if (router_cfg_->DO_PA) { asio::post(pa_pool, [this]() { sendDesignDist(); dst::JobMessage msg(dst::JobMessage::PIN_ACCESS, @@ -967,15 +974,15 @@ int TritonRoute::main() "(with at least 2 terms)"); return 0; } - if (DO_PA) { - FlexPA pa(getDesign(), logger_, dist_); + if (router_cfg_->DO_PA) { + FlexPA pa(getDesign(), logger_, dist_, router_cfg_.get()); pa.setDistributed(dist_ip_, dist_port_, shared_volume_, cloud_sz_); pa.setDebug(debug_.get(), db_); pa_pool.join(); pa.main(); if (distributed_ || debug_->debugDR || debug_->debugDumpDR) { io::Writer writer(getDesign(), logger_); - writer.updateDb(db_, true); + writer.updateDb(db_, router_cfg_.get(), true); } if (distributed_) { asio::post(dist_pool_, [this]() { @@ -992,8 +999,9 @@ int TritonRoute::main() } if (!initGuide()) { gr(); - ENABLE_VIA_GEN = true; - io::GuideProcessor guide_processor(getDesign(), db_, logger_); + router_cfg_->ENABLE_VIA_GEN = true; + io::GuideProcessor guide_processor( + getDesign(), db_, logger_, router_cfg_.get()); guide_processor.readGuides(); guide_processor.processGuides(); } @@ -1004,7 +1012,7 @@ int TritonRoute::main() boost::bind(&TritonRoute::sendDesignUpdates, this, "")); } dr(); - if (!SINGLE_STEP_DR) { + if (!router_cfg_->SINGLE_STEP_DR) { endFR(); } return 0; @@ -1025,10 +1033,10 @@ void TritonRoute::pinAccess(const std::vector& target_insts) }); } clearDesign(); - MAX_THREADS = ord::OpenRoad::openRoad()->getThreadCount(); - ENABLE_VIA_GEN = true; + router_cfg_->MAX_THREADS = ord::OpenRoad::openRoad()->getThreadCount(); + router_cfg_->ENABLE_VIA_GEN = true; initDesign(); - FlexPA pa(getDesign(), logger_, dist_); + FlexPA pa(getDesign(), logger_, dist_, router_cfg_.get()); pa.setTargetInstances(target_insts); pa.setDebug(debug_.get(), db_); if (distributed_) { @@ -1037,7 +1045,7 @@ void TritonRoute::pinAccess(const std::vector& target_insts) } pa.main(); io::Writer writer(getDesign(), logger_); - writer.updateDb(db_, true); + writer.updateDb(db_, router_cfg_.get(), true); } void TritonRoute::fixMaxSpacing() @@ -1045,17 +1053,18 @@ void TritonRoute::fixMaxSpacing() initDesign(); initGuide(); prep(); - dr_ = std::make_unique(this, getDesign(), logger_, db_); + dr_ = std::make_unique( + this, getDesign(), logger_, db_, router_cfg_.get()); dr_->init(); dr_->fixMaxSpacing(); io::Writer writer(getDesign(), logger_); - writer.updateDb(db_); + writer.updateDb(db_, router_cfg_.get()); } void TritonRoute::getDRCMarkers(frList>& markers, const Rect& requiredDrcBox) { - MAX_THREADS = ord::OpenRoad::openRoad()->getThreadCount(); + router_cfg_->MAX_THREADS = ord::OpenRoad::openRoad()->getThreadCount(); std::vector>> workersBatches(1); auto size = 7; auto offset = 0; @@ -1074,23 +1083,23 @@ void TritonRoute::getDRCMarkers(frList>& markers, routeBox2.yMax()); Rect extBox; Rect drcBox; - routeBox.bloat(DRCSAFEDIST, drcBox); - routeBox.bloat(MTSAFEDIST, extBox); + routeBox.bloat(router_cfg_->DRCSAFEDIST, drcBox); + routeBox.bloat(router_cfg_->MTSAFEDIST, extBox); if (!drcBox.intersects(requiredDrcBox)) { continue; } - auto gcWorker - = std::make_unique(design_->getTech(), logger_); + auto gcWorker = std::make_unique( + design_->getTech(), logger_, router_cfg_.get()); gcWorker->setDrcBox(drcBox); gcWorker->setExtBox(extBox); - if (workersBatches.back().size() >= BATCHSIZE) { + if (workersBatches.back().size() >= router_cfg_->BATCHSIZE) { workersBatches.emplace_back(); } workersBatches.back().push_back(std::move(gcWorker)); } } std::map mapMarkers; - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); for (auto& workers : workersBatches) { #pragma omp parallel for schedule(dynamic) for (int i = 0; i < workers.size(); i++) { // NOLINT @@ -1125,13 +1134,14 @@ void TritonRoute::checkDRC(const char* filename, int y2, const std::string& marker_name) { - GC_IGNORE_PDN_LAYER_NUM = -1; - REPAIR_PDN_LAYER_NUM = -1; + router_cfg_->GC_IGNORE_PDN_LAYER_NUM = -1; + router_cfg_->REPAIR_PDN_LAYER_NUM = -1; initDesign(); auto gcellGrid = db_->getChip()->getBlock()->getGCellGrid(); if (gcellGrid != nullptr && gcellGrid->getNumGridPatternsX() == 1 && gcellGrid->getNumGridPatternsY() == 1) { - io::GuideProcessor guide_processor(getDesign(), db_, logger_); + io::GuideProcessor guide_processor( + getDesign(), db_, logger_, router_cfg_.get()); guide_processor.readGuides(); guide_processor.buildGCellPatterns(); } else if (!initGuide()) { @@ -1183,40 +1193,40 @@ void TritonRoute::setUnidirectionalLayer(const std::string& layerName) void TritonRoute::setParams(const ParamStruct& params) { - OUT_MAZE_FILE = params.outputMazeFile; - DRC_RPT_FILE = params.outputDrcFile; - DRC_RPT_ITER_STEP = params.drcReportIterStep; - CMAP_FILE = params.outputCmapFile; - GUIDE_REPORT_FILE = params.outputGuideCoverageFile; - VERBOSE = params.verbose; - ENABLE_VIA_GEN = params.enableViaGen; - DBPROCESSNODE = params.dbProcessNode; - CLEAN_PATCHES = params.cleanPatches; - DO_PA = params.doPa; - SINGLE_STEP_DR = params.singleStepDR; + router_cfg_->OUT_MAZE_FILE = params.outputMazeFile; + router_cfg_->DRC_RPT_FILE = params.outputDrcFile; + router_cfg_->DRC_RPT_ITER_STEP = params.drcReportIterStep; + router_cfg_->CMAP_FILE = params.outputCmapFile; + router_cfg_->GUIDE_REPORT_FILE = params.outputGuideCoverageFile; + router_cfg_->VERBOSE = params.verbose; + router_cfg_->ENABLE_VIA_GEN = params.enableViaGen; + router_cfg_->DBPROCESSNODE = params.dbProcessNode; + router_cfg_->CLEAN_PATCHES = params.cleanPatches; + router_cfg_->DO_PA = params.doPa; + router_cfg_->SINGLE_STEP_DR = params.singleStepDR; if (!params.viaInPinBottomLayer.empty()) { - VIAINPIN_BOTTOMLAYER_NAME = params.viaInPinBottomLayer; + router_cfg_->VIAINPIN_BOTTOMLAYER_NAME = params.viaInPinBottomLayer; } if (!params.viaInPinTopLayer.empty()) { - VIAINPIN_TOPLAYER_NAME = params.viaInPinTopLayer; + router_cfg_->VIAINPIN_TOPLAYER_NAME = params.viaInPinTopLayer; } if (params.drouteEndIter >= 0) { - END_ITERATION = params.drouteEndIter; + router_cfg_->END_ITERATION = params.drouteEndIter; } - OR_SEED = params.orSeed; - OR_K = params.orK; + router_cfg_->OR_SEED = params.orSeed; + router_cfg_->OR_K = params.orK; if (!params.bottomRoutingLayer.empty()) { - BOTTOM_ROUTING_LAYER_NAME = params.bottomRoutingLayer; + router_cfg_->BOTTOM_ROUTING_LAYER_NAME = params.bottomRoutingLayer; } if (!params.topRoutingLayer.empty()) { - TOP_ROUTING_LAYER_NAME = params.topRoutingLayer; + router_cfg_->TOP_ROUTING_LAYER_NAME = params.topRoutingLayer; } if (params.minAccessPoints > 0) { - MINNUMACCESSPOINT_STDCELLPIN = params.minAccessPoints; - MINNUMACCESSPOINT_MACROCELLPIN = params.minAccessPoints; + router_cfg_->MINNUMACCESSPOINT_STDCELLPIN = params.minAccessPoints; + router_cfg_->MINNUMACCESSPOINT_MACROCELLPIN = params.minAccessPoints; } - SAVE_GUIDE_UPDATES = params.saveGuideUpdates; - REPAIR_PDN_LAYER_NAME = params.repairPDNLayerName; + router_cfg_->SAVE_GUIDE_UPDATES = params.saveGuideUpdates; + router_cfg_->REPAIR_PDN_LAYER_NAME = params.repairPDNLayerName; } void TritonRoute::addWorkerResults( @@ -1351,7 +1361,7 @@ void TritonRoute::reportDRC(const std::string& file_name, } if (file_name.empty()) { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->warn( DRT, 290, diff --git a/src/drt/src/TritonRoute.i b/src/drt/src/TritonRoute.i index c1199414f4d..0fda20c9a47 100644 --- a/src/drt/src/TritonRoute.i +++ b/src/drt/src/TritonRoute.i @@ -203,11 +203,11 @@ void run_worker_cmd(const char* dump_dir, const char* worker_dir, const char* drc_rpt) { auto* router = ord::OpenRoad::openRoad()->getTritonRoute(); - router->updateGlobals(fmt::format("{}/init_globals.bin", dump_dir).c_str()); + router->updateGlobals(fmt::format("{}/init_router_cfg.bin", dump_dir).c_str()); router->resetDb(fmt::format("{}/design.odb", dump_dir).c_str()); - router->updateGlobals(fmt::format("{}/{}/globals.bin", dump_dir, worker_dir).c_str()); + router->updateGlobals(fmt::format("{}/{}/router_cfg.bin", dump_dir, worker_dir).c_str()); router->updateDesign(fmt::format("{}/{}/updates.bin", dump_dir, worker_dir).c_str()); - router->updateGlobals(fmt::format("{}/{}/worker_globals.bin", dump_dir, worker_dir).c_str()); + router->updateGlobals(fmt::format("{}/{}/worker_router_cfg.bin", dump_dir, worker_dir).c_str()); router->debugSingleWorker(fmt::format("{}/{}", dump_dir, worker_dir), drc_rpt); } diff --git a/src/drt/src/db/drObj/drNet.h b/src/drt/src/db/drObj/drNet.h index a08fa4a87b6..c38fb9e6547 100644 --- a/src/drt/src/db/drObj/drNet.h +++ b/src/drt/src/db/drObj/drNet.h @@ -43,17 +43,17 @@ class drNet : public drBlockObject { public: // constructors - drNet(frNet* net) : fNet_(net) + drNet(frNet* net, RouterConfiguration* router_cfg) : fNet_(net) { if (hasNDR()) { - maxRipupAvoids_ = NDR_NETS_RIPUP_HARDINESS; + maxRipupAvoids_ = router_cfg->NDR_NETS_RIPUP_HARDINESS; } if (isClockNetTrunk()) { - maxRipupAvoids_ - = std::max((int) maxRipupAvoids_, CLOCK_NETS_TRUNK_RIPUP_HARDINESS); + maxRipupAvoids_ = std::max((int) maxRipupAvoids_, + router_cfg->CLOCK_NETS_TRUNK_RIPUP_HARDINESS); } else if (isClockNetLeaf()) { - maxRipupAvoids_ - = std::max((int) maxRipupAvoids_, CLOCK_NETS_LEAF_RIPUP_HARDINESS); + maxRipupAvoids_ = std::max((int) maxRipupAvoids_, + router_cfg->CLOCK_NETS_LEAF_RIPUP_HARDINESS); } } // getters diff --git a/src/drt/src/db/obj/frNet.h b/src/drt/src/db/obj/frNet.h index e23158a0ef2..4100898a925 100644 --- a/src/drt/src/db/obj/frNet.h +++ b/src/drt/src/db/obj/frNet.h @@ -49,7 +49,10 @@ class frNet : public frBlockObject { public: // constructors - frNet(const frString& in) : name_(in) {} + frNet(const frString& in, RouterConfiguration* router_cfg) + : name_(in), router_cfg_(router_cfg) + { + } // getters const frString& getName() const { return name_; } const std::vector& getInstTerms() const { return instTerms_; } @@ -224,10 +227,10 @@ class frNet : public frBlockObject { int max = absPriorityLvl; if (hasNDR()) { - max = std::max(max, NDR_NETS_ABS_PRIORITY); + max = std::max(max, router_cfg_->NDR_NETS_ABS_PRIORITY); } if (isClock()) { - max = std::max(max, CLOCK_NETS_ABS_PRIORITY); + max = std::max(max, router_cfg_->CLOCK_NETS_ABS_PRIORITY); } absPriorityLvl = max; } @@ -242,6 +245,7 @@ class frNet : public frBlockObject protected: frString name_; + RouterConfiguration* router_cfg_; std::vector instTerms_; std::vector bterms_; // dr diff --git a/src/drt/src/db/tech/frTechObject.h b/src/drt/src/db/tech/frTechObject.h index ddce61ec645..2ef57382ad2 100644 --- a/src/drt/src/db/tech/frTechObject.h +++ b/src/drt/src/db/tech/frTechObject.h @@ -267,12 +267,12 @@ class frTechObject } } - void printDefaultVias(Logger* logger) + void printDefaultVias(Logger* logger, RouterConfiguration* router_cfg) { logger->info(DRT, 167, "List of default vias:"); for (auto& layer : layers_) { if (layer->getType() == dbTechLayerType::CUT - && layer->getLayerNum() >= BOTTOM_ROUTING_LAYER) { + && layer->getLayerNum() >= router_cfg->BOTTOM_ROUTING_LAYER) { logger->report(" Layer {}", layer->getName()); if (layer->getDefaultViaDef() != nullptr) { logger->report(" default via: {}", diff --git a/src/drt/src/distributed/RoutingCallBack.h b/src/drt/src/distributed/RoutingCallBack.h index 581dae478b0..945949d4777 100644 --- a/src/drt/src/distributed/RoutingCallBack.h +++ b/src/drt/src/distributed/RoutingCallBack.h @@ -67,7 +67,10 @@ class RoutingCallBack : public dst::JobCallBack dist_(dist), logger_(logger), init_(true), - pa_(router->getDesign(), logger, nullptr) + pa_(router->getDesign(), + logger, + nullptr, + router->getRouterConfiguration()) { } void onRoutingJobReceived(dst::JobMessage& msg, dst::socket& sock) override @@ -124,8 +127,8 @@ class RoutingCallBack : public dst::JobCallBack RoutingJobDescription* desc = static_cast(msg.getJobDescription()); if (!desc->getGlobalsPath().empty()) { - if (globals_path_ != desc->getGlobalsPath()) { - globals_path_ = desc->getGlobalsPath(); + if (router_cfg_path_ != desc->getGlobalsPath()) { + router_cfg_path_ = desc->getGlobalsPath(); router_->setSharedVolume(desc->getSharedDir()); router_->updateGlobals(desc->getGlobalsPath().c_str()); } @@ -258,7 +261,7 @@ class RoutingCallBack : public dst::JobCallBack dst::Distributed* dist_; utl::Logger* logger_; std::string design_path_; - std::string globals_path_; + std::string router_cfg_path_; bool init_; FlexDRViaData via_data_; FlexPA pa_; diff --git a/src/drt/src/distributed/RoutingJobDescription.h b/src/drt/src/distributed/RoutingJobDescription.h index dcb9643a1f2..aea47f6b763 100644 --- a/src/drt/src/distributed/RoutingJobDescription.h +++ b/src/drt/src/distributed/RoutingJobDescription.h @@ -39,7 +39,7 @@ namespace drt { class RoutingJobDescription : public dst::JobDescription { public: - void setGlobalsPath(const std::string& path) { globals_path_ = path; } + void setGlobalsPath(const std::string& path) { router_cfg_path_ = path; } void setSharedDir(const std::string& path) { shared_dir_ = path; } void setDesignPath(const std::string& path) { design_path_ = path; } void setGuidePath(const std::string& path) { guide_path_ = path; } @@ -54,7 +54,7 @@ class RoutingJobDescription : public dst::JobDescription void setSendEvery(int val) { send_every_ = val; } void setViaData(const std::string& val) { via_data_ = val; } void setDesignUpdate(const bool& value) { design_update_ = value; } - const std::string& getGlobalsPath() const { return globals_path_; } + const std::string& getGlobalsPath() const { return router_cfg_path_; } const std::string& getSharedDir() const { return shared_dir_; } const std::string& getDesignPath() const { return design_path_; } const std::string& getGuidePath() const { return guide_path_; } @@ -68,7 +68,7 @@ class RoutingJobDescription : public dst::JobDescription const std::string& getViaData() const { return via_data_; } private: - std::string globals_path_; + std::string router_cfg_path_; std::string design_path_; std::string shared_dir_; std::string guide_path_; @@ -82,7 +82,7 @@ class RoutingJobDescription : public dst::JobDescription void serialize(Archive& ar, const unsigned int version) { (ar) & boost::serialization::base_object(*this); - (ar) & globals_path_; + (ar) & router_cfg_path_; (ar) & design_path_; (ar) & shared_dir_; (ar) & guide_path_; diff --git a/src/drt/src/dr/FlexDR.cpp b/src/drt/src/dr/FlexDR.cpp index 8f477b05871..337d53e0cf7 100644 --- a/src/drt/src/dr/FlexDR.cpp +++ b/src/drt/src/dr/FlexDR.cpp @@ -104,11 +104,13 @@ void serializeViaData(const FlexDRViaData& viaData, std::string& serializedStr) FlexDR::FlexDR(TritonRoute* router, frDesign* designIn, Logger* loggerIn, - odb::dbDatabase* dbIn) + odb::dbDatabase* dbIn, + RouterConfiguration* router_cfg) : router_(router), design_(designIn), logger_(loggerIn), db_(dbIn), + router_cfg_(router_cfg), numWorkUnits_(0), dist_(nullptr), dist_on_(false), @@ -207,7 +209,7 @@ int FlexDRWorker::main(frDesign* design) using std::chrono::high_resolution_clock; high_resolution_clock::time_point t0 = high_resolution_clock::now(); auto micronPerDBU = 1.0 / getTech()->getDBUPerUU(); - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { logger_->report("start DR worker (BOX) ( {} {} ) ( {} {} )", routeBox_.xMin() * micronPerDBU, routeBox_.yMin() * micronPerDBU, @@ -257,12 +259,12 @@ int FlexDRWorker::main(frDesign* design) workerFile.close(); } { - std::ofstream globalsFile( - fmt::format("{}/worker_globals.bin", workerPath).c_str()); - frOArchive ar(globalsFile); + std::ofstream router_cfgFile( + fmt::format("{}/worker_router_cfg_->bin", workerPath).c_str()); + frOArchive ar(router_cfgFile); registerTypes(ar); - serializeGlobals(ar); - globalsFile.close(); + serializeGlobals(ar, router_cfg_); + router_cfgFile.close(); } } if (!skipRouting_) { @@ -283,7 +285,7 @@ int FlexDRWorker::main(frDesign* design) duration time_span1 = duration_cast>(t2 - t1); duration time_span2 = duration_cast>(t3 - t2); - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { std::stringstream ss; ss << "time (INIT/ROUTE/POST) " << time_span0.count() << " " << time_span1.count() << " " << time_span2.count() << " " << std::endl; @@ -310,7 +312,7 @@ int FlexDRWorker::main(frDesign* design) void FlexDRWorker::distributedMain(frDesign* design) { ProfileTask profile("DR:main"); - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { logger_->report("start DR worker (BOX) ( {} {} ) ( {} {} )", routeBox_.xMin() * 1.0 / getTech()->getDBUPerUU(), routeBox_.yMin() * 1.0 / getTech()->getDBUPerUU(), @@ -460,7 +462,7 @@ void FlexDR::init() { ProfileTask profile("DR:init"); frTime t; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 187, "Start routing data preparation."); } initGCell2BoundaryPin(); @@ -468,13 +470,13 @@ void FlexDR::init() init_halfViaEncArea(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { t.print(logger_); } iter_ = 0; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 194, "Start detail routing."); } for (const auto& net : getDesign()->getTopBlock()->getNets()) { @@ -581,12 +583,12 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) } if (dist_on_) { if ((iter % 10 == 0 && iter != 60) || iter == 3 || iter == 15) { - globals_path_ = fmt::format("{}globals.{}.ar", dist_dir_, iter); - router_->writeGlobals(globals_path_); + router_cfg_path_ = fmt::format("{}router_cfg_->{}.ar", dist_dir_, iter); + router_->writeGlobals(router_cfg_path_); } } frTime t; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::string suffix; if (iter == 1 || (iter > 20 && iter % 10 == 1)) { suffix = "st"; @@ -600,7 +602,7 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) logger_->info(DRT, 195, "Start {}{} optimization iteration.", iter, suffix); } if (graphics_) { - graphics_->startIter(iter); + graphics_->startIter(iter, router_cfg_); } auto gCellPatterns = getDesign()->getTopBlock()->getGCellPatterns(); auto& xgp = gCellPatterns.at(0); @@ -622,8 +624,8 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) int xIdx = 0, yIdx = 0; for (int i = offset; i < (int) xgp.getCount(); i += size) { for (int j = offset; j < (int) ygp.getCount(); j += size) { - auto worker - = std::make_unique(&via_data_, design_, logger_); + auto worker = std::make_unique( + &via_data_, design_, logger_, router_cfg_); Rect routeBox1 = getDesign()->getTopBlock()->getGCellBox(Point(i, j)); const int max_i = std::min((int) xgp.getCount() - 1, i + size - 1); const int max_j = std::min((int) ygp.getCount(), j + size - 1); @@ -635,8 +637,8 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) routeBox2.yMax()); Rect extBox; Rect drcBox; - routeBox.bloat(MTSAFEDIST, extBox); - routeBox.bloat(DRCSAFEDIST, drcBox); + routeBox.bloat(router_cfg_->MTSAFEDIST, extBox); + routeBox.bloat(router_cfg_->DRCSAFEDIST, drcBox); worker->setRouteBox(routeBox); worker->setExtBox(extBox); worker->setDrcBox(drcBox); @@ -667,7 +669,8 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) int batchIdx = (xIdx % batchStepX) * batchStepY + yIdx % batchStepY; if (workers[batchIdx].empty() || (!dist_on_ - && (int) workers[batchIdx].back().size() >= BATCHSIZE)) { + && (int) workers[batchIdx].back().size() + >= router_cfg_->BATCHSIZE)) { workers[batchIdx].push_back( std::vector>()); } @@ -679,7 +682,7 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) xIdx++; } - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); int version = 0; increaseClipsize_ = false; numWorkUnits_ = 0; @@ -697,9 +700,9 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) if (version++ == 0 && !design_->hasUpdates()) { std::string serializedViaData; serializeViaData(via_data_, serializedViaData); - router_->sendGlobalsUpdates(globals_path_, serializedViaData); + router_->sendGlobalsUpdates(router_cfg_path_, serializedViaData); } else { - router_->sendDesignUpdates(globals_path_); + router_->sendDesignUpdates(router_cfg_path_); } } { @@ -717,7 +720,7 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) #pragma omp critical { cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt * 1.0 / tot >= prev_perc / 100.0 + 0.1 && prev_perc < 90) { if (prev_perc == 0 && t.isExceed(0)) { @@ -791,7 +794,7 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) if (!iter) { removeGCell2BoundaryPin(); } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt * 1.0 / tot >= prev_perc / 100.0 + 0.1 && prev_perc >= 90) { if (prev_perc == 0 && t.isExceed(0)) { isExceed = true; @@ -806,7 +809,7 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) } } FlexDRConnectivityChecker checker( - router_, logger_, graphics_.get(), dist_on_); + router_, logger_, router_cfg_, graphics_.get(), dist_on_); checker.check(iter); if (getDesign()->getTopBlock()->getNumMarkers() == 0 && getTech()->hasMaxSpacingConstraints()) { @@ -819,7 +822,7 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) 1, "Number of work units = {}.", numWorkUnits_); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 199, " Number of violations = {}.", @@ -868,12 +871,14 @@ void FlexDR::searchRepair(const SearchRepairArgs& args) std::cout << std::flush; } end(); - if ((DRC_RPT_ITER_STEP && iter > 0 && iter % DRC_RPT_ITER_STEP.value() == 0) + if ((router_cfg_->DRC_RPT_ITER_STEP && iter > 0 + && iter % router_cfg_->DRC_RPT_ITER_STEP.value() == 0) || logger_->debugCheck(DRT, "autotuner", 1) || logger_->debugCheck(DRT, "report", 1)) { - router_->reportDRC(DRC_RPT_FILE + '-' + std::to_string(iter) + ".rpt", - design_->getTopBlock()->getMarkers(), - "DRC - iter " + std::to_string(iter)); + router_->reportDRC( + router_cfg_->DRC_RPT_FILE + '-' + std::to_string(iter) + ".rpt", + design_->getTopBlock()->getMarkers(), + "DRC - iter " + std::to_string(iter)); } } @@ -881,9 +886,9 @@ void FlexDR::end(bool done) { if (done) { router_->reportDRC( - DRC_RPT_FILE, design_->getTopBlock()->getMarkers(), "DRC"); + router_cfg_->DRC_RPT_FILE, design_->getTopBlock()->getMarkers(), "DRC"); } - if (done && VERBOSE > 0) { + if (done && router_cfg_->VERBOSE > 0) { logger_->info(DRT, 198, "Complete detail routing."); } @@ -930,7 +935,7 @@ void FlexDR::end(bool done) totWlen / topBlock->getDBUPerUU()); } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->report("Total wire length = {} um.", totWlen / topBlock->getDBUPerUU()); @@ -1036,77 +1041,76 @@ void FlexDR::end(bool done) } } -static std::vector strategy() +std::vector strategy(const frUInt4 shapeCost, + const frUInt4 markerCost) { - const frUInt4 shapeCost = ROUTESHAPECOST; - // clang-format off return { {7, 0, 3, shapeCost, 0, shapeCost, 0.950, RipUpMode::ALL , true}, // 0 {7, -2, 3, shapeCost, shapeCost, shapeCost, 0.950, RipUpMode::ALL , true}, // 1 {7, -5, 3, shapeCost, shapeCost, shapeCost, 0.950, RipUpMode::ALL , true}, // 2 - {7, 0, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 3 - {7, -1, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 4 - {7, -2, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 5 - {7, -3, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 6 - {7, -4, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 7 - {7, -5, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 8 - {7, -6, 8, shapeCost, MARKERCOST, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 9 - {7, 0, 8, 2 * shapeCost, MARKERCOST, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 10 - {7, -1, 8, 2 * shapeCost, MARKERCOST, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 11 - {7, -2, 8, 2 * shapeCost, MARKERCOST, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 12 - {7, -3, 8, 2 * shapeCost, MARKERCOST, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 13 - {7, -4, 8, 2 * shapeCost, MARKERCOST, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 14 - {7, -5, 8, 2 * shapeCost, MARKERCOST, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 15 - {7, -6, 8, 2 * shapeCost, MARKERCOST, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 16 - {7, -3, 8, shapeCost, MARKERCOST, 4 * shapeCost, 0.950, RipUpMode::ALL , false}, // 17 - {7, 0, 8, 4 * shapeCost, MARKERCOST, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 18 - {7, -1, 8, 4 * shapeCost, MARKERCOST, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 19 - {7, -2, 8, 4 * shapeCost, MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 20 - {7, -3, 8, 4 * shapeCost, MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 21 - {7, -4, 8, 4 * shapeCost, MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 22 - {7, -5, 8, shapeCost, MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::NEARDRC, false}, // 23 - {7, -6, 8, 4 * shapeCost, MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 24 - {5, -2, 8, shapeCost, MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::ALL , false}, // 25 - {7, 0, 8, 8 * shapeCost, 2 * MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 26 - {7, -1, 8, 8 * shapeCost, 2 * MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 27 - {7, -2, 8, 8 * shapeCost, 2 * MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 28 - {7, -3, 8, 8 * shapeCost, 2 * MARKERCOST, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 29 - {7, -4, 8, shapeCost, MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::NEARDRC, false}, // 30 - {7, -5, 8, 8 * shapeCost, 2 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 31 - {7, -6, 8, 8 * shapeCost, 2 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 32 - {3, -1, 8, shapeCost, MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::ALL , false}, // 33 - {7, 0, 8, 16 * shapeCost, 4 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 34 - {7, -1, 8, 16 * shapeCost, 4 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 35 - {7, -2, 8, 16 * shapeCost, 4 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 36 - {7, -3, 8, shapeCost, MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::NEARDRC, false}, // 37 - {7, -4, 8, 16 * shapeCost, 4 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 38 - {7, -5, 8, 16 * shapeCost, 4 * MARKERCOST, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 39 - {7, -6, 8, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 40 - {3, -2, 8, shapeCost, MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::ALL , false}, // 41 - {7, 0, 16, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 42 - {7, -1, 16, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 43 - {7, -2, 16, shapeCost, MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::NEARDRC, false}, // 44 - {7, -3, 16, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 45 - {7, -4, 16, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 46 - {7, -5, 16, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 47 - {7, -6, 16, 16 * shapeCost, 4 * MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 48 - {3, -0, 8, shapeCost, MARKERCOST, 100 * shapeCost, 0.990, RipUpMode::ALL , false}, // 49 - {7, 0, 32, 32 * shapeCost, 8 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 50 - {7, -1, 32, shapeCost, MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::NEARDRC, false}, // 51 - {7, -2, 32, 32 * shapeCost, 8 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 52 - {7, -3, 32, 32 * shapeCost, 8 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 53 - {7, -4, 32, 32 * shapeCost, 8 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 54 - {7, -5, 32, 32 * shapeCost, 8 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 55 - {7, -6, 32, 32 * shapeCost, 8 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 56 - {3, -1, 8, shapeCost, MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::ALL , false}, // 57 - {7, 0, 64, shapeCost, MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::NEARDRC, false}, // 58 - {7, -1, 64, 64 * shapeCost, 16 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 59 - {7, -2, 64, 64 * shapeCost, 16 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 60 - {7, -3, 64, 64 * shapeCost, 16 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 61 - {7, -4, 64, 64 * shapeCost, 16 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 62 - {7, -5, 64, 64 * shapeCost, 16 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 63 - {7, -6, 64, 64 * shapeCost, 16 * MARKERCOST, 100 * shapeCost, 0.999, RipUpMode::DRC , false} // 64 + {7, 0, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 3 + {7, -1, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 4 + {7, -2, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 5 + {7, -3, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 6 + {7, -4, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 7 + {7, -5, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 8 + {7, -6, 8, shapeCost, markerCost, 2 * shapeCost, 0.950, RipUpMode::DRC , false}, // 9 + {7, 0, 8, 2 * shapeCost, markerCost, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 10 + {7, -1, 8, 2 * shapeCost, markerCost, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 11 + {7, -2, 8, 2 * shapeCost, markerCost, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 12 + {7, -3, 8, 2 * shapeCost, markerCost, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 13 + {7, -4, 8, 2 * shapeCost, markerCost, 3 * shapeCost, 0.950, RipUpMode::DRC , false}, // 14 + {7, -5, 8, 2 * shapeCost, markerCost, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 15 + {7, -6, 8, 2 * shapeCost, markerCost, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 16 + {7, -3, 8, shapeCost, markerCost, 4 * shapeCost, 0.950, RipUpMode::ALL , false}, // 17 + {7, 0, 8, 4 * shapeCost, markerCost, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 18 + {7, -1, 8, 4 * shapeCost, markerCost, 4 * shapeCost, 0.950, RipUpMode::DRC , false}, // 19 + {7, -2, 8, 4 * shapeCost, markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 20 + {7, -3, 8, 4 * shapeCost, markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 21 + {7, -4, 8, 4 * shapeCost, markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 22 + {7, -5, 8, shapeCost, markerCost, 10 * shapeCost, 0.950, RipUpMode::NEARDRC, false}, // 23 + {7, -6, 8, 4 * shapeCost, markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 24 + {5, -2, 8, shapeCost, markerCost, 10 * shapeCost, 0.950, RipUpMode::ALL , false}, // 25 + {7, 0, 8, 8 * shapeCost, 2 * markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 26 + {7, -1, 8, 8 * shapeCost, 2 * markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 27 + {7, -2, 8, 8 * shapeCost, 2 * markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 28 + {7, -3, 8, 8 * shapeCost, 2 * markerCost, 10 * shapeCost, 0.950, RipUpMode::DRC , false}, // 29 + {7, -4, 8, shapeCost, markerCost, 50 * shapeCost, 0.950, RipUpMode::NEARDRC, false}, // 30 + {7, -5, 8, 8 * shapeCost, 2 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 31 + {7, -6, 8, 8 * shapeCost, 2 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 32 + {3, -1, 8, shapeCost, markerCost, 50 * shapeCost, 0.950, RipUpMode::ALL , false}, // 33 + {7, 0, 8, 16 * shapeCost, 4 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 34 + {7, -1, 8, 16 * shapeCost, 4 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 35 + {7, -2, 8, 16 * shapeCost, 4 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 36 + {7, -3, 8, shapeCost, markerCost, 50 * shapeCost, 0.950, RipUpMode::NEARDRC, false}, // 37 + {7, -4, 8, 16 * shapeCost, 4 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 38 + {7, -5, 8, 16 * shapeCost, 4 * markerCost, 50 * shapeCost, 0.950, RipUpMode::DRC , false}, // 39 + {7, -6, 8, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 40 + {3, -2, 8, shapeCost, markerCost, 100 * shapeCost, 0.990, RipUpMode::ALL , false}, // 41 + {7, 0, 16, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 42 + {7, -1, 16, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 43 + {7, -2, 16, shapeCost, markerCost, 100 * shapeCost, 0.990, RipUpMode::NEARDRC, false}, // 44 + {7, -3, 16, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 45 + {7, -4, 16, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 46 + {7, -5, 16, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 47 + {7, -6, 16, 16 * shapeCost, 4 * markerCost, 100 * shapeCost, 0.990, RipUpMode::DRC , false}, // 48 + {3, -0, 8, shapeCost, markerCost, 100 * shapeCost, 0.990, RipUpMode::ALL , false}, // 49 + {7, 0, 32, 32 * shapeCost, 8 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 50 + {7, -1, 32, shapeCost, markerCost, 100 * shapeCost, 0.999, RipUpMode::NEARDRC, false}, // 51 + {7, -2, 32, 32 * shapeCost, 8 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 52 + {7, -3, 32, 32 * shapeCost, 8 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 53 + {7, -4, 32, 32 * shapeCost, 8 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 54 + {7, -5, 32, 32 * shapeCost, 8 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 55 + {7, -6, 32, 32 * shapeCost, 8 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 56 + {3, -1, 8, shapeCost, markerCost, 100 * shapeCost, 0.999, RipUpMode::ALL , false}, // 57 + {7, 0, 64, shapeCost, markerCost, 100 * shapeCost, 0.999, RipUpMode::NEARDRC, false}, // 58 + {7, -1, 64, 64 * shapeCost, 16 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 59 + {7, -2, 64, 64 * shapeCost, 16 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 60 + {7, -3, 64, 64 * shapeCost, 16 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 61 + {7, -4, 64, 64 * shapeCost, 16 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 62 + {7, -5, 64, 64 * shapeCost, 16 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false}, // 63 + {7, -6, 64, 64 * shapeCost, 16 * markerCost, 100 * shapeCost, 0.999, RipUpMode::DRC , false} // 64 }; // clang-format on } @@ -1132,7 +1136,7 @@ void FlexDR::reportGuideCoverage() std::vector totalCoveredAreaByLayerNum(numLayers, 0); std::map> netsCoverage; const auto& nets = getDesign()->getTopBlock()->getNets(); - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); #pragma omp parallel for schedule(dynamic) for (int i = 0; i < nets.size(); i++) { // NOLINT const auto& net = nets.at(i); @@ -1167,7 +1171,7 @@ void FlexDR::reportGuideCoverage() for (frLayerNum lNum = 0; lNum < numLayers; lNum++) { if (getTech()->getLayer(lNum)->getType() != dbTechLayerType::ROUTING - || lNum > TOP_ROUTING_LAYER) { + || lNum > router_cfg_->TOP_ROUTING_LAYER) { continue; } float coveredPercentage = -1.0; @@ -1193,11 +1197,11 @@ void FlexDR::reportGuideCoverage() } } - std::ofstream file(GUIDE_REPORT_FILE); + std::ofstream file(router_cfg_->GUIDE_REPORT_FILE); file << "Net,"; for (const auto& layer : getTech()->getLayers()) { if (layer->getType() == dbTechLayerType::ROUTING - && layer->getLayerNum() <= TOP_ROUTING_LAYER) { + && layer->getLayerNum() <= router_cfg_->TOP_ROUTING_LAYER) { file << layer->getName() << ","; } } @@ -1218,7 +1222,7 @@ void FlexDR::reportGuideCoverage() uint64_t totalCoveredArea = 0; for (const auto& layer : getTech()->getLayers()) { if (layer->getType() == dbTechLayerType::ROUTING - && layer->getLayerNum() <= TOP_ROUTING_LAYER) { + && layer->getLayerNum() <= router_cfg_->TOP_ROUTING_LAYER) { if (totalAreaByLayerNum[layer->getLayerNum()] == 0) { file << "NA,"; continue; @@ -1243,7 +1247,7 @@ void FlexDR::reportGuideCoverage() void FlexDR::fixMaxSpacing() { logger_->info(DRT, 227, "Checking For LEF58_MAXSPACING violations"); - io::Parser parser(db_, getDesign(), logger_); + io::Parser parser(db_, getDesign(), logger_, router_cfg_); parser.initSecondaryVias(); std::vector lonely_vias; for (const auto& layer : getTech()->getLayers()) { @@ -1309,15 +1313,16 @@ void FlexDR::fixMaxSpacing() } } // create drWorkers for the final regions - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); #pragma omp parallel for schedule(dynamic) for (size_t i = 0; i < merged_regions.size(); i++) { auto route_box = merged_regions.at(i); - auto worker = std::make_unique(&via_data_, design_, logger_); + auto worker = std::make_unique( + &via_data_, design_, logger_, router_cfg_); Rect ext_box; Rect drc_box; - route_box.bloat(MTSAFEDIST, ext_box); - route_box.bloat(DRCSAFEDIST, drc_box); + route_box.bloat(router_cfg_->MTSAFEDIST, ext_box); + route_box.bloat(router_cfg_->DRCSAFEDIST, drc_box); worker->setRouteBox(route_box); worker->setExtBox(ext_box); worker->setDrcBox(drc_box); @@ -1407,7 +1412,9 @@ int FlexDR::main() break; } } - for (auto& args : strategy()) { + + for (auto& args : + strategy(router_cfg_->ROUTESHAPECOST, router_cfg_->MARKERCOST)) { int clipSize = args.size; if (args.ripupMode != RipUpMode::ALL) { if (increaseClipsize_) { @@ -1415,7 +1422,8 @@ int FlexDR::main() } else { clipSizeInc_ = std::max((float) 0, clipSizeInc_ - 0.2f); } - clipSize += std::min(MAX_CLIPSIZE_INCREASE, (int) round(clipSizeInc_)); + clipSize += std::min(router_cfg_->MAX_CLIPSIZE_INCREASE, + (int) round(clipSizeInc_)); } args.size = clipSize; if (args.ripupMode == RipUpMode::ALL) { @@ -1427,22 +1435,22 @@ int FlexDR::main() if (getDesign()->getTopBlock()->getNumMarkers() == 0) { break; } - if (iter_ > END_ITERATION) { + if (iter_ > router_cfg_->END_ITERATION) { break; } if (logger_->debugCheck(DRT, "snapshot", 1)) { io::Writer writer(getDesign(), logger_); - writer.updateDb(db_, false, true); + writer.updateDb(db_, router_cfg_, false, true); ord::OpenRoad::openRoad()->writeDb( fmt::format("drt_iter{}.odb", iter_ - 1).c_str()); } } end(/* done */ true); - if (!GUIDE_REPORT_FILE.empty()) { + if (!router_cfg_->GUIDE_REPORT_FILE.empty()) { reportGuideCoverage(); } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { t.print(logger_); std::cout << std::endl; } diff --git a/src/drt/src/dr/FlexDR.h b/src/drt/src/dr/FlexDR.h index 19dad426853..2a76bbfa5c3 100644 --- a/src/drt/src/dr/FlexDR.h +++ b/src/drt/src/dr/FlexDR.h @@ -94,7 +94,8 @@ class FlexDR FlexDR(TritonRoute* router, frDesign* designIn, Logger* loggerIn, - odb::dbDatabase* dbIn); + odb::dbDatabase* dbIn, + RouterConfiguration* router_cfg); ~FlexDR(); // getters frTechObject* getTech() const { return design_->getTech(); } @@ -139,6 +140,7 @@ class FlexDR frDesign* design_; Logger* logger_; odb::dbDatabase* db_; + RouterConfiguration* router_cfg_; std::vector>, frBlockObjectComp>>> @@ -156,7 +158,7 @@ class FlexDR std::string dist_ip_; uint16_t dist_port_; std::string dist_dir_; - std::string globals_path_; + std::string router_cfg_path_; bool increaseClipsize_; float clipSizeInc_; int iter_; @@ -241,16 +243,20 @@ class FlexDRWorker { public: // constructors - FlexDRWorker(FlexDRViaData* via_data, frDesign* design, Logger* logger) + FlexDRWorker(FlexDRViaData* via_data, + frDesign* design, + Logger* logger, + RouterConfiguration* router_cfg) : design_(design), logger_(logger), + router_cfg_(router_cfg), via_data_(via_data), mazeEndIter_(1), ripupMode_(RipUpMode::ALL), - workerDRCCost_(ROUTESHAPECOST), - workerMarkerCost_(MARKERCOST), + workerDRCCost_(router_cfg->ROUTESHAPECOST), + workerMarkerCost_(router_cfg->MARKERCOST), historyMarkers_(std::vector>(3)), - gridGraph_(design->getTech(), logger, this), + gridGraph_(design->getTech(), logger, this, router_cfg), rq_(this) { } @@ -463,6 +469,7 @@ class FlexDRWorker }; frDesign* design_ = nullptr; Logger* logger_ = nullptr; + RouterConfiguration* router_cfg_; FlexDRGraphics* graphics_ = nullptr; // owned by FlexDR frDebugSettings* debugSettings_ = nullptr; FlexDRViaData* via_data_ = nullptr; diff --git a/src/drt/src/dr/FlexDR_conn.cpp b/src/drt/src/dr/FlexDR_conn.cpp index 702706467e4..9321f4fe0ea 100644 --- a/src/drt/src/dr/FlexDR_conn.cpp +++ b/src/drt/src/dr/FlexDR_conn.cpp @@ -837,7 +837,6 @@ void FlexDRConnectivityChecker::handleOverlaps_perform( merge_perform_helper(netRouteObjs, segSpans, victims, newSegSpans); } -bool debug = false; bool isRedundant(std::vector& splitPoints, int v) { return std::find(splitPoints.begin(), splitPoints.end(), v) @@ -1177,7 +1176,7 @@ void FlexDRConnectivityChecker::check(int iter) } const int numLayers = getTech()->getLayers().size(); - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); for (auto& batch : batches) { ProfileTask profile("batch"); // prefix a = all batch @@ -1338,17 +1337,20 @@ void FlexDRConnectivityChecker::check(int iter) graphics_->debugWholeDesign(); } auto writer = io::Writer(getDesign(), logger_); - writer.updateDb(router_->getDb()); + writer.updateDb(router_->getDb(), router_cfg_); logger_->error(utl::DRT, 206, "checkConnectivity error."); } } -FlexDRConnectivityChecker::FlexDRConnectivityChecker(drt::TritonRoute* router, - Logger* logger, - FlexDRGraphics* graphics, - bool save_updates) +FlexDRConnectivityChecker::FlexDRConnectivityChecker( + drt::TritonRoute* router, + Logger* logger, + RouterConfiguration* router_cfg, + FlexDRGraphics* graphics, + bool save_updates) : router_(router), logger_(logger), + router_cfg_(router_cfg), graphics_(graphics), save_updates_(save_updates) { diff --git a/src/drt/src/dr/FlexDR_conn.h b/src/drt/src/dr/FlexDR_conn.h index cf98bc1b7ce..96fc68cfa0d 100644 --- a/src/drt/src/dr/FlexDR_conn.h +++ b/src/drt/src/dr/FlexDR_conn.h @@ -46,6 +46,7 @@ class FlexDRConnectivityChecker public: FlexDRConnectivityChecker(drt::TritonRoute* router, Logger* logger, + RouterConfiguration* router_cfg, FlexDRGraphics* graphics, bool save_updates = false); void check(int iter = -1); @@ -176,6 +177,7 @@ class FlexDRConnectivityChecker frDesign* getDesign() const; drt::TritonRoute* router_; Logger* logger_; + RouterConfiguration* router_cfg_; FlexDRGraphics* graphics_; bool save_updates_; }; diff --git a/src/drt/src/dr/FlexDR_graphics.cpp b/src/drt/src/dr/FlexDR_graphics.cpp index 2e93d10bf31..61048263634 100644 --- a/src/drt/src/dr/FlexDR_graphics.cpp +++ b/src/drt/src/dr/FlexDR_graphics.cpp @@ -755,13 +755,13 @@ void FlexDRGraphics::endNet(drNet* net) net_ = nullptr; } -void FlexDRGraphics::startIter(int iter) +void FlexDRGraphics::startIter(int iter, RouterConfiguration* router_cfg) { current_iter_ = iter; if (iter >= settings_->iter) { - if (MAX_THREADS > 1) { + if (router_cfg->MAX_THREADS > 1) { logger_->info(DRT, 207, "Setting MAX_THREADS=1 for use with the DR GUI."); - MAX_THREADS = 1; + router_cfg->MAX_THREADS = 1; } status("Start iter: " + std::to_string(iter)); diff --git a/src/drt/src/dr/FlexDR_graphics.h b/src/drt/src/dr/FlexDR_graphics.h index 7632f7dc883..2cd55cbcff6 100644 --- a/src/drt/src/dr/FlexDR_graphics.h +++ b/src/drt/src/dr/FlexDR_graphics.h @@ -46,6 +46,7 @@ class FlexDRWorker; class drNet; class frDesign; class frBlockObject; +struct RouterConfiguration; // This class draws debugging graphics on the layout class FlexDRGraphics : public gui::Renderer @@ -59,7 +60,7 @@ class FlexDRGraphics : public gui::Renderer void startWorker(FlexDRWorker* worker); - void startIter(int iter); + void startIter(int iter, RouterConfiguration* router_cfg); void endWorker(int iter); diff --git a/src/drt/src/dr/FlexDR_init.cpp b/src/drt/src/dr/FlexDR_init.cpp index 5f40e031e36..503857be228 100644 --- a/src/drt/src/dr/FlexDR_init.cpp +++ b/src/drt/src/dr/FlexDR_init.cpp @@ -1140,7 +1140,8 @@ bool FlexDRWorker::findAPTracks(const frLayerNum startLayerNum, bool FlexDRWorker::isRestrictedRouting(const frLayerNum lNum) { return getTech()->getLayer(lNum)->isUnidirectional() - || lNum < BOTTOM_ROUTING_LAYER || lNum > TOP_ROUTING_LAYER; + || lNum < router_cfg_->BOTTOM_ROUTING_LAYER + || lNum > router_cfg_->TOP_ROUTING_LAYER; } // when isHorzTracks == true, it means track loc == y loc @@ -1242,7 +1243,7 @@ void FlexDRWorker::initNet_term_helper(const frDesign* design, dAp->setPinCost(1); } // set min area - if (ENABLE_BOUNDARY_MAR_FIX) { + if (router_cfg_->ENABLE_BOUNDARY_MAR_FIX) { auto minAreaConstraint = getTech()->getLayer(bNum)->getAreaConstraint(); if (minAreaConstraint) { auto reqArea = minAreaConstraint->getMinArea(); @@ -1345,7 +1346,7 @@ void FlexDRWorker::initNet(const frDesign* design, const std::vector& terms, std::vector> bounds) { - auto dNet = std::make_unique(net); + auto dNet = std::make_unique(net, router_cfg_); // true pin initNet_term(design, dNet.get(), terms); // boundary pin, could overlap with any of true pins @@ -1566,7 +1567,7 @@ void FlexDRWorker::initNets(const frDesign* design) initNets_numPinsIn(); // here because region query is needed - if (ENABLE_BOUNDARY_MAR_FIX) { + if (router_cfg_->ENABLE_BOUNDARY_MAR_FIX) { initNets_boundaryArea(); } // fill ndrs_ for all nets in the worker @@ -2738,7 +2739,7 @@ void FlexDRWorker::initMazeCost_fixedObj(const frDesign* design) // unblock planar edge for obs over pin, ap will unblock via edge // for legal pin access modBlockedPlanar(box, zIdx, false); - if (zIdx <= (VIA_ACCESS_LAYERNUM / 2 - 1)) { + if (zIdx <= (router_cfg_->VIA_ACCESS_LAYERNUM / 2 - 1)) { modMinSpacingCostPlanar( box, zIdx, ModCostType::addFixedShape, true); modEolSpacingRulesCost(box, zIdx, ModCostType::addFixedShape); @@ -3211,8 +3212,8 @@ void FlexDRWorker::init(const frDesign* design) } initGridGraph(design); initMazeIdx(); - std::unique_ptr gcWorker - = std::make_unique(design->getTech(), logger_, this); + std::unique_ptr gcWorker = std::make_unique( + design->getTech(), logger_, router_cfg_, this); gcWorker->setExtBox(getExtBox()); gcWorker->setDrcBox(getDrcBox()); gcWorker->init(design); diff --git a/src/drt/src/dr/FlexDR_maze.cpp b/src/drt/src/dr/FlexDR_maze.cpp index be7300525e5..13b1b878cb7 100644 --- a/src/drt/src/dr/FlexDR_maze.cpp +++ b/src/drt/src/dr/FlexDR_maze.cpp @@ -43,7 +43,7 @@ namespace drt { namespace gtl = boost::polygon; -int beginDebugIter = std::numeric_limits::max(); +const int beginDebugIter = std::numeric_limits::max(); static frSquaredDistance pt2boxDistSquare(const Point& pt, const Rect& box) { @@ -335,7 +335,7 @@ void FlexDRWorker::modMinSpacingCostPlanarHelper(const Rect& box, // layer default width frCoord halfwidth2 = width2 / 2; // spacing value needed - bool use_min_spacing = isBlockage && USEMINSPACING_OBS; + bool use_min_spacing = isBlockage && router_cfg_->USEMINSPACING_OBS; frCoord bloatDist = getTech()->getLayer(lNum)->getMinSpacingValue( width1, width2, box.maxDXDY(), use_min_spacing); bloatDist = std::max(bloatDist, minSpacing); @@ -765,7 +765,8 @@ void FlexDRWorker::modMinSpacingCostViaHelper(const Rect& box, frCoord width1 = box.minDXDY(); frCoord length1 = box.maxDXDY(); frCoord prl = isCurrPs ? (length2_mar) : std::min(length1, length2_mar); - bool use_min_spacing = isBlockage && USEMINSPACING_OBS && !isFatVia; + bool use_min_spacing + = isBlockage && router_cfg_->USEMINSPACING_OBS && !isFatVia; frCoord bloatDist = getTech()->getLayer(lNum)->getMinSpacingValue( width1, width2, prl, use_min_spacing); bloatDist = std::max(minSpacing, bloatDist); @@ -833,7 +834,8 @@ void FlexDRWorker::modMinSpacingCostViaHelper(const Rect& box, } } } - bool use_min_spacing = isBlockage && USEMINSPACING_OBS && !isFatVia; + bool use_min_spacing + = isBlockage && router_cfg_->USEMINSPACING_OBS && !isFatVia; frCoord reqDist = getTech()->getLayer(lNum)->getMinSpacingValue( width1, width2, prl, use_min_spacing); reqDist = std::max(reqDist, minSpacing); @@ -1606,11 +1608,11 @@ bool FlexDRWorker::mazeIterInit_sortRerouteNets( if (mazeIter == 0) { sort(rerouteNets.begin(), rerouteNets.end(), rerouteNetsComp); // to be removed - if (OR_SEED != -1 && rerouteNets.size() >= 2) { + if (router_cfg_->OR_SEED != -1 && rerouteNets.size() >= 2) { std::uniform_int_distribution distribution(0, rerouteNets.size() - 1); - std::default_random_engine generator(OR_SEED); - int numSwap = (double) (rerouteNets.size()) * OR_K; + std::default_random_engine generator(router_cfg_->OR_SEED); + int numSwap = (double) (rerouteNets.size()) * router_cfg_->OR_K; for (int i = 0; i < numSwap; i++) { int idx = distribution(generator); std::swap(rerouteNets[idx], @@ -1876,7 +1878,7 @@ void FlexDRWorker::identifyCongestionLevel() float congestionFactorLow = nLowBorderCross[z] / (float) nTracks; float congestionFactorHigh = nHighBorderCross[z] / (float) nTracks; float finalFactor = std::max(congestionFactorLow, congestionFactorHigh); - if (finalFactor >= CONGESTION_THRESHOLD) { + if (finalFactor >= router_cfg_->CONGESTION_THRESHOLD) { isCongested_ = true; return; } @@ -1944,8 +1946,8 @@ void FlexDRWorker::route_queue_main(std::queue& rerouteQueue) std::vector paths; bool isRouted = routeNet(net, paths); if (isRouted == false) { - if (OUT_MAZE_FILE == std::string("")) { - if (VERBOSE > 0) { + if (router_cfg_->OUT_MAZE_FILE == std::string("")) { + if (router_cfg_->VERBOSE > 0) { std::cout << "Warning: no output maze log specified, skipped writing " "maze log" @@ -2237,13 +2239,13 @@ void FlexDRWorker::routeNet_prep( } unConnPins.insert(pin.get()); if (gridGraph_.getNDR()) { - if (AUTO_TAPER_NDR_NETS + if (router_cfg_->AUTO_TAPER_NDR_NETS && pin->isInstPin()) { // create a taper box for each pin auto [l, h] = pin->getAPBbox(); frCoord pitch = getTech()->getLayer(gridGraph_.getLayerNum(l.z()))->getPitch(), r; - r = TAPERBOX_RADIUS; + r = router_cfg_->TAPERBOX_RADIUS; l.set(gridGraph_.getMazeXIdx(gridGraph_.xCoord(l.x()) - r * pitch), gridGraph_.getMazeYIdx(gridGraph_.yCoord(l.y()) - r * pitch), l.z()); @@ -2416,7 +2418,7 @@ drPin* FlexDRWorker::routeNet_getNextDst( } } if (gridGraph_.getNDR()) { - if (AUTO_TAPER_NDR_NETS) { + if (router_cfg_->AUTO_TAPER_NDR_NETS) { for (auto& a : pinTaperBoxes) { if (a.first == nextDst) { gridGraph_.setDstTaperBox(&a.second); @@ -2466,7 +2468,7 @@ void FlexDRWorker::routeNet_postAstarUpdate( mazeIdx2unConnPins.erase(it); gridGraph_.resetDst(mi); } - if (ALLOW_PIN_AS_FEEDTHROUGH) { + if (router_cfg_->ALLOW_PIN_AS_FEEDTHROUGH) { localConnComps.insert(mi); gridGraph_.setSrc(mi); } @@ -2477,7 +2479,7 @@ void FlexDRWorker::routeNet_postAstarUpdate( } // must be before comment line ABC so that the used actual src is set in // gridgraph - if (isFirstConn && (!ALLOW_PIN_AS_FEEDTHROUGH)) { + if (isFirstConn && (!router_cfg_->ALLOW_PIN_AS_FEEDTHROUGH)) { for (auto& mi : connComps) { gridGraph_.resetSrc(mi); } @@ -2523,7 +2525,7 @@ void FlexDRWorker::routeNet_postAstarUpdate( } } for (auto& mi : localConnComps) { - if (isFirstConn && !ALLOW_PIN_AS_FEEDTHROUGH) { + if (isFirstConn && !router_cfg_->ALLOW_PIN_AS_FEEDTHROUGH) { connComps.push_back(mi); } else { if (!(mi == *(path.cbegin()))) { @@ -2659,7 +2661,7 @@ void FlexDRWorker::routeNet_postAstarWritePath( via = net_ndr->getPrefVia(startLayerNum / 2 - 1); } auto currVia = std::make_unique(via); - if (net->hasNDR() && AUTO_TAPER_NDR_NETS) { + if (net->hasNDR() && router_cfg_->AUTO_TAPER_NDR_NETS) { if (isInsideTaperBox(endX, endY, startZ, endZ, mazeIdx2TaperBox)) { currVia->setTapered(true); } @@ -2829,7 +2831,7 @@ bool FlexDRWorker::splitPathSeg(frMIdx& midX, drNet* net) { taperFirstPiece = false; - if (!net->hasNDR() || !AUTO_TAPER_NDR_NETS) { + if (!net->hasNDR() || !router_cfg_->AUTO_TAPER_NDR_NETS) { return false; } frBox3D* bx = nullptr; @@ -3245,7 +3247,7 @@ bool FlexDRWorker::routeNet(drNet* net, std::vector& paths) pinTaperBoxes); // prep for area map std::map areaMap; - if (ENABLE_BOUNDARY_MAR_FIX) { + if (router_cfg_->ENABLE_BOUNDARY_MAR_FIX) { routeNet_prepAreaMap(net, areaMap); } @@ -3299,7 +3301,7 @@ bool FlexDRWorker::routeNet(drNet* net, std::vector& paths) } } if (searchSuccess) { - if (CLEAN_PATCHES) { + if (router_cfg_->CLEAN_PATCHES) { gcWorker_->setTargetNet(net->getFrNet()); gcWorker_->updateDRNet(net); gcWorker_->setEnableSurgicalFix(true); @@ -3346,7 +3348,7 @@ void FlexDRWorker::routeNet_postAstarPatchMinAreaVio( auto minAreaConstraint = getTech()->getLayer(layerNum)->getAreaConstraint(); frArea currArea = 0; - if (ENABLE_BOUNDARY_MAR_FIX) { + if (router_cfg_->ENABLE_BOUNDARY_MAR_FIX) { if (areaMap.find(points[0]) != areaMap.end()) { currArea = areaMap.find(points[0])->second; } else { @@ -3512,7 +3514,7 @@ void FlexDRWorker::routeNet_postAstarPatchMinAreaVio( currIdx = nextIdx; } // add boundary area for last segment - if (ENABLE_BOUNDARY_MAR_FIX) { + if (router_cfg_->ENABLE_BOUNDARY_MAR_FIX) { layerNum = gridGraph_.getLayerNum(currIdx.z()); minAreaConstraint = getTech()->getLayer(layerNum)->getAreaConstraint(); frArea reqArea = (minAreaConstraint) ? minAreaConstraint->getMinArea() : 0; diff --git a/src/drt/src/dr/FlexGridGraph.cpp b/src/drt/src/dr/FlexGridGraph.cpp index d6853c76fcd..473a794fd0c 100644 --- a/src/drt/src/dr/FlexGridGraph.cpp +++ b/src/drt/src/dr/FlexGridGraph.cpp @@ -77,7 +77,7 @@ void FlexGridGraph::initGrids( zCoords_.reserve(zMap.size()); for (auto& [k, v] : zMap) { zCoords_.push_back(k); - zHeight += getTech()->getLayer(k)->getPitch() * VIACOST; + zHeight += getTech()->getLayer(k)->getPitch() * router_cfg_->VIACOST; zHeights_.push_back(zHeight); layerRouteDirections_.push_back(v); } @@ -241,8 +241,8 @@ void FlexGridGraph::initEdges( bool isOutOfDieVia = outOfDieVia(xIdx, yIdx, zIdx, dieBox_); // add edge for preferred direction if (dir == dbTechLayerDir::HORIZONTAL && yFound) { - if (layerNum >= BOTTOM_ROUTING_LAYER - && layerNum <= TOP_ROUTING_LAYER) { + if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && layerNum <= router_cfg_->TOP_ROUTING_LAYER) { if ((!isOutOfDieVia || !hasOutOfDieViol(xIdx, yIdx, zIdx)) && (layer->getLef58RightWayOnGridOnlyConstraint() == nullptr || yIt->second != nullptr)) { @@ -267,8 +267,8 @@ void FlexGridGraph::initEdges( } } } else if (dir == dbTechLayerDir::VERTICAL && xFound) { - if (layerNum >= BOTTOM_ROUTING_LAYER - && layerNum <= TOP_ROUTING_LAYER) { + if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && layerNum <= router_cfg_->TOP_ROUTING_LAYER) { if ((!isOutOfDieVia || !hasOutOfDieViol(xIdx, yIdx, zIdx)) && (layer->getLef58RightWayOnGridOnlyConstraint() == nullptr || xIt->second != nullptr)) { @@ -296,19 +296,19 @@ void FlexGridGraph::initEdges( } // get non pref track layer --> use upper layer pref dir track if // possible - if (USENONPREFTRACKS && !layer->isUnidirectional()) { + if (router_cfg_->USENONPREFTRACKS && !layer->isUnidirectional()) { // add edge for non-preferred direction // vertical non-pref track if (dir == dbTechLayerDir::HORIZONTAL && xFound3) { - if (layerNum >= BOTTOM_ROUTING_LAYER - && layerNum <= TOP_ROUTING_LAYER) { + if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && layerNum <= router_cfg_->TOP_ROUTING_LAYER) { addEdge(xIdx, yIdx, zIdx, frDirEnum::N, bbox, initDR); setGridCostN(xIdx, yIdx, zIdx); } // horizontal non-pref track } else if (dir == dbTechLayerDir::VERTICAL && yFound3) { - if (layerNum >= BOTTOM_ROUTING_LAYER - && layerNum <= TOP_ROUTING_LAYER) { + if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && layerNum <= router_cfg_->TOP_ROUTING_LAYER) { addEdge(xIdx, yIdx, zIdx, frDirEnum::E, bbox, initDR); setGridCostE(xIdx, yIdx, zIdx); } @@ -339,9 +339,10 @@ void FlexGridGraph::initEdges( zIdx += inc, nextLNum += inc * 2) { addEdge(xIdx, yIdx, zIdx, frDirEnum::U, bbox, initDR); frLayer* nextLayer = getTech()->getLayer(nextLNum); - const bool restrictedRouting = nextLayer->isUnidirectional() - || nextLNum < BOTTOM_ROUTING_LAYER - || nextLNum > TOP_ROUTING_LAYER; + const bool restrictedRouting + = nextLayer->isUnidirectional() + || nextLNum < router_cfg_->BOTTOM_ROUTING_LAYER + || nextLNum > router_cfg_->TOP_ROUTING_LAYER; if (!restrictedRouting || nextLayer->isVertical()) { auto& xSubMap = xMap[apPt.x()]; auto xTrack = xSubMap.find(nextLNum); @@ -357,7 +358,8 @@ void FlexGridGraph::initEdges( } } // didn't find default track, then create tracks if possible - if (!restrictedRouting && nextLNum >= VIA_ACCESS_LAYERNUM) { + if (!restrictedRouting + && nextLNum >= router_cfg_->VIA_ACCESS_LAYERNUM) { dbTechLayerDir prefDir = design->getTech()->getLayer(nextLNum)->getDir(); xMap[apPt.x()][nextLNum] = nullptr; // to keep coherence @@ -430,7 +432,7 @@ void FlexGridGraph::initTracks( for (auto& tp : design->getTopBlock()->getTrackPatterns(currLayerNum)) { // allow wrongway if global variable and design rule allow bool flag - = (USENONPREFTRACKS && !layer->isUnidirectional()) + = (router_cfg_->USENONPREFTRACKS && !layer->isUnidirectional()) ? (tp->isHorizontal() && currPrefRouteDir == dbTechLayerDir::VERTICAL) || (!tp->isHorizontal() @@ -490,7 +492,7 @@ void FlexGridGraph::resetPrevNodeDir() // print the grid graph with edge and vertex for debug purpose void FlexGridGraph::print() const { - std::ofstream mazeLog(OUT_MAZE_FILE.c_str()); + std::ofstream mazeLog(router_cfg_->OUT_MAZE_FILE.c_str()); if (mazeLog.is_open()) { // print edges Rect gridBBox; diff --git a/src/drt/src/dr/FlexGridGraph.h b/src/drt/src/dr/FlexGridGraph.h index 7a29c928cf4..0b00c1a861c 100644 --- a/src/drt/src/dr/FlexGridGraph.h +++ b/src/drt/src/dr/FlexGridGraph.h @@ -47,8 +47,14 @@ class FlexGridGraph { public: // constructors - FlexGridGraph(frTechObject* techIn, Logger* loggerIn, FlexDRWorker* workerIn) - : tech_(techIn), logger_(loggerIn), drWorker_(workerIn) + FlexGridGraph(frTechObject* techIn, + Logger* loggerIn, + FlexDRWorker* workerIn, + RouterConfiguration* router_cfg) + : tech_(techIn), + logger_(loggerIn), + drWorker_(workerIn), + router_cfg_(router_cfg) { ap_locs_.resize(tech_->getTopLayerNum() + 1); } @@ -1017,6 +1023,7 @@ class FlexGridGraph frTechObject* tech_ = nullptr; Logger* logger_ = nullptr; FlexDRWorker* drWorker_ = nullptr; + RouterConfiguration* router_cfg_; FlexDRGraphics* graphics_; // owned by FlexDR // #ifdef DEBUG_DRT_UNDERFLOW diff --git a/src/drt/src/dr/FlexGridGraph_maze.cpp b/src/drt/src/dr/FlexGridGraph_maze.cpp index 19ccbc24129..5c37d10f5d3 100644 --- a/src/drt/src/dr/FlexGridGraph_maze.cpp +++ b/src/drt/src/dr/FlexGridGraph_maze.cpp @@ -32,7 +32,7 @@ namespace drt { -int debugMazeIter = std::numeric_limits::max(); +const int debugMazeIter = std::numeric_limits::max(); void FlexGridGraph::expand(FlexWavefrontGrid& currGrid, const frDirEnum& dir, const FlexMazeIdx& dstMazeIdx1, @@ -219,12 +219,12 @@ frCost FlexGridGraph::getEstCost(const FlexMazeIdx& src, if (dstMazeIdx1.z() == dstMazeIdx2.z() && gridZ == dstMazeIdx1.z()) { auto layerNum = (gridZ + 1) * 2; auto layer = getTech()->getLayer(layerNum); - if (!USENONPREFTRACKS || layer->isUnidirectional()) { + if (!router_cfg_->USENONPREFTRACKS || layer->isUnidirectional()) { bool isH = (layer->getDir() == dbTechLayerDir::HORIZONTAL); if (isH && dstMazeIdx1.y() == dstMazeIdx2.y()) { auto gap = abs(nextPoint.y() - dstPoint1.y()); if (gap - && (layerNum - 2 < BOTTOM_ROUTING_LAYER + && (layerNum - 2 < router_cfg_->BOTTOM_ROUTING_LAYER || getTech()->isVia2ViaForbiddenLen( gridZ - 1, false, false, false, gap, ndr_)) && (layerNum + 2 > getTech()->getTopLayerNum() @@ -235,7 +235,7 @@ frCost FlexGridGraph::getEstCost(const FlexMazeIdx& src, } else if (!isH && dstMazeIdx1.x() == dstMazeIdx2.x()) { auto gap = abs(nextPoint.x() - dstPoint1.x()); if (gap - && (layerNum - 2 < BOTTOM_ROUTING_LAYER + && (layerNum - 2 < router_cfg_->BOTTOM_ROUTING_LAYER || getTech()->isVia2ViaForbiddenLen( gridZ - 1, false, false, true, gap, ndr_)) && (layerNum + 2 > getTech()->getTopLayerNum() @@ -496,12 +496,12 @@ frCost FlexGridGraph::getCosts(frMIdx gridX, // temporarily disable guideCost return getEdgeLength(gridX, gridY, gridZ, dir) - + (gridCost ? GRIDCOST * edgeLength : 0) + + (gridCost ? router_cfg_->GRIDCOST * edgeLength : 0) + (drcCost ? ggDRCCost_ * edgeLength : 0) + (markerCost ? ggMarkerCost_ * edgeLength : 0) + (shapeCost ? ggFixedShapeCost_ * edgeLength : 0) - + (blockCost ? BLOCKCOST * layer->getMinWidth() * 20 : 0) - + (!guideCost ? GUIDECOST * edgeLength : 0); + + (blockCost ? router_cfg_->BLOCKCOST * layer->getMinWidth() * 20 : 0) + + (!guideCost ? router_cfg_->GUIDECOST * edgeLength : 0); } bool FlexGridGraph::useNDRCosts(const FlexWavefrontGrid& p) const @@ -706,7 +706,7 @@ bool FlexGridGraph::search(std::vector& connComps, currDist, 0, getEstCost(idx, dstMazeIdx1, dstMazeIdx2, frDirEnum::UNKNOWN)); - if (ndr_ && AUTO_TAPER_NDR_NETS) { + if (ndr_ && router_cfg_->AUTO_TAPER_NDR_NETS) { auto it = mazeIdx2TaperBox.find(idx); if (it != mazeIdx2TaperBox.end()) { currGrid.setSrcTaperBox(it->second); diff --git a/src/drt/src/dr/FlexWavefront.h b/src/drt/src/dr/FlexWavefront.h index d82e83cc466..dd8edb1e098 100644 --- a/src/drt/src/dr/FlexWavefront.h +++ b/src/drt/src/dr/FlexWavefront.h @@ -34,7 +34,6 @@ #include "dr/FlexMazeTypes.h" #include "frBaseTypes.h" -#include "global.h" namespace drt { class FlexWavefrontGrid diff --git a/src/drt/src/frDesign.h b/src/drt/src/frDesign.h index b4b2aeca607..b2143763479 100644 --- a/src/drt/src/frDesign.h +++ b/src/drt/src/frDesign.h @@ -47,12 +47,13 @@ class frDesign { public: // constructors - frDesign(Logger* logger) + frDesign(Logger* logger, RouterConfiguration* router_cfg) : topBlock_(nullptr), tech_(std::make_unique()), - rq_(std::make_unique(this, logger)), + rq_(std::make_unique(this, logger, router_cfg)), updates_sz_(0), - version_(0) + version_(0), + router_cfg_(router_cfg) { } frDesign() : topBlock_(nullptr), tech_(nullptr), rq_(nullptr) {} @@ -104,7 +105,7 @@ class frDesign void addUpdate(const drUpdate& update) { if (updates_.empty()) { - updates_.resize(MAX_THREADS * 2); + updates_.resize(static_cast(router_cfg_->MAX_THREADS) * 2); } auto num_batches = updates_.size(); updates_[updates_sz_++ % num_batches].push_back(update); @@ -132,5 +133,6 @@ class frDesign int updates_sz_; std::vector user_selected_vias_; int version_; + RouterConfiguration* router_cfg_; }; } // namespace drt diff --git a/src/drt/src/frRegionQuery.cpp b/src/drt/src/frRegionQuery.cpp index a019694a22b..8d96ea6514f 100644 --- a/src/drt/src/frRegionQuery.cpp +++ b/src/drt/src/frRegionQuery.cpp @@ -53,6 +53,7 @@ struct frRegionQuery::Impl frDesign* design_; Logger* logger_; + RouterConfiguration* router_cfg_; // only for pin shapes, obs and snet RTreesByLayer shapes_; RTreesByLayer guides_; @@ -94,11 +95,14 @@ struct frRegionQuery::Impl void addGRObj(grVia* via); }; -frRegionQuery::frRegionQuery(frDesign* design, Logger* logger) +frRegionQuery::frRegionQuery(frDesign* design, + Logger* logger, + RouterConfiguration* router_cfg) : impl_(std::make_unique()) { impl_->design_ = design; impl_->logger_ = logger; + impl_->router_cfg_ = router_cfg; } frRegionQuery::frRegionQuery() : impl_(nullptr) @@ -747,7 +751,7 @@ void frRegionQuery::Impl::init() add(instBlk.get(), allShapes); } cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt < 1000000) { if (cnt % 100000 == 0) { logger_->info(DRT, 18, " Complete {} insts.", cnt); @@ -763,7 +767,7 @@ void frRegionQuery::Impl::init() for (auto& term : design_->getTopBlock()->getTerms()) { add(term.get(), allShapes); cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt < 100000) { if (cnt % 10000 == 0) { logger_->info(DRT, 20, " Complete {} terms.", cnt); @@ -785,7 +789,7 @@ void frRegionQuery::Impl::init() add(via.get(), allShapes); } cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt % 10000 == 0) { logger_->info(DRT, 22, " Complete {} snets.", cnt); } @@ -796,7 +800,7 @@ void frRegionQuery::Impl::init() for (auto& blk : design_->getTopBlock()->getBlockages()) { add(blk.get(), allShapes); cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt % 10000 == 0) { logger_->info(DRT, 23, " Complete {} blockages.", cnt); } @@ -807,7 +811,7 @@ void frRegionQuery::Impl::init() shapes_.at(i) = boost::move(RTree(allShapes.at(i))); allShapes.at(i).clear(); allShapes.at(i).shrink_to_fit(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 24, " Complete {}.", @@ -835,7 +839,7 @@ void frRegionQuery::Impl::initOrigGuide( for (auto& rect : rects) { addOrigGuide(net, rect, allShapes); cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt < 1000000) { if (cnt % 100000 == 0) { logger_->info(DRT, 26, " Complete {} origin guides.", cnt); @@ -852,7 +856,7 @@ void frRegionQuery::Impl::initOrigGuide( origGuides_.at(i) = boost::move(RTree(allShapes.at(i))); allShapes.at(i).clear(); allShapes.at(i).shrink_to_fit(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 28, " Complete {}.", @@ -879,7 +883,7 @@ void frRegionQuery::Impl::initGuide() addGuide(guide.get(), allGuides); } cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt < 1000000) { if (cnt % 100000 == 0) { logger_->info(DRT, 29, " Complete {} nets (guide).", cnt); @@ -895,7 +899,7 @@ void frRegionQuery::Impl::initGuide() guides_.at(i) = boost::move(RTree(allGuides.at(i))); allGuides.at(i).clear(); allGuides.at(i).shrink_to_fit(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 35, " Complete {} (guide).", diff --git a/src/drt/src/frRegionQuery.h b/src/drt/src/frRegionQuery.h index 661708d9f07..fad05cfac4f 100644 --- a/src/drt/src/frRegionQuery.h +++ b/src/drt/src/frRegionQuery.h @@ -51,6 +51,7 @@ class grBlockObject; class grShape; class grVia; class FlexDR; +struct RouterConfiguration; class frRegionQuery { @@ -58,7 +59,9 @@ class frRegionQuery template using Objects = std::vector>; - frRegionQuery(frDesign* design, Logger* logger); + frRegionQuery(frDesign* design, + Logger* logger, + RouterConfiguration* router_cfg); ~frRegionQuery(); // getters frDesign* getDesign() const; @@ -138,6 +141,7 @@ class frRegionQuery private: struct Impl; std::unique_ptr impl_; + bool verbose_; frRegionQuery(); std::vector> getVias(frLayerNum layer_num); diff --git a/src/drt/src/gc/FlexGC.cpp b/src/drt/src/gc/FlexGC.cpp index a8157babbf8..137c0d9dc71 100644 --- a/src/drt/src/gc/FlexGC.cpp +++ b/src/drt/src/gc/FlexGC.cpp @@ -34,23 +34,27 @@ namespace drt { FlexGCWorker::FlexGCWorker(frTechObject* techIn, Logger* logger, + RouterConfiguration* router_cfg, FlexDRWorker* drWorkerIn) - : impl_(std::make_unique(techIn, logger, drWorkerIn, this)) + : impl_( + std::make_unique(techIn, logger, router_cfg, drWorkerIn, this)) { } FlexGCWorker::~FlexGCWorker() = default; -FlexGCWorker::Impl::Impl() : Impl(nullptr, nullptr, nullptr, nullptr) +FlexGCWorker::Impl::Impl() : Impl(nullptr, nullptr, nullptr, nullptr, nullptr) { } FlexGCWorker::Impl::Impl(frTechObject* techIn, Logger* logger, + RouterConfiguration* router_cfg, FlexDRWorker* drWorkerIn, FlexGCWorker* gcWorkerIn) : tech_(techIn), logger_(logger), + router_cfg_(router_cfg), drWorker_(drWorkerIn), rq_(gcWorkerIn), printMarker_(false), diff --git a/src/drt/src/gc/FlexGC.h b/src/drt/src/gc/FlexGC.h index f563da212b0..fa32b54bc1d 100644 --- a/src/drt/src/gc/FlexGC.h +++ b/src/drt/src/gc/FlexGC.h @@ -45,6 +45,7 @@ class FlexGCWorker // constructors FlexGCWorker(frTechObject* techIn, Logger* logger, + RouterConfiguration* router_cfg, FlexDRWorker* drWorkerIn = nullptr); ~FlexGCWorker(); // setters diff --git a/src/drt/src/gc/FlexGC_cut.cpp b/src/drt/src/gc/FlexGC_cut.cpp index 29e45042dda..368acc67e86 100644 --- a/src/drt/src/gc/FlexGC_cut.cpp +++ b/src/drt/src/gc/FlexGC_cut.cpp @@ -378,14 +378,16 @@ inline bool isSupplyVia(gcRect* rect) && rect->getNet()->getFrNet()->getType().isSupply(); } -inline bool isSkipVia(gcRect* rect) +inline bool isSkipVia(gcRect* rect, RouterConfiguration* router_cfg) { - return rect->getLayerNum() == GC_IGNORE_PDN_LAYER_NUM && isSupplyVia(rect); + return rect->getLayerNum() == router_cfg->GC_IGNORE_PDN_LAYER_NUM + && isSupplyVia(rect); } -inline bool isFixedVia(gcRect* rect) +inline bool isFixedVia(gcRect* rect, RouterConfiguration* router_cfg) { - if (rect->getLayerNum() == REPAIR_PDN_LAYER_NUM && isSupplyVia(rect)) { + if (rect->getLayerNum() == router_cfg->REPAIR_PDN_LAYER_NUM + && isSupplyVia(rect)) { return false; } return rect->isFixed(); @@ -406,7 +408,7 @@ void FlexGCWorker::Impl::checkLef58CutSpacingTbl( } auto dbRule = con->getODBRule(); - if (isSkipVia(viaRect)) { + if (isSkipVia(viaRect, router_cfg_)) { return; } @@ -443,13 +445,13 @@ void FlexGCWorker::Impl::checkLef58CutSpacingTbl( auto& workerRegionQuery = getWorkerRegionQuery(); workerRegionQuery.queryMaxRectangle(queryBox, queryLayerNum, results); for (auto& [box, ptr] : results) { - if (isFixedVia(ptr) && isFixedVia(viaRect)) { + if (isFixedVia(ptr, router_cfg_) && isFixedVia(viaRect, router_cfg_)) { continue; } if (ptr->getPin() == viaRect->getPin()) { continue; } - if (isSkipVia(ptr)) { + if (isSkipVia(ptr, router_cfg_)) { continue; } if (isUpperVia) { @@ -463,7 +465,7 @@ void FlexGCWorker::Impl::checKeepOutZone_main(gcRect* rect, frLef58KeepOutZoneConstraint* con) { auto layer = getTech()->getLayer(rect->getLayerNum()); - if (isSkipVia(rect)) { + if (isSkipVia(rect, router_cfg_)) { return; } auto dbRule = con->getODBRule(); @@ -512,13 +514,13 @@ void FlexGCWorker::Impl::checKeepOutZone_main(gcRect* rect, allResults.insert(allResults.end(), results.begin(), results.end()); } for (auto& [box, ptr] : allResults) { - if (isFixedVia(ptr) && isFixedVia(rect)) { + if (isFixedVia(ptr, router_cfg_) && isFixedVia(rect, router_cfg_)) { continue; } if (ptr->getPin() == rect->getPin()) { continue; } - if (isSkipVia(ptr)) { + if (isSkipVia(ptr, router_cfg_)) { continue; } auto via2CutClass = layer->getCutClass(ptr->width(), ptr->length()); @@ -556,7 +558,7 @@ void FlexGCWorker::Impl::checKeepOutZone_main(gcRect* rect, void FlexGCWorker::Impl::checkMetalWidthViaTable_main(gcRect* rect) { - if (rect->getLayerNum() > TOP_ROUTING_LAYER) { + if (rect->getLayerNum() > router_cfg_->TOP_ROUTING_LAYER) { return; } for (auto con : getTech() @@ -797,7 +799,7 @@ gtl::rectangle_data bloatRectangle( gtl::rectangle_data temp_rect(rect); gtl::bloat(temp_rect, dir, spacing); return temp_rect; -}; +} gtl::polygon_90_set_data getQueryPolygonSet( const gtl::rectangle_data& marker_rect, const gtl::rectangle_data& rect1, @@ -810,7 +812,7 @@ gtl::polygon_90_set_data getQueryPolygonSet( query_polygon_set.insert(bloatRectangle(rect1, dir, spacing)); query_polygon_set.insert(bloatRectangle(rect2, dir, spacing)); return query_polygon_set; -}; +} } // namespace orth diff --git a/src/drt/src/gc/FlexGC_impl.h b/src/drt/src/gc/FlexGC_impl.h index 028a2047aae..40e638c7cd8 100644 --- a/src/drt/src/gc/FlexGC_impl.h +++ b/src/drt/src/gc/FlexGC_impl.h @@ -90,6 +90,7 @@ class FlexGCWorker::Impl Impl(); // for serialization Impl(frTechObject* techIn, Logger* logger, + RouterConfiguration* router_cfg, FlexDRWorker* drWorkerIn, FlexGCWorker* gcWorkerIn); frLayerNum getMinLayerNum() // inclusive @@ -137,6 +138,7 @@ class FlexGCWorker::Impl private: frTechObject* tech_; Logger* logger_; + RouterConfiguration* router_cfg_; FlexDRWorker* drWorker_; Rect extBox_; diff --git a/src/drt/src/gc/FlexGC_init.cpp b/src/drt/src/gc/FlexGC_init.cpp index 1a98afeb86f..d2a7007c76a 100644 --- a/src/drt/src/gc/FlexGC_init.cpp +++ b/src/drt/src/gc/FlexGC_init.cpp @@ -293,7 +293,7 @@ gcNet* FlexGCWorker::Impl::initDRObj(drConnFig* obj, gcNet* currNet) if (pathSeg->isTapered()) { currNet->addTaperedRect(box, pathSeg->getLayerNum() / 2 - 1); } else if (pathSeg->hasNet() && pathSeg->getNet()->hasNDR() - && AUTO_TAPER_NDR_NETS) { + && router_cfg_->AUTO_TAPER_NDR_NETS) { currNet->addNonTaperedRect(box, pathSeg->getLayerNum() / 2 - 1); } } else if (obj->typeId() == drcVia) { @@ -306,7 +306,7 @@ gcNet* FlexGCWorker::Impl::initDRObj(drConnFig* obj, gcNet* currNet) if (via->isTapered()) { currNet->addTaperedRect(box, layerNum / 2 - 1); } else if (via->hasNet() && via->getNet()->hasNDR() - && AUTO_TAPER_NDR_NETS) { + && router_cfg_->AUTO_TAPER_NDR_NETS) { currNet->addNonTaperedRect(box, layerNum / 2 - 1); } currNet->addPolygon(box, layerNum, via->getNet()->isFixed()); @@ -326,7 +326,7 @@ gcNet* FlexGCWorker::Impl::initDRObj(drConnFig* obj, gcNet* currNet) if (via->isTapered()) { currNet->addTaperedRect(box, layerNum / 2 - 1); } else if (via->hasNet() && via->getNet()->hasNDR() - && AUTO_TAPER_NDR_NETS) { + && router_cfg_->AUTO_TAPER_NDR_NETS) { currNet->addNonTaperedRect(box, layerNum / 2 - 1); } currNet->addPolygon(box, layerNum, via->getNet()->isFixed()); @@ -352,7 +352,7 @@ gcNet* FlexGCWorker::Impl::initRouteObj(frBlockObject* obj, gcNet* currNet) if (pathSeg->isTapered()) { currNet->addTaperedRect(box, pathSeg->getLayerNum() / 2 - 1); } else if (pathSeg->hasNet() && pathSeg->getNet()->hasNDR() - && AUTO_TAPER_NDR_NETS) { + && router_cfg_->AUTO_TAPER_NDR_NETS) { currNet->addNonTaperedRect(box, pathSeg->getLayerNum() / 2 - 1); } } else if (obj->typeId() == frcVia) { @@ -365,7 +365,7 @@ gcNet* FlexGCWorker::Impl::initRouteObj(frBlockObject* obj, gcNet* currNet) if (via->isTapered()) { currNet->addTaperedRect(box, layerNum / 2 - 1); } else if (via->hasNet() && via->getNet()->hasNDR() - && AUTO_TAPER_NDR_NETS) { + && router_cfg_->AUTO_TAPER_NDR_NETS) { currNet->addNonTaperedRect(box, layerNum / 2 - 1); } currNet->addPolygon(box, layerNum); @@ -385,7 +385,7 @@ gcNet* FlexGCWorker::Impl::initRouteObj(frBlockObject* obj, gcNet* currNet) if (via->isTapered()) { currNet->addTaperedRect(box, layerNum / 2 - 1); } else if (via->hasNet() && via->getNet()->hasNDR() - && AUTO_TAPER_NDR_NETS) { + && router_cfg_->AUTO_TAPER_NDR_NETS) { currNet->addNonTaperedRect(box, layerNum / 2 - 1); } currNet->addPolygon(box, layerNum); @@ -718,7 +718,31 @@ void FlexGCWorker::Impl::initNet_pins_polygonEdges(gcNet* net) } } } - +namespace { +bool isPolygonCorner(const frCoord x, + const frCoord y, + const gtl::polygon_90_set_data& poly_set) +{ + std::vector> polygons; + poly_set.get(polygons); + for (const auto& polygon : polygons) { + for (const auto& pt : polygon) { + if (pt.x() == x && pt.y() == y) { + return true; + } + } + for (auto hole_itr = polygon.begin_holes(); hole_itr != polygon.end_holes(); + ++hole_itr) { + for (const auto& pt : (*hole_itr)) { + if (pt.x() == x && pt.y() == y) { + return true; + } + } + } + } + return false; +} +} // namespace void FlexGCWorker::Impl::initNet_pins_polygonCorners_helper(gcNet* net, gcPin* pin) { @@ -769,26 +793,32 @@ void FlexGCWorker::Impl::initNet_pins_polygonCorners_helper(gcNet* net, && nextEdge->getDir() == frDirEnum::E)) { currCorner->setDir(frCornerDirEnum::SE); } - - // set fixed / route status - if (currCorner->getType() == frCornerTypeEnum::CONVEX) { - currCorner->setFixed(false); - for (auto& rect : net->getRectangles(true)[layerNum]) { - if (isCornerOverlap(currCorner, rect)) { - currCorner->setFixed(true); - break; + if (getTech()->getLayer(layerNum)->getType() + == odb::dbTechLayerType::CUT) { + if (currCorner->getType() == frCornerTypeEnum::CONVEX) { + currCorner->setFixed(false); + for (auto& rect : net->getRectangles(true)[layerNum]) { + if (isCornerOverlap(currCorner, rect)) { + currCorner->setFixed(true); + break; + } } - } - } else if (currCorner->getType() == frCornerTypeEnum::CONCAVE) { - currCorner->setFixed(true); - auto cornerPt = currCorner->getNextEdge()->low(); - for (auto& rect : net->getRectangles(false)[layerNum]) { - if (gtl::contains(rect, cornerPt, true) - && !gtl::contains(rect, cornerPt, false)) { - currCorner->setFixed(false); - break; + } else if (currCorner->getType() == frCornerTypeEnum::CONCAVE) { + currCorner->setFixed(true); + auto cornerPt = currCorner->getNextEdge()->low(); + for (auto& rect : net->getRectangles(false)[layerNum]) { + if (gtl::contains(rect, cornerPt, true) + && !gtl::contains(rect, cornerPt, false)) { + currCorner->setFixed(false); + break; + } } } + + } else { + currCorner->setFixed(isPolygonCorner(currCorner->x(), + currCorner->y(), + net->getPolygons(true)[layerNum])); } // currCorner->setFixed(prevEdge->isFixed() && nextEdge->isFixed()); diff --git a/src/drt/src/gc/FlexGC_main.cpp b/src/drt/src/gc/FlexGC_main.cpp index 566dab8ef9a..2375798e449 100644 --- a/src/drt/src/gc/FlexGC_main.cpp +++ b/src/drt/src/gc/FlexGC_main.cpp @@ -268,7 +268,7 @@ frCoord FlexGCWorker::Impl::checkMetalSpacing_prl_getReqSpcVal(gcRect* rect1, // override width and spacing if (rect1->getNet()->isBlockage()) { isObs = true; - if (USEMINSPACING_OBS) { + if (router_cfg_->USEMINSPACING_OBS) { width1 = currLayer->getWidth(); } if (rect1->getNet()->getDesignRuleWidth() != -1) { @@ -277,7 +277,7 @@ frCoord FlexGCWorker::Impl::checkMetalSpacing_prl_getReqSpcVal(gcRect* rect1, } if (rect2->getNet()->isBlockage()) { isObs = true; - if (USEMINSPACING_OBS) { + if (router_cfg_->USEMINSPACING_OBS) { width2 = currLayer->getWidth(); } if (rect2->getNet()->getDesignRuleWidth() != -1) { @@ -894,8 +894,9 @@ void FlexGCWorker::Impl::checkMetalSpacing() checkMetalSpacing_wrongDir(pin.get(), currLayer); } for (auto& maxrect : pin->getMaxRectangles()) { - checkMetalSpacing_main(maxrect.get(), - getDRWorker() || !AUTO_TAPER_NDR_NETS); + checkMetalSpacing_main( + maxrect.get(), + getDRWorker() || !router_cfg_->AUTO_TAPER_NDR_NETS); if (currLayer->hasTwoWiresForbiddenSpacingConstraints()) { for (auto con : currLayer->getTwoWiresForbiddenSpacingConstraints()) { @@ -911,7 +912,7 @@ void FlexGCWorker::Impl::checkMetalSpacing() } for (auto& sr : targetNet_->getSpecialSpcRects()) { checkMetalSpacing_main( - sr.get(), getDRWorker() || !AUTO_TAPER_NDR_NETS, true); + sr.get(), getDRWorker() || !router_cfg_->AUTO_TAPER_NDR_NETS, true); } } } else { @@ -932,8 +933,9 @@ void FlexGCWorker::Impl::checkMetalSpacing() } for (auto& maxrect : pin->getMaxRectangles()) { // Short, NSMetal, metSpc - checkMetalSpacing_main(maxrect.get(), - getDRWorker() || !AUTO_TAPER_NDR_NETS); + checkMetalSpacing_main( + maxrect.get(), + getDRWorker() || !router_cfg_->AUTO_TAPER_NDR_NETS); if (currLayer->hasTwoWiresForbiddenSpacingConstraints()) { for (auto con : currLayer->getTwoWiresForbiddenSpacingConstraints()) { @@ -949,7 +951,9 @@ void FlexGCWorker::Impl::checkMetalSpacing() } for (auto& sr : net->getSpecialSpcRects()) { checkMetalSpacing_main( - sr.get(), getDRWorker() || !AUTO_TAPER_NDR_NETS, true); + sr.get(), + getDRWorker() || !router_cfg_->AUTO_TAPER_NDR_NETS, + true); } } } @@ -3006,9 +3010,6 @@ void FlexGCWorker::Impl::checkLef58CutSpacing_spc_layer( const gtl::rectangle_data& markerRect, frLef58CutSpacingConstraint* con) { - if (rect1->isFixed() && rect2->isFixed()) { - return; - } auto layerNum = rect1->getLayerNum(); auto secondLayerNum = rect2->getLayerNum(); auto net1 = rect1->getNet(); @@ -3525,7 +3526,7 @@ void FlexGCWorker::Impl::checkCutSpacing_main(gcRect* rect) checkLef58CutSpacingTbl(rect, layer->getLef58DefaultInterCutSpcTblConstraint()); } - if (layer->getLayerNum() + 2 < TOP_ROUTING_LAYER + if (layer->getLayerNum() + 2 < router_cfg_->TOP_ROUTING_LAYER && layer->getLayerNum() + 2 < getTech()->getLayers().size()) { auto aboveLayer = getTech()->getLayer(layer->getLayerNum() + 2); if (aboveLayer->hasLef58SameNetInterCutSpcTblConstraint()) { diff --git a/src/drt/src/global.cpp b/src/drt/src/global.cpp index dfe9828a529..309c51b7f7c 100644 --- a/src/drt/src/global.cpp +++ b/src/drt/src/global.cpp @@ -40,80 +40,6 @@ namespace drt { -std::string OUT_MAZE_FILE; -std::string DRC_RPT_FILE; -std::optional DRC_RPT_ITER_STEP; -std::string CMAP_FILE; -std::string GUIDE_REPORT_FILE; - -// to be removed -int OR_SEED = -1; -double OR_K = 0; - -std::string DBPROCESSNODE; -int MAX_THREADS = 1; -int BATCHSIZE = 1024; -int BATCHSIZETA = 8; -int MTSAFEDIST = 2000; -int DRCSAFEDIST = 500; -int VERBOSE = 1; -std::string BOTTOM_ROUTING_LAYER_NAME; -std::string TOP_ROUTING_LAYER_NAME; -int BOTTOM_ROUTING_LAYER = 2; -int TOP_ROUTING_LAYER = std::numeric_limits::max(); -bool ALLOW_PIN_AS_FEEDTHROUGH = true; -bool USENONPREFTRACKS = true; -bool USEMINSPACING_OBS = true; -bool ENABLE_BOUNDARY_MAR_FIX = true; -bool ENABLE_VIA_GEN = true; -bool CLEAN_PATCHES = false; -bool DO_PA = true; -bool SINGLE_STEP_DR = false; -bool SAVE_GUIDE_UPDATES = false; - -std::string VIAINPIN_BOTTOMLAYER_NAME; -std::string VIAINPIN_TOPLAYER_NAME; -frLayerNum VIAINPIN_BOTTOMLAYERNUM = std::numeric_limits::max(); -frLayerNum VIAINPIN_TOPLAYERNUM = std::numeric_limits::max(); -int MINNUMACCESSPOINT_MACROCELLPIN = 3; -int MINNUMACCESSPOINT_STDCELLPIN = 3; -int ACCESS_PATTERN_END_ITERATION_NUM = 10; -float CONGESTION_THRESHOLD = 0.4; -int MAX_CLIPSIZE_INCREASE = 18; - -frLayerNum VIA_ACCESS_LAYERNUM = 2; - -int NDR_NETS_ABS_PRIORITY = 2; -int CLOCK_NETS_ABS_PRIORITY = 4; - -int END_ITERATION = 80; -int NDR_NETS_RIPUP_HARDINESS = 3; -int CLOCK_NETS_TRUNK_RIPUP_HARDINESS = 100; -int CLOCK_NETS_LEAF_RIPUP_HARDINESS = 10; -bool AUTO_TAPER_NDR_NETS = true; -int TAPERBOX_RADIUS = 3; - -frUInt4 TAPINCOST = 4; -frUInt4 TAALIGNCOST = 4; -frUInt4 TADRCCOST = 32; -float TASHAPEBLOATWIDTH = 1.5; - -frUInt4 VIACOST = 4; -// new cost used -frUInt4 GRIDCOST = 2; -frUInt4 ROUTESHAPECOST = 8; -frUInt4 MARKERCOST = 32; -frUInt4 MARKERBLOATWIDTH = 1; -frUInt4 BLOCKCOST = 32; -frUInt4 GUIDECOST = 1; // disabled change getNextPathCost to enable -float SHAPEBLOATWIDTH = 3; - -int CONGCOST = 8; -int HISTCOST = 32; -std::string REPAIR_PDN_LAYER_NAME; -frLayerNum REPAIR_PDN_LAYER_NUM = -1; -frLayerNum GC_IGNORE_PDN_LAYER_NUM = -1; - std::ostream& operator<<(std::ostream& os, const frRect& pinFigIn) { // if (pinFigIn.getPin()) { diff --git a/src/drt/src/global.h b/src/drt/src/global.h index 962bcb25c1b..c618b9c788a 100644 --- a/src/drt/src/global.h +++ b/src/drt/src/global.h @@ -44,80 +44,85 @@ class Rect; namespace drt { -extern std::string DBPROCESSNODE; -extern std::string OUT_MAZE_FILE; -extern std::string DRC_RPT_FILE; -extern std::optional DRC_RPT_ITER_STEP; -extern std::string CMAP_FILE; -extern std::string GUIDE_REPORT_FILE; -// to be removed -extern int OR_SEED; -extern double OR_K; - -extern int MAX_THREADS; -extern int BATCHSIZE; -extern int BATCHSIZETA; -extern int MTSAFEDIST; -extern int DRCSAFEDIST; -extern int VERBOSE; -extern std::string BOTTOM_ROUTING_LAYER_NAME; -extern std::string TOP_ROUTING_LAYER_NAME; -extern int BOTTOM_ROUTING_LAYER; -extern int TOP_ROUTING_LAYER; -extern bool ALLOW_PIN_AS_FEEDTHROUGH; -extern bool USENONPREFTRACKS; -extern bool USEMINSPACING_OBS; -extern bool ENABLE_BOUNDARY_MAR_FIX; -extern bool ENABLE_VIA_GEN; -extern bool CLEAN_PATCHES; -extern bool DO_PA; -extern bool SINGLE_STEP_DR; -extern bool SAVE_GUIDE_UPDATES; -extern std::string VIAINPIN_BOTTOMLAYER_NAME; -extern std::string VIAINPIN_TOPLAYER_NAME; -extern frLayerNum VIAINPIN_BOTTOMLAYERNUM; -extern frLayerNum VIAINPIN_TOPLAYERNUM; - -extern frLayerNum VIA_ACCESS_LAYERNUM; - -extern int MINNUMACCESSPOINT_MACROCELLPIN; -extern int MINNUMACCESSPOINT_STDCELLPIN; -extern int ACCESS_PATTERN_END_ITERATION_NUM; -extern float CONGESTION_THRESHOLD; -extern int MAX_CLIPSIZE_INCREASE; - -extern int END_ITERATION; - -extern int NDR_NETS_RIPUP_HARDINESS; // max ripup avoids -extern int CLOCK_NETS_TRUNK_RIPUP_HARDINESS; -extern int CLOCK_NETS_LEAF_RIPUP_HARDINESS; -extern bool AUTO_TAPER_NDR_NETS; -extern int TAPERBOX_RADIUS; -extern int NDR_NETS_ABS_PRIORITY; -extern int CLOCK_NETS_ABS_PRIORITY; - -extern frUInt4 TAPINCOST; -extern frUInt4 TAALIGNCOST; -extern frUInt4 TADRCCOST; -extern float TASHAPEBLOATWIDTH; - -extern frUInt4 VIACOST; - -extern frUInt4 GRIDCOST; -extern frUInt4 ROUTESHAPECOST; -extern frUInt4 MARKERCOST; -extern frUInt4 MARKERBLOATWIDTH; -extern frUInt4 BLOCKCOST; -extern frUInt4 GUIDECOST; -extern float SHAPEBLOATWIDTH; - -// GR -extern int HISTCOST; -extern int CONGCOST; - -extern std::string REPAIR_PDN_LAYER_NAME; -extern frLayerNum REPAIR_PDN_LAYER_NUM; -extern frLayerNum GC_IGNORE_PDN_LAYER_NUM; +struct RouterConfiguration +{ + std::string DBPROCESSNODE; + std::string OUT_MAZE_FILE; + std::string DRC_RPT_FILE; + std::optional DRC_RPT_ITER_STEP = std::nullopt; + std::string CMAP_FILE; + std::string GUIDE_REPORT_FILE; + + // to be removed + int OR_SEED = -1; + double OR_K = 0; + + int MAX_THREADS = 1; + int BATCHSIZE = 1024; + int BATCHSIZETA = 8; + int MTSAFEDIST = 2000; + int DRCSAFEDIST = 500; + int VERBOSE = 1; + std::string BOTTOM_ROUTING_LAYER_NAME; + std::string TOP_ROUTING_LAYER_NAME; + int BOTTOM_ROUTING_LAYER = 2; + int TOP_ROUTING_LAYER = std::numeric_limits::max(); + bool ALLOW_PIN_AS_FEEDTHROUGH = true; + bool USENONPREFTRACKS = true; + bool USEMINSPACING_OBS = true; + bool ENABLE_BOUNDARY_MAR_FIX = true; + bool ENABLE_VIA_GEN = true; + bool CLEAN_PATCHES = false; + bool DO_PA = true; + bool SINGLE_STEP_DR = false; + bool SAVE_GUIDE_UPDATES = false; + + std::string VIAINPIN_BOTTOMLAYER_NAME; + std::string VIAINPIN_TOPLAYER_NAME; + frLayerNum VIAINPIN_BOTTOMLAYERNUM = std::numeric_limits::max(); + frLayerNum VIAINPIN_TOPLAYERNUM = std::numeric_limits::max(); + + frLayerNum VIA_ACCESS_LAYERNUM = 2; + + int MINNUMACCESSPOINT_MACROCELLPIN = 3; + int MINNUMACCESSPOINT_STDCELLPIN = 3; + int ACCESS_PATTERN_END_ITERATION_NUM = 10; + float CONGESTION_THRESHOLD = 0.4; + int MAX_CLIPSIZE_INCREASE = 18; + + int END_ITERATION = 80; + + int NDR_NETS_RIPUP_HARDINESS = 3; // max ripup avoids + int CLOCK_NETS_TRUNK_RIPUP_HARDINESS = 100; + int CLOCK_NETS_LEAF_RIPUP_HARDINESS = 10; + bool AUTO_TAPER_NDR_NETS = true; + int TAPERBOX_RADIUS = 3; + int NDR_NETS_ABS_PRIORITY = 2; + int CLOCK_NETS_ABS_PRIORITY = 4; + + frUInt4 TAPINCOST = 4; + frUInt4 TAALIGNCOST = 4; + frUInt4 TADRCCOST = 32; + float TASHAPEBLOATWIDTH = 1.5; + + frUInt4 VIACOST = 4; + // new cost used + frUInt4 GRIDCOST = 2; + frUInt4 ROUTESHAPECOST = 8; + frUInt4 MARKERCOST = 32; + frUInt4 MARKERBLOATWIDTH = 1; // unused + frUInt4 BLOCKCOST = 32; + frUInt4 GUIDECOST = 1; // disabled change getNextPathCost to enable + float SHAPEBLOATWIDTH = 3; // unused + + // GR + int CONGCOST = 8; + int HISTCOST = 32; + + std::string REPAIR_PDN_LAYER_NAME; + frLayerNum REPAIR_PDN_LAYER_NUM = -1; + frLayerNum GC_IGNORE_PDN_LAYER_NUM = -1; +}; constexpr int DIRBITSIZE = 3; constexpr int WAVEFRONTBUFFERSIZE = 2; diff --git a/src/drt/src/gr/FlexGR.cpp b/src/drt/src/gr/FlexGR.cpp index f11e8a6a7e6..d16ed33287d 100644 --- a/src/drt/src/gr/FlexGR.cpp +++ b/src/drt/src/gr/FlexGR.cpp @@ -53,8 +53,8 @@ void FlexGR::main(odb::dbDatabase* db) ra(); // cmap->print(true); - FlexGRCMap baseCMap(cmap_.get()); - FlexGRCMap baseCMap2D(cmap2D_.get()); + FlexGRCMap baseCMap(cmap_.get(), router_cfg_); + FlexGRCMap baseCMap2D(cmap2D_.get(), router_cfg_); // gen topology + pattern route for 2D connectivty initGR(); @@ -68,8 +68,8 @@ void FlexGR::main(odb::dbDatabase* db) searchRepairMacro(0, 10, 2, - 1 * CONGCOST, - 0.5 * HISTCOST, + 1 * router_cfg_->CONGCOST, + 0.5 * router_cfg_->HISTCOST, 1.0, true, /*mode*/ RipUpMode::ALL); @@ -77,17 +77,29 @@ void FlexGR::main(odb::dbDatabase* db) searchRepairMacro(1, 30, 2, - 1 * CONGCOST, - 1 * HISTCOST, + 1 * router_cfg_->CONGCOST, + 1 * router_cfg_->HISTCOST, 0.9, true, /*mode*/ RipUpMode::ALL); // reportCong2D(); - searchRepairMacro( - 2, 50, 2, 1 * CONGCOST, 1.5 * HISTCOST, 0.9, true, RipUpMode::ALL); + searchRepairMacro(2, + 50, + 2, + 1 * router_cfg_->CONGCOST, + 1.5 * router_cfg_->HISTCOST, + 0.9, + true, + RipUpMode::ALL); // reportCong2D(); - searchRepairMacro( - 3, 80, 2, 2 * CONGCOST, 2 * HISTCOST, 0.9, true, RipUpMode::ALL); + searchRepairMacro(3, + 80, + 2, + 2 * router_cfg_->CONGCOST, + 2 * router_cfg_->HISTCOST, + 0.9, + true, + RipUpMode::ALL); // reportCong2D(); // reportCong2D(); @@ -95,8 +107,8 @@ void FlexGR::main(odb::dbDatabase* db) /*size*/ 200, /*offset*/ 0, /*mazeEndIter*/ 2, - /*workerCongCost*/ 1 * CONGCOST, - /*workerHistCost*/ 0.5 * HISTCOST, + /*workerCongCost*/ 1 * router_cfg_->CONGCOST, + /*workerHistCost*/ 0.5 * router_cfg_->HISTCOST, /*congThresh*/ 0.9, /*is2DRouting*/ true, /*mode*/ RipUpMode::ALL, @@ -106,8 +118,8 @@ void FlexGR::main(odb::dbDatabase* db) /*size*/ 200, /*offset*/ -70, /*mazeEndIter*/ 2, - /*workerCongCost*/ 1 * CONGCOST, - /*workerHistCost*/ 1 * HISTCOST, + /*workerCongCost*/ 1 * router_cfg_->CONGCOST, + /*workerHistCost*/ 1 * router_cfg_->HISTCOST, /*congThresh*/ 0.9, /*is2DRouting*/ true, /*mode*/ RipUpMode::ALL, @@ -117,8 +129,8 @@ void FlexGR::main(odb::dbDatabase* db) /*size*/ 200, /*offset*/ -150, /*mazeEndIter*/ 2, - /*workerCongCost*/ 2 * CONGCOST, - /*workerHistCost*/ 2 * HISTCOST, + /*workerCongCost*/ 2 * router_cfg_->CONGCOST, + /*workerHistCost*/ 2 * router_cfg_->HISTCOST, /*congThresh*/ 0.8, /*is2DRouting*/ true, /*mode*/ RipUpMode::ALL, @@ -138,8 +150,8 @@ void FlexGR::main(odb::dbDatabase* db) /*size*/ 10, /*offset*/ 0, /*mazeEndIter*/ 2, - /*workerCongCost*/ 4 * CONGCOST, - /*workerHistCost*/ 0.25 * HISTCOST, + /*workerCongCost*/ 4 * router_cfg_->CONGCOST, + /*workerHistCost*/ 0.25 * router_cfg_->HISTCOST, /*congThresh*/ 1.0, /*is2DRouting*/ false, RipUpMode::ALL, @@ -165,7 +177,7 @@ void FlexGR::searchRepairMacro(int iter, { frTime t; - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { std::cout << std::endl << "start " << iter; std::string suffix; if (iter == 1 || (iter > 20 && iter % 10 == 1)) { @@ -206,7 +218,7 @@ void FlexGR::searchRepairMacro(int iter, // create separate worker for each macro for (auto macro : macros) { - auto worker = std::make_unique(this); + auto worker = std::make_unique(this, router_cfg_); Rect macroBBox = macro->getBBox(); Point macroLL(macroBBox.xMin(), macroBBox.yMin()); Point macroUR(macroBBox.xMax(), macroBBox.yMax()); @@ -265,7 +277,7 @@ void FlexGR::searchRepair(int iter, { frTime t; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl << "start " << iter; std::string suffix; if (iter == 1 || (iter > 20 && iter % 10 == 1)) { @@ -287,7 +299,7 @@ void FlexGR::searchRepair(int iter, if (TEST) { std::cout << "search and repair test mode" << std::endl << std::flush; - FlexGRWorker worker(this); + FlexGRWorker worker(this, router_cfg_); Rect extBox(1847999, 440999, 1857000, 461999); Rect routeBox(1849499, 442499, 1855499, 460499); Point gcellIdxLL(616, 147); @@ -323,7 +335,7 @@ void FlexGR::searchRepair(int iter, // sequential init for (int i = 0; i < (int) xgp.getCount(); i += size) { for (int j = 0; j < (int) ygp.getCount(); j += size) { - auto worker = std::make_unique(this); + auto worker = std::make_unique(this, router_cfg_); Point gcellIdxLL = Point(i, j); Point gcellIdxUR = Point(std::min((int) xgp.getCount() - 1, i + size - 1), @@ -355,7 +367,8 @@ void FlexGR::searchRepair(int iter, int batchIdx = (xIdx % batchStepX) * batchStepY + yIdx % batchStepY; if (workers[batchIdx].empty() - || (int) workers[batchIdx].back().size() >= BATCHSIZE) { + || (int) workers[batchIdx].back().size() + >= router_cfg_->BATCHSIZE) { workers[batchIdx].push_back( std::vector>()); } @@ -367,7 +380,7 @@ void FlexGR::searchRepair(int iter, xIdx++; } - omp_set_num_threads(std::min(8, MAX_THREADS)); + omp_set_num_threads(std::min(8, router_cfg_->MAX_THREADS)); // omp_set_num_threads(1); // parallel execution @@ -404,7 +417,7 @@ void FlexGR::searchRepair(int iter, void FlexGR::reportCong2DGolden(FlexGRCMap* baseCMap2D) { - FlexGRCMap goldenCMap2D(baseCMap2D); + FlexGRCMap goldenCMap2D(baseCMap2D, router_cfg_); for (auto& net : design_->getTopBlock()->getNets()) { for (auto& uGRShape : net->getGRShapes()) { @@ -436,7 +449,7 @@ void FlexGR::reportCong2DGolden(FlexGRCMap* baseCMap2D) void FlexGR::reportCong2D(FlexGRCMap* cmap2D) { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl << "start reporting 2D congestion ...\n\n"; } @@ -528,7 +541,7 @@ void FlexGR::reportCong2D(FlexGRCMap* cmap2D) void FlexGR::reportCong2D() { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl << "start reporting 2D congestion ...\n\n"; } @@ -620,7 +633,7 @@ void FlexGR::reportCong2D() void FlexGR::reportCong3DGolden(FlexGRCMap* baseCMap) { - FlexGRCMap goldenCMap3D(baseCMap); + FlexGRCMap goldenCMap3D(baseCMap, router_cfg_); for (auto& net : design_->getTopBlock()->getNets()) { for (auto& uGRShape : net->getGRShapes()) { @@ -712,7 +725,7 @@ void FlexGR::updateDbCongestion(odb::dbDatabase* db, FlexGRCMap* cmap) void FlexGR::reportCong3D(FlexGRCMap* cmap) { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl << "start reporting 3D congestion ...\n\n"; } @@ -786,7 +799,7 @@ void FlexGR::reportCong3D(FlexGRCMap* cmap) void FlexGR::reportCong3D() { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl << "start reporting 3D congestion ...\n\n"; } @@ -864,7 +877,7 @@ void FlexGR::reportCong3D() void FlexGR::ra() { frTime t; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl << "start routing resource analysis ...\n\n"; } @@ -961,7 +974,7 @@ void FlexGR::ra() std::cout << std::setw(5) << std::fixed << std::setprecision(2) << totNumBlockedGCell * 100.0 / totNumGCell << "%\n"; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { std::cout << std::endl; t.print(logger_); } @@ -1242,10 +1255,10 @@ void FlexGR::patternRoute_LShape(frNode* child, frNode* parent) corner1Cost += getCongCost(rawSupply, rawDemand); if (cmap2D_->getRawDemand(xIdx, cornerGCellIdx1.y(), 0, frDirEnum::E) >= cmap2D_->getRawSupply(xIdx, cornerGCellIdx1.y(), 0, frDirEnum::E)) { - corner1Cost += BLOCKCOST; + corner1Cost += router_cfg_->BLOCKCOST; } if (cmap2D_->hasBlock(xIdx, cornerGCellIdx1.y(), 0, frDirEnum::E)) { - corner1Cost += BLOCKCOST * 100; + corner1Cost += router_cfg_->BLOCKCOST * 100; } } for (int yIdx = std::min(cornerGCellIdx1.y(), childGCellIdx.y()); @@ -1258,10 +1271,10 @@ void FlexGR::patternRoute_LShape(frNode* child, frNode* parent) corner1Cost += getCongCost(rawSupply, rawDemand); if (cmap2D_->getRawDemand(cornerGCellIdx1.x(), yIdx, 0, frDirEnum::N) >= cmap2D_->getRawSupply(cornerGCellIdx1.x(), yIdx, 0, frDirEnum::N)) { - corner1Cost += BLOCKCOST; + corner1Cost += router_cfg_->BLOCKCOST; } if (cmap2D_->hasBlock(cornerGCellIdx1.x(), yIdx, 0, frDirEnum::N)) { - corner1Cost += BLOCKCOST * 100; + corner1Cost += router_cfg_->BLOCKCOST * 100; } } @@ -1277,10 +1290,10 @@ void FlexGR::patternRoute_LShape(frNode* child, frNode* parent) corner2Cost += getCongCost(rawSupply, rawDemand); if (cmap2D_->getRawDemand(xIdx, cornerGCellIdx2.y(), 0, frDirEnum::E) >= cmap2D_->getRawSupply(xIdx, cornerGCellIdx2.y(), 0, frDirEnum::E)) { - corner2Cost += BLOCKCOST; + corner2Cost += router_cfg_->BLOCKCOST; } if (cmap2D_->hasBlock(xIdx, cornerGCellIdx2.y(), 0, frDirEnum::E)) { - corner2Cost += BLOCKCOST * 100; + corner2Cost += router_cfg_->BLOCKCOST * 100; } } for (int yIdx = std::min(cornerGCellIdx2.y(), parentGCellIdx.y()); @@ -1293,10 +1306,10 @@ void FlexGR::patternRoute_LShape(frNode* child, frNode* parent) corner2Cost += getCongCost(rawSupply, rawDemand); if (cmap2D_->getRawDemand(cornerGCellIdx2.x(), yIdx, 0, frDirEnum::N) >= cmap2D_->getRawSupply(cornerGCellIdx2.x(), yIdx, 0, frDirEnum::N)) { - corner2Cost += BLOCKCOST; + corner2Cost += router_cfg_->BLOCKCOST; } if (cmap2D_->hasBlock(cornerGCellIdx2.x(), yIdx, 0, frDirEnum::N)) { - corner2Cost += BLOCKCOST * 100; + corner2Cost += router_cfg_->BLOCKCOST * 100; } } @@ -2139,7 +2152,7 @@ void FlexGR::layerAssign_node_compute( = (std::max(layerNum, std::max(maxPinLayerNum, downstreamMaxLayerNum)) - std::min(layerNum, std::min(minPinLayerNum, downstreamMinLayerNum))) - * VIACOST; + * router_cfg_->VIACOST; // get upstream edge congestion cost unsigned congestionCost = 0; @@ -2155,8 +2168,8 @@ void FlexGR::layerAssign_node_compute( parentLoc = currLoc; } - if (layerNum <= (VIA_ACCESS_LAYERNUM / 2 - 1)) { - congestionCost += VIACOST * 8; + if (layerNum <= (router_cfg_->VIA_ACCESS_LAYERNUM / 2 - 1)) { + congestionCost += router_cfg_->VIACOST * 8; } Point beginIdx, endIdx; @@ -2183,7 +2196,7 @@ void FlexGR::layerAssign_node_compute( // block cost if (isLayerBlocked || cmap_->hasBlock(xIdx, yIdx, layerNum, frDirEnum::E)) { - congestionCost += BLOCKCOST * 100; + congestionCost += router_cfg_->BLOCKCOST * 100; } // congestion cost if (demand > supply / 4) { @@ -2192,7 +2205,7 @@ void FlexGR::layerAssign_node_compute( // overflow if (demand >= supply) { - congestionCost += MARKERCOST * 8; + congestionCost += router_cfg_->MARKERCOST * 8; } } } else { @@ -2208,7 +2221,7 @@ void FlexGR::layerAssign_node_compute( = cmap_->getRawDemand(xIdx, yIdx, layerNum, frDirEnum::N); if (isLayerBlocked || cmap_->hasBlock(xIdx, yIdx, layerNum, frDirEnum::N)) { - congestionCost += BLOCKCOST * 100; + congestionCost += router_cfg_->BLOCKCOST * 100; } // congestion cost if (demand > supply / 4) { @@ -2216,7 +2229,7 @@ void FlexGR::layerAssign_node_compute( } // overflow if (demand >= supply) { - congestionCost += MARKERCOST * 8; + congestionCost += router_cfg_->MARKERCOST * 8; } } } diff --git a/src/drt/src/gr/FlexGR.h b/src/drt/src/gr/FlexGR.h index d32179ba48f..32f46006b51 100644 --- a/src/drt/src/gr/FlexGR.h +++ b/src/drt/src/gr/FlexGR.h @@ -53,13 +53,15 @@ class FlexGR // constructors FlexGR(frDesign* designIn, Logger* logger, - stt::SteinerTreeBuilder* stt_builder) + stt::SteinerTreeBuilder* stt_builder, + RouterConfiguration* router_cfg) : db_(nullptr), design_(designIn), cmap_(nullptr), cmap2D_(nullptr), logger_(logger), - stt_builder_(stt_builder) + stt_builder_(stt_builder), + router_cfg_(router_cfg) { } @@ -85,6 +87,7 @@ class FlexGR std::unique_ptr cmap2D_; Logger* logger_; stt::SteinerTreeBuilder* stt_builder_; + RouterConfiguration* router_cfg_; std::map, std::vector>, frBlockObjectComp> @@ -282,10 +285,10 @@ class FlexGRWorker { public: // constructors - FlexGRWorker(FlexGR* grIn) + FlexGRWorker(FlexGR* grIn, RouterConfiguration* router_cfg) : design_(grIn->getDesign()), gr_(grIn), - gridGraph_(grIn->getDesign(), this), + gridGraph_(grIn->getDesign(), this, router_cfg), rq_(this) { } diff --git a/src/drt/src/gr/FlexGRCMap.cpp b/src/drt/src/gr/FlexGRCMap.cpp index a858190c9dc..1250937dd7c 100644 --- a/src/drt/src/gr/FlexGRCMap.cpp +++ b/src/drt/src/gr/FlexGRCMap.cpp @@ -270,7 +270,7 @@ void FlexGRCMap::init() unsigned numRPins = rpinQueryResult.size(); - if (layerIdx > VIA_ACCESS_LAYERNUM) { + if (layerIdx > router_cfg_->VIA_ACCESS_LAYERNUM) { addRawDemand(xIdx, yIdx, cmapLayerIdx, frDirEnum::E, numRPins); } else { addRawDemand(xIdx, yIdx, cmapLayerIdx + 1, frDirEnum::N, numRPins); @@ -287,7 +287,7 @@ void FlexGRCMap::init() unsigned numRPins = rpinQueryResult.size(); - if (layerIdx > VIA_ACCESS_LAYERNUM) { + if (layerIdx > router_cfg_->VIA_ACCESS_LAYERNUM) { addRawDemand(xIdx, yIdx, cmapLayerIdx, frDirEnum::N, numRPins); } else { addRawDemand(xIdx, yIdx, cmapLayerIdx + 1, frDirEnum::E, numRPins); @@ -387,7 +387,7 @@ frCoord FlexGRCMap::calcBloatDist(frBlockObject* obj, ? (box.xMax() - box.xMin()) : (box.yMax() - box.yMin()); if (obj->typeId() == frcBlockage || obj->typeId() == frcInstBlockage) { - if (isOBS && USEMINSPACING_OBS) { + if (isOBS && router_cfg_->USEMINSPACING_OBS) { objWidth = width; } } @@ -559,8 +559,8 @@ void FlexGRCMap::print(bool isAll) std::ofstream congMap; std::cout << "printing congestion map...\n"; - if (!CMAP_FILE.empty()) { - congMap.open(CMAP_FILE.c_str()); + if (!router_cfg_->CMAP_FILE.empty()) { + congMap.open(router_cfg_->CMAP_FILE.c_str()); } if (congMap.is_open()) { @@ -616,8 +616,8 @@ void FlexGRCMap::print2D(bool isAll) { std::cout << "printing 2D congestion map...\n"; std::ofstream congMap; - if (!CMAP_FILE.empty()) { - congMap.open(CMAP_FILE.c_str()); + if (!router_cfg_->CMAP_FILE.empty()) { + congMap.open(router_cfg_->CMAP_FILE.c_str()); } if (congMap.is_open()) { diff --git a/src/drt/src/gr/FlexGRCMap.h b/src/drt/src/gr/FlexGRCMap.h index 919c6e4d157..11af2932f3e 100644 --- a/src/drt/src/gr/FlexGRCMap.h +++ b/src/drt/src/gr/FlexGRCMap.h @@ -42,14 +42,15 @@ class FlexGRCMap { public: // constructors - FlexGRCMap(frDesign* designIn) : design_(designIn) + FlexGRCMap(frDesign* designIn, RouterConfiguration* router_cfg) + : design_(designIn), router_cfg_(router_cfg) { auto& gCellPatterns = design_->getTopBlock()->getGCellPatterns(); numLayers_ = design_->getTech()->getLayers().size(); xgp_ = &(gCellPatterns.at(0)); ygp_ = &(gCellPatterns.at(1)); } - FlexGRCMap(FlexGRCMap* in) + FlexGRCMap(FlexGRCMap* in, RouterConfiguration* router_cfg) : design_(in->design_), xgp_(in->xgp_), ygp_(in->ygp_), @@ -58,7 +59,8 @@ class FlexGRCMap zMap_(in->zMap_), layerTrackPitches_(in->layerTrackPitches_), layerLine2ViaPitches_(in->layerLine2ViaPitches_), - layerPitches_(in->layerPitches_) + layerPitches_(in->layerPitches_), + router_cfg_(router_cfg) { } // getters @@ -529,6 +531,8 @@ class FlexGRCMap std::vector layerLine2ViaPitches_; std::vector layerPitches_; + RouterConfiguration* router_cfg_; + // internal getters bool getBit(unsigned idx, unsigned pos) const { diff --git a/src/drt/src/gr/FlexGRGridGraph.cpp b/src/drt/src/gr/FlexGRGridGraph.cpp index 5634a4898b2..92973dd8a6b 100644 --- a/src/drt/src/gr/FlexGRGridGraph.cpp +++ b/src/drt/src/gr/FlexGRGridGraph.cpp @@ -78,7 +78,7 @@ void FlexGRGridGraph::initCoords() frCoord zHeight = 0; for (auto& [k, v] : zMap) { zCoords_.push_back(k); - zHeight += getTech()->getLayer(k)->getPitch() * VIACOST; + zHeight += getTech()->getLayer(k)->getPitch() * router_cfg_->VIACOST; zHeights_.push_back(zHeight); zDirs_.push_back((v == dbTechLayerDir::HORIZONTAL)); } diff --git a/src/drt/src/gr/FlexGRGridGraph.h b/src/drt/src/gr/FlexGRGridGraph.h index b338697efe1..7e8907ad65c 100644 --- a/src/drt/src/gr/FlexGRGridGraph.h +++ b/src/drt/src/gr/FlexGRGridGraph.h @@ -48,8 +48,10 @@ class FlexGRGridGraph { public: // constructors - FlexGRGridGraph(frDesign* designIn, FlexGRWorker* workerIn) - : design_(designIn), grWorker_(workerIn) + FlexGRGridGraph(frDesign* designIn, + FlexGRWorker* workerIn, + RouterConfiguration* router_cfg) + : design_(designIn), grWorker_(workerIn), router_cfg_(router_cfg) { } // getters @@ -612,6 +614,7 @@ class FlexGRGridGraph FlexGRWorker* grWorker_{nullptr}; frGCellPattern* xgp_{nullptr}; frGCellPattern* ygp_{nullptr}; + RouterConfiguration* router_cfg_; // [0] hasEEdge; [1] hasNEdge; [2] hasUEdge // [3] blockE; [4] blockN; [5] blockU diff --git a/src/drt/src/gr/FlexGRGridGraph_maze.cpp b/src/drt/src/gr/FlexGRGridGraph_maze.cpp index c9a72f3e92f..38dcdd08c84 100644 --- a/src/drt/src/gr/FlexGRGridGraph_maze.cpp +++ b/src/drt/src/gr/FlexGRGridGraph_maze.cpp @@ -400,9 +400,9 @@ frCost FlexGRGridGraph::getNextPathCost(const FlexGRWavefrontGrid& currGrid, * getHistoryCost(gridX, gridY, gridZ) * getEdgeLength(gridX, gridY, gridZ, dir) : 0) - + (blockCost - ? BLOCKCOST * getEdgeLength(gridX, gridY, gridZ, dir) * 100 - : 0) + + (blockCost ? router_cfg_->BLOCKCOST + * getEdgeLength(gridX, gridY, gridZ, dir) * 100 + : 0) + (overflowCost ? 128 * getEdgeLength(gridX, gridY, gridZ, dir) : 0); return nextPathCost; } diff --git a/src/drt/src/gr/FlexGRWavefront.h b/src/drt/src/gr/FlexGRWavefront.h index 6f8801a8de7..b34f1f4f40e 100644 --- a/src/drt/src/gr/FlexGRWavefront.h +++ b/src/drt/src/gr/FlexGRWavefront.h @@ -35,7 +35,6 @@ #include "dr/FlexMazeTypes.h" #include "frBaseTypes.h" -#include "global.h" namespace drt { class FlexGRWavefrontGrid diff --git a/src/drt/src/gr/FlexGR_init.cpp b/src/drt/src/gr/FlexGR_init.cpp index ba9ef23e0b3..d07a7d6d6a1 100644 --- a/src/drt/src/gr/FlexGR_init.cpp +++ b/src/drt/src/gr/FlexGR_init.cpp @@ -258,12 +258,12 @@ void FlexGR::initGCell() void FlexGR::initCMap() { std::cout << std::endl << "initializing congestion map...\n"; - auto cmap = std::make_unique(design_); + auto cmap = std::make_unique(design_, router_cfg_); cmap->setLayerTrackPitches(trackPitches_); cmap->setLayerLine2ViaPitches(line2ViaPitches_); cmap->setLayerPitches(layerPitches_); cmap->init(); - auto cmap2D = std::make_unique(design_); + auto cmap2D = std::make_unique(design_, router_cfg_); cmap2D->initFrom3D(cmap.get()); // cmap->print2D(true); // cmap->print(); diff --git a/src/drt/src/io/GuideProcessor.cpp b/src/drt/src/io/GuideProcessor.cpp index ba5709e2e89..a85ab2d1ff4 100644 --- a/src/drt/src/io/GuideProcessor.cpp +++ b/src/drt/src/io/GuideProcessor.cpp @@ -434,7 +434,8 @@ bool isValidGuideLayerNum(odb::dbGuide* db_guide, frTechObject* tech, frNet* net, utl::Logger* logger, - frLayerNum& layer_num) + frLayerNum& layer_num, + RouterConfiguration* router_cfg) { frLayer* layer = tech->getLayer(db_guide->getLayer()->getName()); if (layer == nullptr) { @@ -445,12 +446,14 @@ bool isValidGuideLayerNum(odb::dbGuide* db_guide, // Ignore guide as invalid if above top routing layer for a net with bterms // above top routing layer - const bool guide_above_top_routing_layer = layer_num > TOP_ROUTING_LAYER; + const bool guide_above_top_routing_layer + = layer_num > router_cfg->TOP_ROUTING_LAYER; if (guide_above_top_routing_layer && net->hasBTermsAboveTopLayer()) { return false; } const bool guide_below_bottom_routing_layer - = layer_num < BOTTOM_ROUTING_LAYER && layer_num != VIA_ACCESS_LAYERNUM; + = layer_num < router_cfg->BOTTOM_ROUTING_LAYER + && layer_num != router_cfg->VIA_ACCESS_LAYERNUM; if (guide_below_bottom_routing_layer || guide_above_top_routing_layer) { logger->error(DRT, 155, @@ -460,12 +463,12 @@ bool isValidGuideLayerNum(odb::dbGuide* db_guide, net->getName(), layer->getName(), layer_num, - tech->getLayer(BOTTOM_ROUTING_LAYER)->getName(), - BOTTOM_ROUTING_LAYER, - tech->getLayer(TOP_ROUTING_LAYER)->getName(), - TOP_ROUTING_LAYER, - tech->getLayer(VIA_ACCESS_LAYERNUM)->getName(), - VIA_ACCESS_LAYERNUM); + tech->getLayer(router_cfg->BOTTOM_ROUTING_LAYER)->getName(), + router_cfg->BOTTOM_ROUTING_LAYER, + tech->getLayer(router_cfg->TOP_ROUTING_LAYER)->getName(), + router_cfg->TOP_ROUTING_LAYER, + tech->getLayer(router_cfg->VIA_ACCESS_LAYERNUM)->getName(), + router_cfg->VIA_ACCESS_LAYERNUM); } return true; } @@ -718,7 +721,8 @@ bool GuideProcessor::readGuides() logger_->error(DRT, 352, "Input route guides are congested."); } frLayerNum layer_num; - if (!isValidGuideLayerNum(db_guide, getTech(), net, logger_, layer_num)) { + if (!isValidGuideLayerNum( + db_guide, getTech(), net, logger_, layer_num, router_cfg_)) { continue; } frRect rect; @@ -729,7 +733,7 @@ bool GuideProcessor::readGuides() logGuidesRead(num_guides, logger_); } } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->report(""); logger_->info(utl::DRT, 157, "Number of guides: {}", num_guides); logger_->report(""); @@ -913,7 +917,7 @@ void GuideProcessor::buildGCellPatterns() ygp.setCount((dieBox.yMax() - startCoordY) / (frCoord) GCELLGRIDY); } - if (VERBOSE > 0 || logger_->debugCheck(DRT, "autotuner", 1)) { + if (router_cfg_->VERBOSE > 0 || logger_->debugCheck(DRT, "autotuner", 1)) { logger_->info(DRT, 176, "GCELLGRID X {} DO {} STEP {} ;", @@ -1182,7 +1186,7 @@ void GuideProcessor::genGuides_split( auto end_idx = intv.upper(); std::set split_indices; // hardcode layerNum <= VIA_ACCESS_LAYERNUM not used for GR - if (via_access_only && layer_num <= VIA_ACCESS_LAYERNUM) { + if (via_access_only && layer_num <= router_cfg_->VIA_ACCESS_LAYERNUM) { // split by pin split::splitByPins(pin_helper, layer_num, @@ -1362,12 +1366,12 @@ std::vector> GuideProcessor::genGuides( coverPins(net, rects); int size = (int) getTech()->getLayers().size(); - if (TOP_ROUTING_LAYER < std::numeric_limits::max() - && TOP_ROUTING_LAYER >= 0) { - size = std::min(size, TOP_ROUTING_LAYER + 1); + if (router_cfg_->TOP_ROUTING_LAYER < std::numeric_limits::max() + && router_cfg_->TOP_ROUTING_LAYER >= 0) { + size = std::min(size, router_cfg_->TOP_ROUTING_LAYER + 1); } TrackIntervalsByLayer intvs(size); - if (DBPROCESSNODE == "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { + if (router_cfg_->DBPROCESSNODE == "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { genGuides_addCoverGuide(net, rects); } genGuides_prep(rects, intvs); @@ -1425,8 +1429,13 @@ std::vector> GuideProcessor::genGuides( } } } - GuidePathFinder path_finder( - design_, logger_, net, force_pin_feed_through, rects, pin_gcell_map); + GuidePathFinder path_finder(design_, + logger_, + router_cfg_, + net, + force_pin_feed_through, + rects, + pin_gcell_map); path_finder.setAllowWarnings(i != 0); if (path_finder.traverseGraph()) { return path_finder.commitPathToGuides(rects, pin_gcell_map); @@ -1442,12 +1451,14 @@ std::vector> GuideProcessor::genGuides( GuidePathFinder::GuidePathFinder( frDesign* design, Logger* logger, + RouterConfiguration* router_cfg, frNet* net, const bool force_feed_through, const std::vector& rects, const frBlockObjectMap>& pin_gcell_map) : design_(design), logger_(logger), + router_cfg_(router_cfg), net_(net), force_feed_through_(force_feed_through) { @@ -1696,7 +1707,7 @@ void GuidePathFinder::constructAdjList() // no M1 cross-gcell routing allowed // BX200307: in general VIA_ACCESS_LAYER should not be used (instead // of 0) - if (layer_num != VIA_ACCESS_LAYERNUM) { + if (layer_num != router_cfg_->VIA_ACCESS_LAYERNUM) { adj_list_[idx1].push_back(idx2); adj_list_[idx2].push_back(idx1); } @@ -1704,7 +1715,7 @@ void GuidePathFinder::constructAdjList() // one pin, one gcell auto guide_idx = std::min(idx1, idx2); auto pin_idx = std::max(idx1, idx2); - if (ALLOW_PIN_AS_FEEDTHROUGH || isForceFeedThrough()) { + if (router_cfg_->ALLOW_PIN_AS_FEEDTHROUGH || isForceFeedThrough()) { adj_list_[pin_idx].push_back(guide_idx); adj_list_[guide_idx].push_back(pin_idx); } else { @@ -1743,7 +1754,7 @@ GuidePathFinder::getInitSearchQueue() // push every visited node into pq for (int i = 0; i < getNodeCount(); i++) { if (is_on_path_[i]) { - if (ALLOW_PIN_AS_FEEDTHROUGH && isPinIdx(i)) { + if (router_cfg_->ALLOW_PIN_AS_FEEDTHROUGH && isPinIdx(i)) { // penalize feedthrough in normal mode queue.push({i, prev_idx_[i], 2}); } else if (isForceFeedThrough() && isPinIdx(i)) { @@ -1938,7 +1949,7 @@ void GuideProcessor::processGuides() } frTime t; ProfileTask profile("IO:postProcessGuide"); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 169, "Post process guides."); } buildGCellPatterns(); @@ -1953,7 +1964,7 @@ void GuideProcessor::processGuides() net->setOrigGuides(rects); net_to_gr_pins[net]; } - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); utl::ThreadException exception; #pragma omp parallel for for (int i = 0; i < nets_to_guides.size(); i++) { @@ -1964,7 +1975,7 @@ void GuideProcessor::processGuides() #pragma omp critical { cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt < 1000000) { if (cnt % 100000 == 0) { logger_->report(" complete {} nets.", cnt); @@ -2001,11 +2012,11 @@ void GuideProcessor::processGuides() logger_->info(DRT, 179, "Init gr pin query."); getDesign()->getRegionQuery()->initGRPin(all_gr_pins); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { t.print(logger_); } - if (!SAVE_GUIDE_UPDATES) { - if (VERBOSE > 0) { + if (!router_cfg_->SAVE_GUIDE_UPDATES) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 245, "skipped writing guide updates to database."); } } else { diff --git a/src/drt/src/io/GuideProcessor.h b/src/drt/src/io/GuideProcessor.h index b3e1eafe138..bfd2a175005 100644 --- a/src/drt/src/io/GuideProcessor.h +++ b/src/drt/src/io/GuideProcessor.h @@ -48,8 +48,12 @@ class GuideProcessor public: GuideProcessor(frDesign* designIn, odb::dbDatabase* dbIn, - utl::Logger* loggerIn) - : design_(designIn), logger_(loggerIn), db_(dbIn){}; + utl::Logger* loggerIn, + RouterConfiguration* router_cfg) + : design_(designIn), + logger_(loggerIn), + db_(dbIn), + router_cfg_(router_cfg){}; /** * @brief Reads guides from odb and fill the tmp_guides_ list of unprocessed * guides @@ -225,6 +229,7 @@ class GuideProcessor frDesign* design_; Logger* logger_; odb::dbDatabase* db_; + RouterConfiguration* router_cfg_; std::map, frBlockObjectComp> tmp_guides_; }; @@ -248,6 +253,7 @@ class GuidePathFinder */ GuidePathFinder(frDesign* design, Logger* logger, + RouterConfiguration* router_cfg, frNet* net, bool force_feed_through, const std::vector& rects, @@ -421,6 +427,7 @@ class GuidePathFinder frDesign* design_{nullptr}; Logger* logger_{nullptr}; + RouterConfiguration* router_cfg_{nullptr}; frNet* net_{nullptr}; bool force_feed_through_{false}; std::map> node_map_; diff --git a/src/drt/src/io/io.cpp b/src/drt/src/io/io.cpp index ce4abafcd1c..baad602d750 100644 --- a/src/drt/src/io/io.cpp +++ b/src/drt/src/io/io.cpp @@ -45,10 +45,14 @@ namespace drt { -io::Parser::Parser(odb::dbDatabase* dbIn, frDesign* designIn, Logger* loggerIn) +io::Parser::Parser(odb::dbDatabase* dbIn, + frDesign* designIn, + Logger* loggerIn, + RouterConfiguration* router_cfg) : db_(dbIn), design_(designIn), logger_(loggerIn), + router_cfg_(router_cfg), readLayerCnt_(0), masterSliceLayer_(nullptr) { @@ -465,8 +469,8 @@ void io::Parser::setNDRs(odb::dbDatabase* db) if (layer->getType() != dbTechLayerType::ROUTING) { continue; } - MTSAFEDIST = std::max( - MTSAFEDIST, + router_cfg_->MTSAFEDIST = std::max( + router_cfg_->MTSAFEDIST, getTech()->getMaxNondefaultSpacing(getZIdx(layer->getLayerNum()))); } } @@ -984,7 +988,8 @@ frNet* io::Parser::addNet(odb::dbNet* db_net) db_net->getName(), db_net->getSigType().getString()); } - std::unique_ptr uNetIn = std::make_unique(db_net->getName()); + std::unique_ptr uNetIn + = std::make_unique(db_net->getName(), router_cfg_); auto netIn = uNetIn.get(); if (db_net->getNonDefaultRule()) { uNetIn->updateNondefaultRule( @@ -1103,7 +1108,7 @@ void io::Parser::setBTerms(odb::dbBlock* block) } } - if (bterm_bottom_layer_idx > TOP_ROUTING_LAYER + if (bterm_bottom_layer_idx > router_cfg_->TOP_ROUTING_LAYER && term->getNet()->getWire() != nullptr) { frLayerNum finalLayerNum = 0; odb::Rect bbox = getViaBoxForTermAboveMaxLayer(term, finalLayerNum); @@ -1164,7 +1169,7 @@ odb::Rect io::Parser::getViaBoxForTermAboveMaxLayer(odb::dbBTerm* term, = getTech() ->name2layer_[vbox->getTechLayer()->getName()] ->getLayerNum(); - if (layerNum == TOP_ROUTING_LAYER) { + if (layerNum == router_cfg_->TOP_ROUTING_LAYER) { odb::Rect viaBox = vbox->getBox(); odb::dbTransform xform; odb::Point path_origin = pshape.point; @@ -1276,7 +1281,7 @@ void io::Parser::readDesign(odb::dbDatabase* db) if (getBlock() != nullptr) { return; } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 150, "Reading design."); } @@ -1296,7 +1301,8 @@ void io::Parser::readDesign(odb::dbDatabase* db) setTracks(block); setInsts(block); setObstructions(block); - TopLayerBTermHandler(getDesign(), db, logger_).processBTermsAboveTopLayer(); + TopLayerBTermHandler(getDesign(), db, logger_, router_cfg_) + .processBTermsAboveTopLayer(false); setBTerms(block); setAccessPoints(db); setNets(block); @@ -1304,7 +1310,7 @@ void io::Parser::readDesign(odb::dbDatabase* db) addFakeNets(); auto numLefVia = getTech()->vias_.size(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->report(""); Rect dieBox = getBlock()->getDieBox(); logger_->report("Design: {}", getBlock()->getName()); @@ -1329,12 +1335,14 @@ void io::Parser::readDesign(odb::dbDatabase* db) void io::Parser::addFakeNets() { // add VSS fake net - auto vssFakeNet = std::make_unique(std::string("frFakeVSS")); + auto vssFakeNet + = std::make_unique(std::string("frFakeVSS"), router_cfg_); vssFakeNet->setType(dbSigType::GROUND); vssFakeNet->setIsFake(true); getBlock()->addFakeSNet(std::move(vssFakeNet)); // add VDD fake net - auto vddFakeNet = std::make_unique(std::string("frFakeVDD")); + auto vddFakeNet + = std::make_unique(std::string("frFakeVDD"), router_cfg_); vddFakeNet->setType(dbSigType::POWER); vddFakeNet->setIsFake(true); getBlock()->addFakeSNet(std::move(vddFakeNet)); @@ -1573,6 +1581,7 @@ void io::Parser::setRoutingLayerProperties(odb::dbTechLayer* layer, tmpLayer->setLef58RightWayOnGridOnlyConstraint( rightWayOnGridOnlyConstraint.get()); getTech()->addUConstraint(std::move(rightWayOnGridOnlyConstraint)); + router_cfg_->ALLOW_PIN_AS_FEEDTHROUGH = false; } for (auto rule : layer->getTechLayerMinStepRules()) { if (rule->getMaxEdges() > 1) { @@ -2986,7 +2995,7 @@ void io::Parser::setTechVias(odb::dbTech* db_tech) void io::Parser::readTechAndLibs(odb::dbDatabase* db) { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 149, "Reading tech and libs."); } @@ -2995,32 +3004,33 @@ void io::Parser::readTechAndLibs(odb::dbDatabase* db) logger_->error(DRT, 136, "Load design first."); } getTech()->setDBUPerUU(tech->getDbUnitsPerMicron()); - USEMINSPACING_OBS = tech->getUseMinSpacingObs() == odb::dbOnOffType::ON; + router_cfg_->USEMINSPACING_OBS + = tech->getUseMinSpacingObs() == odb::dbOnOffType::ON; getTech()->setManufacturingGrid(frUInt4(tech->getManufacturingGrid())); setLayers(tech); auto fr_tech = getTech(); - if (!BOTTOM_ROUTING_LAYER_NAME.empty()) { - frLayer* layer = fr_tech->getLayer(BOTTOM_ROUTING_LAYER_NAME); + if (!router_cfg_->BOTTOM_ROUTING_LAYER_NAME.empty()) { + frLayer* layer = fr_tech->getLayer(router_cfg_->BOTTOM_ROUTING_LAYER_NAME); if (layer) { - BOTTOM_ROUTING_LAYER = layer->getLayerNum(); + router_cfg_->BOTTOM_ROUTING_LAYER = layer->getLayerNum(); } else { logger_->warn(utl::DRT, 272, "bottomRoutingLayer {} not found.", - BOTTOM_ROUTING_LAYER_NAME); + router_cfg_->BOTTOM_ROUTING_LAYER_NAME); } } - if (!TOP_ROUTING_LAYER_NAME.empty()) { - frLayer* layer = fr_tech->getLayer(TOP_ROUTING_LAYER_NAME); + if (!router_cfg_->TOP_ROUTING_LAYER_NAME.empty()) { + frLayer* layer = fr_tech->getLayer(router_cfg_->TOP_ROUTING_LAYER_NAME); if (layer) { - TOP_ROUTING_LAYER = layer->getLayerNum(); + router_cfg_->TOP_ROUTING_LAYER = layer->getLayerNum(); } else { logger_->warn(utl::DRT, 273, "topRoutingLayer {} not found.", - TOP_ROUTING_LAYER_NAME); + router_cfg_->TOP_ROUTING_LAYER_NAME); } } @@ -3033,7 +3043,7 @@ void io::Parser::readTechAndLibs(odb::dbDatabase* db) setNDRs(db); initDefaultVias(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->report(""); logger_->report("Units: {}", getTech()->getDBUPerUU()); logger_->report("Number of layers: {}", getTech()->layers_.size()); @@ -3177,7 +3187,7 @@ void io::Writer::splitVia_helper( void io::Writer::mergeSplitConnFigs( std::list>& connFigs) { - // if (VERBOSE > 0) { + // if (router_cfg_->VERBOSE > 0) { // std::cout < 0) { + if (verbose > 0) { logger_->info(DRT, 180, "Post processing."); } for (auto& net : getDesign()->getTopBlock()->getNets()) { @@ -3777,6 +3787,7 @@ void io::Writer::updateDbAccessPoints(odb::dbBlock* block, odb::dbTech* db_tech) } void io::Writer::updateDb(odb::dbDatabase* db, + RouterConfiguration* router_cfg, bool pin_access_only, bool snapshot) { @@ -3802,9 +3813,9 @@ void io::Writer::updateDb(odb::dbDatabase* db, odb::dbWire::destroy(net->getWire()); } } - fillConnFigs(false); + fillConnFigs(false, router_cfg->VERBOSE); updateDbConn(block, db_tech, snapshot); - TopLayerBTermHandler(getDesign(), db, logger_) + TopLayerBTermHandler(getDesign(), db, logger_, router_cfg) .processBTermsAboveTopLayer(true); } } @@ -3846,10 +3857,10 @@ Point io::TopLayerBTermHandler::getBestViaPosition(Rect pin_rect) { Point center_pt = pin_rect.center(); const auto top_routing_layer - = design_->getTech()->getLayer(TOP_ROUTING_LAYER); + = design_->getTech()->getLayer(router_cfg_->TOP_ROUTING_LAYER); const bool is_horizontal = top_routing_layer->isHorizontal(); const auto track_patterns = design_->getTopBlock()->getTrackPatterns( - TOP_ROUTING_LAYER, !is_horizontal); + router_cfg_->TOP_ROUTING_LAYER, !is_horizontal); std::vector valid_tracks; for (const auto tp : track_patterns) { const auto result @@ -3881,7 +3892,7 @@ int io::TopLayerBTermHandler::countNetBTermsAboveMaxLayer(odb::dbNet* net) { odb::dbTech* tech = db_->getTech(); odb::dbTechLayer* top_tech_layer - = tech->findLayer(TOP_ROUTING_LAYER_NAME.c_str()); + = tech->findLayer(router_cfg_->TOP_ROUTING_LAYER_NAME.c_str()); int bterm_count = 0; for (auto bterm : net->getBTerms()) { int bterm_bottom_layer_idx = std::numeric_limits::max(); @@ -3996,14 +4007,14 @@ void io::TopLayerBTermHandler::stackVias(odb::dbBTerm* bterm, void io::TopLayerBTermHandler::processBTermsAboveTopLayer(bool has_routing) { - if (TOP_ROUTING_LAYER_NAME.empty()) { + if (router_cfg_->TOP_ROUTING_LAYER_NAME.empty()) { return; } odb::dbTech* tech = db_->getTech(); odb::dbBlock* block = db_->getChip()->getBlock(); odb::dbTechLayer* top_tech_layer - = tech->findLayer(TOP_ROUTING_LAYER_NAME.c_str()); + = tech->findLayer(router_cfg_->TOP_ROUTING_LAYER_NAME.c_str()); if (top_tech_layer != nullptr) { int top_layer_idx = top_tech_layer->getRoutingLevel(); for (auto bterm : block->getBTerms()) { diff --git a/src/drt/src/io/io.h b/src/drt/src/io/io.h index d68ef4703e5..7161d59d65f 100644 --- a/src/drt/src/io/io.h +++ b/src/drt/src/io/io.h @@ -60,7 +60,10 @@ class Parser { public: // constructors - Parser(odb::dbDatabase* dbIn, frDesign* design, Logger* loggerIn); + Parser(odb::dbDatabase* dbIn, + frDesign* design, + Logger* loggerIn, + RouterConfiguration* router_cfg); // others void readDesign(odb::dbDatabase*); @@ -153,6 +156,7 @@ class Parser odb::dbDatabase* db_; frDesign* design_; Logger* logger_; + RouterConfiguration* router_cfg_; // temporary variables int readLayerCnt_; odb::dbTechLayer* masterSliceLayer_; @@ -178,12 +182,13 @@ class Writer frDesign* getDesign() const; // others void updateDb(odb::dbDatabase* db, + RouterConfiguration* router_cfg, bool pin_access = false, bool snapshot = false); void updateTrackAssignment(odb::dbBlock* block); private: - void fillConnFigs(bool isTA); + void fillConnFigs(bool isTA, int verbose); void fillConnFigs_net(frNet* net, bool isTA); void mergeSplitConnFigs(std::list>& connFigs); void splitVia_helper( @@ -220,8 +225,11 @@ class Writer class TopLayerBTermHandler { public: - TopLayerBTermHandler(frDesign* design, odb::dbDatabase* db, Logger* logger) - : design_(design), db_(db), logger_(logger) + TopLayerBTermHandler(frDesign* design, + odb::dbDatabase* db, + Logger* logger, + RouterConfiguration* router_cfg) + : design_(design), db_(db), logger_(logger), router_cfg_(router_cfg) { } void processBTermsAboveTopLayer(bool has_routing = false); @@ -244,5 +252,6 @@ class TopLayerBTermHandler frDesign* design_; odb::dbDatabase* db_; Logger* logger_; + RouterConfiguration* router_cfg_; }; } // namespace drt::io diff --git a/src/drt/src/io/io_parser_helper.cpp b/src/drt/src/io/io_parser_helper.cpp index e0f2daedfd3..81c78511130 100644 --- a/src/drt/src/io/io_parser_helper.cpp +++ b/src/drt/src/io/io_parser_helper.cpp @@ -116,22 +116,23 @@ void io::Parser::initDefaultVias() if (iter_1cut != cuts2ViaDefs.end() && !iter_1cut->second.empty()) { auto defaultSingleCutVia = iter_1cut->second.begin()->second; getTech()->getLayer(layerNum)->setDefaultViaDef(defaultSingleCutVia); - } else if (layerNum > TOP_ROUTING_LAYER) { + } else if (layerNum > router_cfg_->TOP_ROUTING_LAYER) { // We may need vias here to stack up to bumps. However there // may not be a single cut via. Since we aren't routing, but // just stacking, we'll use the best via we can find. auto via_map = cuts2ViaDefs.begin()->second; getTech()->getLayer(layerNum)->setDefaultViaDef( via_map.begin()->second); - } else if (layerNum >= BOTTOM_ROUTING_LAYER) { + } else if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER) { logger_->error(DRT, 234, "{} does not have single-cut via.", getTech()->getLayer(layerNum)->getName()); } } else { - if (layerNum >= BOTTOM_ROUTING_LAYER - && (layerNum <= std::max(TOP_ROUTING_LAYER, topPinLayer))) { + if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && (layerNum + <= std::max(router_cfg_->TOP_ROUTING_LAYER, topPinLayer))) { logger_->error(DRT, 233, "{} does not have any vias.", @@ -139,8 +140,9 @@ void io::Parser::initDefaultVias() } } // generate via if default via enclosure is not along pref dir - if (ENABLE_VIA_GEN && layerNum >= BOTTOM_ROUTING_LAYER - && layerNum <= TOP_ROUTING_LAYER) { + if (router_cfg_->ENABLE_VIA_GEN + && layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && layerNum <= router_cfg_->TOP_ROUTING_LAYER) { auto techDefautlViaDef = getTech()->getLayer(layerNum)->getDefaultViaDef(); frVia via(techDefautlViaDef); @@ -464,7 +466,8 @@ void io::Parser::initCutLayerWidth() auto viaWidth = cutRect->width(); layer->setWidth(viaWidth); } else { - if (layerNum >= BOTTOM_ROUTING_LAYER && layerNum <= TOP_ROUTING_LAYER) { + if (layerNum >= router_cfg_->BOTTOM_ROUTING_LAYER + && layerNum <= router_cfg_->TOP_ROUTING_LAYER) { logger_->error(DRT, 242, "CUT layer {} does not have default via.", @@ -697,7 +700,8 @@ void io::Parser::convertLef58MinCutConstraints() } if (dbRule->isLengthValid()) { - MTSAFEDIST = std::max(MTSAFEDIST, dbRule->getLengthWithinDist()); + router_cfg_->MTSAFEDIST + = std::max(router_cfg_->MTSAFEDIST, dbRule->getLengthWithinDist()); rptr->setLength(dbRule->getLength(), dbRule->getLengthWithinDist()); } rptr->setWidth(dbRule->getWidth()); @@ -774,7 +778,7 @@ void io::Parser::checkFig(frPinFig* uFig, getTrackLocs(true, layer, getBlock(), box.yMin(), box.yMax(), horzTracks); getTrackLocs(false, layer, getBlock(), box.xMin(), box.xMax(), vertTracks); bool allowWrongWayRouting - = (USENONPREFTRACKS && !layer->isUnidirectional()); + = (router_cfg_->USENONPREFTRACKS && !layer->isUnidirectional()); if (allowWrongWayRouting) { foundTracks |= (!horzTracks.empty() || !vertTracks.empty()); foundCenterTracks @@ -824,7 +828,7 @@ void io::Parser::checkFig(frPinFig* uFig, getTrackLocs( false, layer, getBlock(), gtl::xl(rect), gtl::xh(rect), vertTracks); bool allowWrongWayRouting - = (USENONPREFTRACKS && !layer->isUnidirectional()); + = (router_cfg_->USENONPREFTRACKS && !layer->isUnidirectional()); if (allowWrongWayRouting) { foundTracks |= (!horzTracks.empty() || !vertTracks.empty()); } else { @@ -914,12 +918,12 @@ void io::Parser::postProcess() { checkPins(); initDefaultVias(); - if (DBPROCESSNODE == "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { - initDefaultVias_GF14(DBPROCESSNODE); + if (router_cfg_->DBPROCESSNODE == "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { + initDefaultVias_GF14(router_cfg_->DBPROCESSNODE); } initCutLayerWidth(); initConstraintLayerIdx(); - getTech()->printDefaultVias(logger_); + getTech()->printDefaultVias(logger_, router_cfg_); instAnalysis(); convertLef58MinCutConstraints(); // init region query @@ -932,7 +936,7 @@ void io::Parser::postProcess() // instantiate RPin and region query for RPin void io::Parser::initRPin() { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 185, "Post process initialize RPin region query."); } initRPin_rpin(); diff --git a/src/drt/src/io/io_pin.cpp b/src/drt/src/io/io_pin.cpp index 149a1fe6e7c..875b155d5e0 100644 --- a/src/drt/src/io/io_pin.cpp +++ b/src/drt/src/io/io_pin.cpp @@ -32,7 +32,7 @@ namespace drt { void io::Parser::instAnalysis() { - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 162, "Library cell analysis."); } trackOffsetMap_.clear(); @@ -78,7 +78,7 @@ void io::Parser::instAnalysis() } // std::cout <<" master pin layer range done" < 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 163, "Instance analysis."); } @@ -112,7 +112,7 @@ void io::Parser::instAnalysis() } trackOffsetMap_[inst->getMaster()][orient][offset].insert(inst.get()); cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt < 1000000) { if (cnt % 100000 == 0) { logger_->report(" Complete {} instances.", cnt); @@ -132,7 +132,7 @@ void io::Parser::instAnalysis() cnt += offsetMap.size(); } } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 164, "Number of unique instances = {}.", cnt); } } diff --git a/src/drt/src/pa/FlexPA.cpp b/src/drt/src/pa/FlexPA.cpp index 6450c11861c..d56c830f915 100644 --- a/src/drt/src/pa/FlexPA.cpp +++ b/src/drt/src/pa/FlexPA.cpp @@ -52,11 +52,15 @@ BOOST_CLASS_EXPORT(drt::PinAccessJobDescription) namespace drt { -FlexPA::FlexPA(frDesign* in, Logger* logger, dst::Distributed* dist) +FlexPA::FlexPA(frDesign* in, + Logger* logger, + dst::Distributed* dist, + RouterConfiguration* router_cfg) : design_(in), logger_(logger), dist_(dist), - unique_insts_(design_, target_insts_, logger_) + router_cfg_(router_cfg), + unique_insts_(design_, target_insts_, logger_, router_cfg) { } @@ -66,10 +70,10 @@ FlexPA::~FlexPA() = default; void FlexPA::setDebug(frDebugSettings* settings, odb::dbDatabase* db) { const bool on = settings->debugPA; - graphics_ - = on && FlexPAGraphics::guiActive() - ? std::make_unique(settings, design_, db, logger_) - : nullptr; + graphics_ = on && FlexPAGraphics::guiActive() + ? std::make_unique( + settings, design_, db, logger_, router_cfg_) + : nullptr; } void FlexPA::init() @@ -177,7 +181,7 @@ int FlexPA::main() ProfileTask profile("PA:main"); frTime t; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 165, "Start pin access."); } @@ -199,7 +203,7 @@ int FlexPA::main() } } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { unique_insts_.report(); //clang-format off logger_->report("#stdCellGenAp = {}", std_cell_pin_gen_ap_cnt_); @@ -219,7 +223,7 @@ int FlexPA::main() //clang-format on } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 166, "Complete pin access."); t.print(logger_); } diff --git a/src/drt/src/pa/FlexPA.h b/src/drt/src/pa/FlexPA.h index 6828e480400..ff248772fa2 100644 --- a/src/drt/src/pa/FlexPA.h +++ b/src/drt/src/pa/FlexPA.h @@ -66,7 +66,10 @@ class FlexPA Commit }; - FlexPA(frDesign* in, Logger* logger, dst::Distributed* dist); + FlexPA(frDesign* in, + Logger* logger, + dst::Distributed* dist, + RouterConfiguration* router_cfg); ~FlexPA(); void setDebug(frDebugSettings* settings, odb::dbDatabase* db); @@ -82,6 +85,7 @@ class FlexPA frDesign* design_; Logger* logger_; dst::Distributed* dist_; + RouterConfiguration* router_cfg_; std::unique_ptr graphics_; std::string debugPinName_; @@ -591,6 +595,25 @@ class FlexPA int curr_unique_inst_idx, int max_access_point_size); + /** + * @brief Extracts the access patterns given the graph nodes composing the + * access points relationship + * + * @param nodes {pin,access_point} nodes of the access pattern graph + * @param pins vector of pins of the unique instance + * @param used_access_points a set of all used access points + * @param max_access_point_size the maximum number of access points across + * all instances + * + * @returns a vector of ints representing the access pattern in the form: + * access_pattern[pin_idx] = access_point_idx of the pin + */ + std::vector extractAccessPatternFromNodes( + const std::vector& nodes, + const std::vector>& pins, + std::set>& used_access_points, + int max_access_point_size); + bool genPatterns_commit( const std::vector& nodes, const std::vector>& pins, diff --git a/src/drt/src/pa/FlexPA_graphics.cpp b/src/drt/src/pa/FlexPA_graphics.cpp index 4bb93fee102..750305dcdd6 100644 --- a/src/drt/src/pa/FlexPA_graphics.cpp +++ b/src/drt/src/pa/FlexPA_graphics.cpp @@ -39,7 +39,8 @@ namespace drt { FlexPAGraphics::FlexPAGraphics(frDebugSettings* settings, frDesign* design, odb::dbDatabase* db, - Logger* logger) + Logger* logger, + RouterConfiguration* router_cfg) : logger_(logger), settings_(settings), inst_(nullptr), @@ -63,9 +64,9 @@ FlexPAGraphics::FlexPAGraphics(frDebugSettings* settings, } } - if (MAX_THREADS > 1) { + if (router_cfg->MAX_THREADS > 1) { logger_->info(DRT, 115, "Setting MAX_THREADS=1 for use with the PA GUI."); - MAX_THREADS = 1; + router_cfg->MAX_THREADS = 1; } if (!settings_->pinName.empty()) { diff --git a/src/drt/src/pa/FlexPA_graphics.h b/src/drt/src/pa/FlexPA_graphics.h index 53e924b88a3..0752ef3e0cd 100644 --- a/src/drt/src/pa/FlexPA_graphics.h +++ b/src/drt/src/pa/FlexPA_graphics.h @@ -64,7 +64,8 @@ class FlexPAGraphics : public gui::Renderer FlexPAGraphics(frDebugSettings* settings, frDesign* design, odb::dbDatabase* db, - Logger* logger); + Logger* logger, + RouterConfiguration* router_cfg); void startPin(frBPin* pin, frInstTerm* inst_term, diff --git a/src/drt/src/pa/FlexPA_prep.cpp b/src/drt/src/pa/FlexPA_prep.cpp index 6e9d8b39f75..36e3910975c 100644 --- a/src/drt/src/pa/FlexPA_prep.cpp +++ b/src/drt/src/pa/FlexPA_prep.cpp @@ -622,9 +622,9 @@ void FlexPA::genAPsFromLayerShapes( bool is_macro_cell_pin = false; if (inst_term) { if (isStdCell(inst_term->getInst())) { - if ((layer_num >= VIAINPIN_BOTTOMLAYERNUM - && layer_num <= VIAINPIN_TOPLAYERNUM) - || layer_num <= VIA_ACCESS_LAYERNUM) { + if ((layer_num >= router_cfg_->VIAINPIN_BOTTOMLAYERNUM + && layer_num <= router_cfg_->VIAINPIN_TOPLAYERNUM) + || layer_num <= router_cfg_->VIA_ACCESS_LAYERNUM) { allow_planar = false; } } @@ -830,7 +830,7 @@ bool FlexPA::isPlanarViolationFree(frAccessPoint* ap, frLayer* layer) { // Runs the DRC Engine to check for any violations - FlexGCWorker design_rule_checker(getTech(), logger_); + FlexGCWorker design_rule_checker(getTech(), logger_, router_cfg_); design_rule_checker.setIgnoreMinArea(); design_rule_checker.setIgnoreCornerSpacing(); const auto pitch = layer->getPitch(); @@ -956,8 +956,8 @@ void FlexPA::check_addViaAccess( bool via_in_pin = false; const auto lower_type = ap->getType(true); const auto upper_type = ap->getType(false); - if (layer_num >= VIAINPIN_BOTTOMLAYERNUM - && layer_num <= VIAINPIN_TOPLAYERNUM) { + if (layer_num >= router_cfg_->VIAINPIN_BOTTOMLAYERNUM + && layer_num <= router_cfg_->VIAINPIN_TOPLAYERNUM) { via_in_pin = true; } else if ((lower_type == frAccessPointEnum::EncOpt && upper_type != frAccessPointEnum::NearbyGrid) @@ -1082,7 +1082,7 @@ bool FlexPA::checkDirectionalViaAccess( auto style = upper_layer->getDefaultSegStyle(); if (wrong_dir) { - if (!USENONPREFTRACKS || upper_layer->isUnidirectional()) { + if (!router_cfg_->USENONPREFTRACKS || upper_layer->isUnidirectional()) { return false; } style.setWidth(upper_layer->getWrongDirWidth()); @@ -1131,7 +1131,7 @@ bool FlexPA::isViaViolationFree(frAccessPoint* ap, const Point point) { // Runs the DRC Engine to check for any violations - FlexGCWorker design_rule_checker(getTech(), logger_); + FlexGCWorker design_rule_checker(getTech(), logger_, router_cfg_); design_rule_checker.setIgnoreMinArea(); design_rule_checker.setIgnoreLongSideEOL(); design_rule_checker.setIgnoreCornerSpacing(); @@ -1146,11 +1146,12 @@ bool FlexPA::isViaViolationFree(frAccessPoint* ap, design_rule_checker.setDrcBox(ext_box); if (inst_term) { if (!inst_term->getNet() || !inst_term->getNet()->getNondefaultRule() - || AUTO_TAPER_NDR_NETS) { + || router_cfg_->AUTO_TAPER_NDR_NETS) { design_rule_checker.addTargetObj(inst_term->getInst()); } } else { - if (!pin_net || !pin_net->getNondefaultRule() || AUTO_TAPER_NDR_NETS) { + if (!pin_net || !pin_net->getNondefaultRule() + || router_cfg_->AUTO_TAPER_NDR_NETS) { design_rule_checker.addTargetObj(pin_term); } } @@ -1227,9 +1228,10 @@ void FlexPA::check_setAPsAccesses( pin, inst_term); if (is_std_cell_pin) { - has_access - |= ((layer_num == VIA_ACCESS_LAYERNUM && ap->hasAccess(frDirEnum::U)) - || (layer_num != VIA_ACCESS_LAYERNUM && ap->hasAccess())); + has_access |= ((layer_num == router_cfg_->VIA_ACCESS_LAYERNUM + && ap->hasAccess(frDirEnum::U)) + || (layer_num != router_cfg_->VIA_ACCESS_LAYERNUM + && ap->hasAccess())); } else { has_access |= ap->hasAccess(); } @@ -1321,8 +1323,10 @@ bool FlexPA::initPinAccessCostBounded( // and (ii) access if exist access for macro, allow pure planar ap if (is_std_cell_pin) { const auto layer_num = ap->getLayerNum(); - if ((layer_num == VIA_ACCESS_LAYERNUM && ap->hasAccess(frDirEnum::U)) - || (layer_num != VIA_ACCESS_LAYERNUM && ap->hasAccess())) { + if ((layer_num == router_cfg_->VIA_ACCESS_LAYERNUM + && ap->hasAccess(frDirEnum::U)) + || (layer_num != router_cfg_->VIA_ACCESS_LAYERNUM + && ap->hasAccess())) { aps.push_back(std::move(ap)); } } else if ((is_macro_cell_pin || is_io_pin) && ap->hasAccess()) { @@ -1345,7 +1349,7 @@ bool FlexPA::initPinAccessCostBounded( } } if (is_std_cell_pin - && n_sparse_access_points >= MINNUMACCESSPOINT_STDCELLPIN) { + && n_sparse_access_points >= router_cfg_->MINNUMACCESSPOINT_STDCELLPIN) { updatePinStats(aps, pin, inst_term); // write to pa const int pin_access_idx = unique_insts_.getPAIndex(inst_term->getInst()); @@ -1355,7 +1359,8 @@ bool FlexPA::initPinAccessCostBounded( return true; } if (is_macro_cell_pin - && n_sparse_access_points >= MINNUMACCESSPOINT_MACROCELLPIN) { + && n_sparse_access_points + >= router_cfg_->MINNUMACCESSPOINT_MACROCELLPIN) { updatePinStats(aps, pin, inst_term); // write to pa const int pin_access_idx = unique_insts_.getPAIndex(inst_term->getInst()); @@ -1493,7 +1498,7 @@ void FlexPA::initAllAccessPoints() ProfileTask profile("PA:point"); int pin_count = 0; - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); ThreadException exception; const std::vector& unique = unique_insts_.getUnique(); @@ -1508,7 +1513,7 @@ void FlexPA::initAllAccessPoints() } initInstAccessPoints(inst); - if (VERBOSE <= 0) { + if (router_cfg_->VERBOSE <= 0) { continue; } @@ -1528,7 +1533,7 @@ void FlexPA::initAllAccessPoints() // PA for IO terms if (target_insts_.empty()) { - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); #pragma omp parallel for schedule(dynamic) for (unsigned i = 0; // NOLINT i < getDesign()->getTopBlock()->getTerms().size(); @@ -1557,7 +1562,7 @@ void FlexPA::initAllAccessPoints() exception.rethrow(); } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 78, " Complete {} pins.", pin_count); } } @@ -1607,7 +1612,7 @@ void FlexPA::prepPatternInstRows(std::vector> inst_rows) } for (i = 0; i < batch.size(); i++) { cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt % (cnt > 100000 ? 100000 : 10000) == 0) { logger_->info(DRT, 110, " Complete {} groups.", cnt); } @@ -1636,7 +1641,7 @@ void FlexPA::prepPatternInstRows(std::vector> inst_rows) logger_->error(utl::DRT, 332, "Error sending UPDATE_PA Job to cloud"); } } else { - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); // choose access pattern of a row of insts int rowIdx = 0; #pragma omp parallel for schedule(dynamic) @@ -1648,7 +1653,7 @@ void FlexPA::prepPatternInstRows(std::vector> inst_rows) { rowIdx++; cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt % (cnt > 100000 ? 100000 : 10000) == 0) { logger_->info(DRT, 82, " Complete {} groups.", cnt); } @@ -1660,7 +1665,7 @@ void FlexPA::prepPatternInstRows(std::vector> inst_rows) } } exception.rethrow(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 84, " Complete {} groups.", cnt); } } @@ -1676,7 +1681,7 @@ void FlexPA::prepPattern() int cnt = 0; - omp_set_num_threads(MAX_THREADS); + omp_set_num_threads(router_cfg_->MAX_THREADS); ThreadException exception; #pragma omp parallel for schedule(dynamic) for (int curr_unique_inst_idx = 0; curr_unique_inst_idx < (int) unique.size(); @@ -1708,7 +1713,7 @@ void FlexPA::prepPattern() #pragma omp critical { cnt++; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { if (cnt % (cnt > 1000 ? 1000 : 100) == 0) { logger_->info(DRT, 79, " Complete {} unique inst patterns.", cnt); } @@ -1719,7 +1724,7 @@ void FlexPA::prepPattern() } } exception.rethrow(); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 81, " Complete {} unique inst patterns.", cnt); } if (isDistributed()) { @@ -1828,7 +1833,8 @@ void FlexPA::genInstRowPattern(std::vector& insts) return; } - const int num_node = (insts.size() + 2) * ACCESS_PATTERN_END_ITERATION_NUM; + const int num_node + = (insts.size() + 2) * router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM; std::vector nodes(num_node); @@ -1843,9 +1849,9 @@ void FlexPA::genInstRowPatternInit(std::vector& nodes, { // init virtual nodes const int start_node_idx - = getFlatIdx(-1, 0, ACCESS_PATTERN_END_ITERATION_NUM); - const int end_node_Idx - = getFlatIdx(insts.size(), 0, ACCESS_PATTERN_END_ITERATION_NUM); + = getFlatIdx(-1, 0, router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); + const int end_node_Idx = getFlatIdx( + insts.size(), 0, router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); nodes[start_node_idx].setNodeCost(0); nodes[start_node_idx].setPathCost(0); nodes[end_node_Idx].setNodeCost(0); @@ -1856,8 +1862,8 @@ void FlexPA::genInstRowPatternInit(std::vector& nodes, const int unique_inst_idx = unique_insts_.getIndex(inst); auto& inst_patterns = unique_inst_patterns_[unique_inst_idx]; for (int idx_2 = 0; idx_2 < (int) inst_patterns.size(); idx_2++) { - const int node_idx - = getFlatIdx(idx_1, idx_2, ACCESS_PATTERN_END_ITERATION_NUM); + const int node_idx = getFlatIdx( + idx_1, idx_2, router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); auto access_pattern = inst_patterns[idx_2].get(); nodes[node_idx].setNodeCost(access_pattern->getCost()); } @@ -1870,22 +1876,24 @@ void FlexPA::genInstRowPatternPerform(std::vector& nodes, for (int curr_inst_idx = 0; curr_inst_idx <= (int) insts.size(); curr_inst_idx++) { for (int curr_acc_pattern_idx = 0; - curr_acc_pattern_idx < ACCESS_PATTERN_END_ITERATION_NUM; + curr_acc_pattern_idx < router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM; curr_acc_pattern_idx++) { - const auto curr_node_idx = getFlatIdx(curr_inst_idx, - curr_acc_pattern_idx, - ACCESS_PATTERN_END_ITERATION_NUM); + const auto curr_node_idx + = getFlatIdx(curr_inst_idx, + curr_acc_pattern_idx, + router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); auto& curr_node = nodes[curr_node_idx]; if (curr_node.getNodeCost() == std::numeric_limits::max()) { continue; } const int prev_inst_idx = curr_inst_idx - 1; for (int prev_acc_pattern_idx = 0; - prev_acc_pattern_idx < ACCESS_PATTERN_END_ITERATION_NUM; + prev_acc_pattern_idx < router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM; prev_acc_pattern_idx++) { - const int prev_node_idx = getFlatIdx(prev_inst_idx, - prev_acc_pattern_idx, - ACCESS_PATTERN_END_ITERATION_NUM); + const int prev_node_idx + = getFlatIdx(prev_inst_idx, + prev_acc_pattern_idx, + router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); const auto& prev_node = nodes[prev_node_idx]; if (prev_node.getPathCost() == std::numeric_limits::max()) { continue; @@ -1907,8 +1915,8 @@ void FlexPA::genInstRowPattern_commit(std::vector& nodes, const std::vector& insts) { const bool is_debug_mode = false; - int curr_node_idx - = getFlatIdx(insts.size(), 0, ACCESS_PATTERN_END_ITERATION_NUM); + int curr_node_idx = getFlatIdx( + insts.size(), 0, router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); auto curr_node = &(nodes[curr_node_idx]); int inst_cnt = insts.size(); std::vector inst_access_pattern_idx(insts.size(), -1); @@ -1919,7 +1927,7 @@ void FlexPA::genInstRowPattern_commit(std::vector& nodes, getNestedIdx(curr_node_idx, curr_inst_idx, curr_acc_patterns_idx, - ACCESS_PATTERN_END_ITERATION_NUM); + router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); inst_access_pattern_idx[curr_inst_idx] = curr_acc_patterns_idx; auto& inst = insts[curr_inst_idx]; @@ -1970,8 +1978,8 @@ void FlexPA::genInstRowPattern_commit(std::vector& nodes, void FlexPA::genInstRowPattern_print(std::vector& nodes, const std::vector& insts) { - int curr_node_idx - = getFlatIdx(insts.size(), 0, ACCESS_PATTERN_END_ITERATION_NUM); + int curr_node_idx = getFlatIdx( + insts.size(), 0, router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); auto curr_node = &(nodes[curr_node_idx]); int inst_cnt = insts.size(); std::vector inst_access_pattern_idx(insts.size(), -1); @@ -1983,7 +1991,7 @@ void FlexPA::genInstRowPattern_print(std::vector& nodes, getNestedIdx(curr_node_idx, curr_inst_idx, curr_acc_pattern_idx, - ACCESS_PATTERN_END_ITERATION_NUM); + router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); inst_access_pattern_idx[curr_inst_idx] = curr_acc_pattern_idx; @@ -2042,11 +2050,11 @@ int FlexPA::getEdgeCost(const int prev_node_idx, getNestedIdx(prev_node_idx, prev_inst_idx, prev_acc_pattern_idx, - ACCESS_PATTERN_END_ITERATION_NUM); + router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); getNestedIdx(curr_node_idx, curr_inst_idx, curr_acc_pattern_idx, - ACCESS_PATTERN_END_ITERATION_NUM); + router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM); if (prev_inst_idx == -1 || curr_inst_idx == (int) insts.size()) { return edge_cost; } @@ -2306,7 +2314,7 @@ int FlexPA::genPatterns_helper( viol_access_points, max_access_point_size); - for (int i = 0; i < ACCESS_PATTERN_END_ITERATION_NUM; i++) { + for (int i = 0; i < router_cfg_->ACCESS_PATTERN_END_ITERATION_NUM; i++) { genPatterns_reset(nodes, pins, max_access_point_size); genPatterns_perform(nodes, pins, @@ -2395,13 +2403,13 @@ bool FlexPA::genPatterns_gc( std::set* owners) { if (objs.empty()) { - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { logger_->warn(DRT, 89, "genPattern_gc objs empty."); } return true; } - FlexGCWorker design_rule_checker(getTech(), logger_); + FlexGCWorker design_rule_checker(getTech(), logger_, router_cfg_); design_rule_checker.setIgnoreMinArea(); design_rule_checker.setIgnoreLongSideEOL(); design_rule_checker.setIgnoreCornerSpacing(); @@ -2633,6 +2641,37 @@ int FlexPA::getEdgeCost( return edge_cost; } +std::vector FlexPA::extractAccessPatternFromNodes( + const std::vector& nodes, + const std::vector>& pins, + std::set>& used_access_points, + const int max_access_point_size) +{ + std::vector access_pattern(pins.size(), -1); + + const int source_node_idx = getFlatIdx(-1, 0, max_access_point_size); + const int sink_node_idx = getFlatIdx(pins.size(), 0, max_access_point_size); + const FlexDPNode& sink_node = nodes[sink_node_idx]; + int curr_node_idx = sink_node.getPrevNodeIdx(); + + while (curr_node_idx != source_node_idx) { + if (curr_node_idx == -1) { + logger_->error(DRT, 90, "Valid access pattern not found."); + } + + auto& curr_node = nodes[curr_node_idx]; + + int curr_pin_idx, curr_acc_point_idx; + getNestedIdx( + curr_node_idx, curr_pin_idx, curr_acc_point_idx, max_access_point_size); + access_pattern[curr_pin_idx] = curr_acc_point_idx; + used_access_points.insert({curr_pin_idx, curr_acc_point_idx}); + + curr_node_idx = curr_node.getPrevNodeIdx(); + } + return access_pattern; +} + bool FlexPA::genPatterns_commit( const std::vector& nodes, const std::vector>& pins, @@ -2643,139 +2682,116 @@ bool FlexPA::genPatterns_commit( const int curr_unique_inst_idx, const int max_access_point_size) { - bool has_new_pattern = false; - int curr_node_idx = getFlatIdx(pins.size(), 0, max_access_point_size); - auto curr_node = &(nodes[curr_node_idx]); - int pin_cnt = pins.size(); - std::vector access_pattern(pin_cnt, -1); - while (curr_node->getPrevNodeIdx() != -1) { - // non-virtual node - if (pin_cnt != (int) pins.size()) { - int curr_pin_idx, curr_acc_point_idx; - getNestedIdx(curr_node_idx, - curr_pin_idx, - curr_acc_point_idx, - max_access_point_size); - access_pattern[curr_pin_idx] = curr_acc_point_idx; - used_access_points.insert( - std::make_pair(curr_pin_idx, curr_acc_point_idx)); - } - - curr_node_idx = curr_node->getPrevNodeIdx(); - curr_node = &(nodes[curr_node->getPrevNodeIdx()]); - pin_cnt--; - } - - if (pin_cnt != -1) { - logger_->error(DRT, 90, "Valid access pattern not found."); + std::vector access_pattern = extractAccessPatternFromNodes( + nodes, pins, used_access_points, max_access_point_size); + // not a new access pattern + if (inst_access_patterns.find(access_pattern) != inst_access_patterns.end()) { + return false; } - // add to pattern set if unique - if (inst_access_patterns.find(access_pattern) == inst_access_patterns.end()) { - inst_access_patterns.insert(access_pattern); - // create new access pattern and push to uniqueInstances - auto pin_access_pattern = std::make_unique(); - std::map pin_to_access_point; - // check DRC for the whole pattern - std::vector> objs; - std::vector> temp_vias; - frInst* target_obj = nullptr; - for (int pin_idx = 0; pin_idx < (int) pins.size(); pin_idx++) { - auto acc_point_idx = access_pattern[pin_idx]; - auto& [pin, inst_term] = pins[pin_idx]; - auto inst = inst_term->getInst(); - target_obj = inst; - const int pin_access_idx = unique_insts_.getPAIndex(inst); - const auto pa = pin->getPinAccess(pin_access_idx); - const auto access_point = pa->getAccessPoint(acc_point_idx); - pin_to_access_point[pin] = access_point; - - // add objs - std::unique_ptr via; - if (access_point->hasAccess(frDirEnum::U)) { - via = std::make_unique(access_point->getViaDef()); - auto rvia = via.get(); - temp_vias.push_back(std::move(via)); - - dbTransform xform = inst->getUpdatedXform(true); - Point pt(access_point->getPoint()); - xform.apply(pt); - rvia->setOrigin(pt); - if (inst_term->hasNet()) { - objs.emplace_back(rvia, inst_term->getNet()); - } else { - objs.emplace_back(rvia, inst_term); - } + inst_access_patterns.insert(access_pattern); + // create new access pattern and push to uniqueInstances + auto pin_access_pattern = std::make_unique(); + std::map pin_to_access_point; + // check DRC for the whole pattern + std::vector> objs; + std::vector> temp_vias; + frInst* target_obj = nullptr; + for (int pin_idx = 0; pin_idx < (int) pins.size(); pin_idx++) { + auto acc_point_idx = access_pattern[pin_idx]; + auto& [pin, inst_term] = pins[pin_idx]; + auto inst = inst_term->getInst(); + target_obj = inst; + const int pin_access_idx = unique_insts_.getPAIndex(inst); + const auto pa = pin->getPinAccess(pin_access_idx); + const auto access_point = pa->getAccessPoint(acc_point_idx); + pin_to_access_point[pin] = access_point; + + // add objs + std::unique_ptr via; + if (access_point->hasAccess(frDirEnum::U)) { + via = std::make_unique(access_point->getViaDef()); + auto rvia = via.get(); + temp_vias.push_back(std::move(via)); + + dbTransform xform = inst->getUpdatedXform(true); + Point pt(access_point->getPoint()); + xform.apply(pt); + rvia->setOrigin(pt); + if (inst_term->hasNet()) { + objs.emplace_back(rvia, inst_term->getNet()); + } else { + objs.emplace_back(rvia, inst_term); } } + } - frAccessPoint* left_access_point = nullptr; - frAccessPoint* right_access_point = nullptr; - frCoord left_pt = std::numeric_limits::max(); - frCoord right_pt = std::numeric_limits::min(); + frAccessPoint* left_access_point = nullptr; + frAccessPoint* right_access_point = nullptr; + frCoord left_pt = std::numeric_limits::max(); + frCoord right_pt = std::numeric_limits::min(); - const auto& [pin, inst_term] = pins[0]; - const auto inst = inst_term->getInst(); - for (auto& inst_term : inst->getInstTerms()) { - if (isSkipInstTerm(inst_term.get())) { - continue; - } - uint64_t n_no_ap_pins = 0; - for (auto& pin : inst_term->getTerm()->getPins()) { - if (pin_to_access_point.find(pin.get()) == pin_to_access_point.end()) { - n_no_ap_pins++; - pin_access_pattern->addAccessPoint(nullptr); - } else { - const auto& ap = pin_to_access_point[pin.get()]; - const Point tmp_pt = ap->getPoint(); - if (tmp_pt.x() < left_pt) { - left_access_point = ap; - left_pt = tmp_pt.x(); - } - if (tmp_pt.x() > right_pt) { - right_access_point = ap; - right_pt = tmp_pt.x(); - } - pin_access_pattern->addAccessPoint(ap); + const auto& [pin, inst_term] = pins[0]; + const auto inst = inst_term->getInst(); + for (auto& inst_term : inst->getInstTerms()) { + if (isSkipInstTerm(inst_term.get())) { + continue; + } + uint64_t n_no_ap_pins = 0; + for (auto& pin : inst_term->getTerm()->getPins()) { + if (pin_to_access_point.find(pin.get()) == pin_to_access_point.end()) { + n_no_ap_pins++; + pin_access_pattern->addAccessPoint(nullptr); + } else { + const auto& ap = pin_to_access_point[pin.get()]; + const Point tmpPt = ap->getPoint(); + if (tmpPt.x() < left_pt) { + left_access_point = ap; + left_pt = tmpPt.x(); } - } - if (n_no_ap_pins == inst_term->getTerm()->getPins().size()) { - logger_->error(DRT, 91, "Pin does not have valid ap."); + if (tmpPt.x() > right_pt) { + right_access_point = ap; + right_pt = tmpPt.x(); + } + pin_access_pattern->addAccessPoint(ap); } } - pin_access_pattern->setBoundaryAP(true, left_access_point); - pin_access_pattern->setBoundaryAP(false, right_access_point); - - std::set owners; - if (target_obj != nullptr - && genPatterns_gc({target_obj}, objs, Commit, &owners)) { - pin_access_pattern->updateCost(); - unique_inst_patterns_[curr_unique_inst_idx].push_back( - std::move(pin_access_pattern)); - // genPatterns_print(nodes, pins, max_access_point_size); - is_valid = true; - } else { - for (int idx_1 = 0; idx_1 < (int) pins.size(); idx_1++) { - auto idx_2 = access_pattern[idx_1]; - auto& [pin, inst_term] = pins[idx_1]; - if (inst_term->hasNet()) { - if (owners.find(inst_term->getNet()) != owners.end()) { - viol_access_points.insert(std::make_pair(idx_1, idx_2)); // idx ; - } - } else { - if (owners.find(inst_term) != owners.end()) { - viol_access_points.insert(std::make_pair(idx_1, idx_2)); // idx ; - } + if (n_no_ap_pins == inst_term->getTerm()->getPins().size()) { + logger_->error(DRT, + 91, + "{} does not have valid access points.", + inst_term->getName()); + } + } + pin_access_pattern->setBoundaryAP(true, left_access_point); + pin_access_pattern->setBoundaryAP(false, right_access_point); + + std::set owners; + if (target_obj != nullptr + && genPatterns_gc({target_obj}, objs, Commit, &owners)) { + pin_access_pattern->updateCost(); + unique_inst_patterns_[curr_unique_inst_idx].push_back( + std::move(pin_access_pattern)); + // genPatterns_print(nodes, pins, max_access_point_size); + is_valid = true; + } else { + for (int idx_1 = 0; idx_1 < (int) pins.size(); idx_1++) { + auto idx_2 = access_pattern[idx_1]; + auto& [pin, inst_term] = pins[idx_1]; + if (inst_term->hasNet()) { + if (owners.find(inst_term->getNet()) != owners.end()) { + viol_access_points.insert(std::make_pair(idx_1, idx_2)); // idx ; + } + } else { + if (owners.find(inst_term) != owners.end()) { + viol_access_points.insert(std::make_pair(idx_1, idx_2)); // idx ; } } } - - has_new_pattern = true; - } else { - has_new_pattern = false; } - return has_new_pattern; + // new access pattern + return true; } void FlexPA::genPatternsPrintDebug( diff --git a/src/drt/src/pa/FlexPA_unique.cpp b/src/drt/src/pa/FlexPA_unique.cpp index f99961a4efb..d759e83f406 100644 --- a/src/drt/src/pa/FlexPA_unique.cpp +++ b/src/drt/src/pa/FlexPA_unique.cpp @@ -33,8 +33,12 @@ namespace drt { UniqueInsts::UniqueInsts(frDesign* design, const frCollection& target_insts, - Logger* logger) - : design_(design), target_insts_(target_insts), logger_(logger) + Logger* logger, + RouterConfiguration* router_cfg) + : design_(design), + target_insts_(target_insts), + logger_(logger), + router_cfg_(router_cfg) { } @@ -148,7 +152,7 @@ void UniqueInsts::computeUnique( && target_frinsts.find(inst.get()) == target_frinsts.end()) { continue; } - if (!AUTO_TAPER_NDR_NETS && isNDRInst(*inst)) { + if (!router_cfg_->AUTO_TAPER_NDR_NETS && isNDRInst(*inst)) { ndr_insts.push_back(inst.get()); continue; } diff --git a/src/drt/src/pa/FlexPA_unique.h b/src/drt/src/pa/FlexPA_unique.h index af48c05b3cb..ef1d0265e1b 100644 --- a/src/drt/src/pa/FlexPA_unique.h +++ b/src/drt/src/pa/FlexPA_unique.h @@ -49,7 +49,8 @@ class UniqueInsts // those instances. UniqueInsts(frDesign* design, const frCollection& target_insts, - Logger* logger); + Logger* logger, + RouterConfiguration* router_cfg_); /** * @brief Initializes Unique Instances and Pin Acess data. @@ -150,6 +151,7 @@ class UniqueInsts frDesign* design_; const frCollection& target_insts_; Logger* logger_; + RouterConfiguration* router_cfg_; // All the unique instances std::vector unique_; diff --git a/src/drt/src/rp/FlexRP.h b/src/drt/src/rp/FlexRP.h index 62c5a04afef..28f5e9583e6 100644 --- a/src/drt/src/rp/FlexRP.h +++ b/src/drt/src/rp/FlexRP.h @@ -37,8 +37,14 @@ class FlexRP { public: // constructor - FlexRP(frDesign* designIn, frTechObject* techIn, Logger* logger) - : design_(designIn), tech_(techIn), logger_(logger) + FlexRP(frDesign* designIn, + frTechObject* techIn, + Logger* logger, + RouterConfiguration* router_cfg) + : design_(designIn), + tech_(techIn), + logger_(logger), + router_cfg_(router_cfg) { } @@ -50,6 +56,7 @@ class FlexRP frDesign* design_; frTechObject* tech_; Logger* logger_; + RouterConfiguration* router_cfg_; // init void init(); diff --git a/src/drt/src/rp/FlexRP_prep.cpp b/src/drt/src/rp/FlexRP_prep.cpp index 25fa9b68d84..89f6b672578 100644 --- a/src/drt/src/rp/FlexRP_prep.cpp +++ b/src/drt/src/rp/FlexRP_prep.cpp @@ -145,7 +145,7 @@ void FlexRP::prep_minStepViasCheck() // add to polygon edges testPin->addPolygonEdges(tmpEdges); // check gc minstep violations - FlexGCWorker worker(tech_, logger_); + FlexGCWorker worker(tech_, logger_, router_cfg_); worker.checkMinStep(testPin); auto& markers = worker.getMarkers(); if (!markers.empty()) { @@ -537,7 +537,7 @@ void FlexRP::prep_viaForbiddenTurnLen(frNonDefaultRule* ndr) { auto bottomLayerNum = getDesign()->getTech()->getBottomLayerNum(); auto topLayerNum = getDesign()->getTech()->getTopLayerNum(); - int bottom = BOTTOM_ROUTING_LAYER; + int bottom = router_cfg_->BOTTOM_ROUTING_LAYER; int i = 0; for (auto lNum = bottomLayerNum; lNum <= topLayerNum; lNum++) { if (getDesign()->getTech()->getLayer(lNum)->getType() @@ -670,7 +670,7 @@ void FlexRP::prep_via2viaForbiddenLen(frNonDefaultRule* ndr) { auto bottomLayerNum = getDesign()->getTech()->getBottomLayerNum(); auto topLayerNum = getDesign()->getTech()->getTopLayerNum(); - int bottom = BOTTOM_ROUTING_LAYER; + int bottom = router_cfg_->BOTTOM_ROUTING_LAYER; int i = 0; for (auto lNum = bottomLayerNum; lNum <= topLayerNum; lNum++) { if (getDesign()->getTech()->getLayer(lNum)->getType() @@ -822,7 +822,7 @@ bool FlexRP::hasMinStepViol(Rect& r1, Rect& r2, frLayerNum lNum) // add to polygon edges testPin->addPolygonEdges(tmpEdges); // check gc minstep violations - FlexGCWorker worker(tech_, logger_); + FlexGCWorker worker(tech_, logger_, router_cfg_); worker.checkMinStep(testPin); return !worker.getMarkers().empty(); } @@ -1005,7 +1005,7 @@ void FlexRP::prep_via2viaForbiddenLen_lef58CutSpc( return; } - if (DBPROCESSNODE != "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { + if (router_cfg_->DBPROCESSNODE != "GF14_13M_3Mx_2Cx_4Kx_2Hx_2Gx_LB") { return; } diff --git a/src/drt/src/serialization.h b/src/drt/src/serialization.h index 2e40193149b..2979dc267a3 100644 --- a/src/drt/src/serialization.h +++ b/src/drt/src/serialization.h @@ -761,59 +761,59 @@ void serializeViaDef(Archive& ar, frViaDef*& viadef) } template -void serializeGlobals(Archive& ar) +void serializeGlobals(Archive& ar, RouterConfiguration* router_cfg) { - (ar) & DBPROCESSNODE; - (ar) & OUT_MAZE_FILE; - (ar) & DRC_RPT_FILE; - (ar) & CMAP_FILE; - (ar) & OR_SEED; - (ar) & OR_K; - (ar) & MAX_THREADS; - (ar) & BATCHSIZE; - (ar) & BATCHSIZETA; - (ar) & MTSAFEDIST; - (ar) & DRCSAFEDIST; - (ar) & VERBOSE; - (ar) & BOTTOM_ROUTING_LAYER_NAME; - (ar) & TOP_ROUTING_LAYER_NAME; - (ar) & BOTTOM_ROUTING_LAYER; - (ar) & TOP_ROUTING_LAYER; - (ar) & ALLOW_PIN_AS_FEEDTHROUGH; - (ar) & USENONPREFTRACKS; - (ar) & USEMINSPACING_OBS; - (ar) & ENABLE_BOUNDARY_MAR_FIX; - (ar) & ENABLE_VIA_GEN; - (ar) & VIAINPIN_BOTTOMLAYER_NAME; - (ar) & VIAINPIN_TOPLAYER_NAME; - (ar) & VIAINPIN_BOTTOMLAYERNUM; - (ar) & VIAINPIN_TOPLAYERNUM; - (ar) & VIA_ACCESS_LAYERNUM; - (ar) & MINNUMACCESSPOINT_MACROCELLPIN; - (ar) & MINNUMACCESSPOINT_STDCELLPIN; - (ar) & ACCESS_PATTERN_END_ITERATION_NUM; - (ar) & END_ITERATION; - (ar) & NDR_NETS_RIPUP_HARDINESS; - (ar) & CLOCK_NETS_TRUNK_RIPUP_HARDINESS; - (ar) & CLOCK_NETS_LEAF_RIPUP_HARDINESS; - (ar) & AUTO_TAPER_NDR_NETS; - (ar) & TAPERBOX_RADIUS; - (ar) & NDR_NETS_ABS_PRIORITY; - (ar) & CLOCK_NETS_ABS_PRIORITY; - (ar) & TAPINCOST; - (ar) & TAALIGNCOST; - (ar) & TADRCCOST; - (ar) & TASHAPEBLOATWIDTH; - (ar) & VIACOST; - (ar) & GRIDCOST; - (ar) & ROUTESHAPECOST; - (ar) & MARKERCOST; - (ar) & MARKERBLOATWIDTH; - (ar) & BLOCKCOST; - (ar) & GUIDECOST; - (ar) & SHAPEBLOATWIDTH; - (ar) & HISTCOST; - (ar) & CONGCOST; + (ar) & router_cfg->DBPROCESSNODE; + (ar) & router_cfg->OUT_MAZE_FILE; + (ar) & router_cfg->DRC_RPT_FILE; + (ar) & router_cfg->CMAP_FILE; + (ar) & router_cfg->OR_SEED; + (ar) & router_cfg->OR_K; + (ar) & router_cfg->MAX_THREADS; + (ar) & router_cfg->BATCHSIZE; + (ar) & router_cfg->BATCHSIZETA; + (ar) & router_cfg->MTSAFEDIST; + (ar) & router_cfg->DRCSAFEDIST; + (ar) & router_cfg->VERBOSE; + (ar) & router_cfg->BOTTOM_ROUTING_LAYER_NAME; + (ar) & router_cfg->TOP_ROUTING_LAYER_NAME; + (ar) & router_cfg->BOTTOM_ROUTING_LAYER; + (ar) & router_cfg->TOP_ROUTING_LAYER; + (ar) & router_cfg->ALLOW_PIN_AS_FEEDTHROUGH; + (ar) & router_cfg->USENONPREFTRACKS; + (ar) & router_cfg->USEMINSPACING_OBS; + (ar) & router_cfg->ENABLE_BOUNDARY_MAR_FIX; + (ar) & router_cfg->ENABLE_VIA_GEN; + (ar) & router_cfg->VIAINPIN_BOTTOMLAYER_NAME; + (ar) & router_cfg->VIAINPIN_TOPLAYER_NAME; + (ar) & router_cfg->VIAINPIN_BOTTOMLAYERNUM; + (ar) & router_cfg->VIAINPIN_TOPLAYERNUM; + (ar) & router_cfg->VIA_ACCESS_LAYERNUM; + (ar) & router_cfg->MINNUMACCESSPOINT_MACROCELLPIN; + (ar) & router_cfg->MINNUMACCESSPOINT_STDCELLPIN; + (ar) & router_cfg->ACCESS_PATTERN_END_ITERATION_NUM; + (ar) & router_cfg->END_ITERATION; + (ar) & router_cfg->NDR_NETS_RIPUP_HARDINESS; + (ar) & router_cfg->CLOCK_NETS_TRUNK_RIPUP_HARDINESS; + (ar) & router_cfg->CLOCK_NETS_LEAF_RIPUP_HARDINESS; + (ar) & router_cfg->AUTO_TAPER_NDR_NETS; + (ar) & router_cfg->TAPERBOX_RADIUS; + (ar) & router_cfg->NDR_NETS_ABS_PRIORITY; + (ar) & router_cfg->CLOCK_NETS_ABS_PRIORITY; + (ar) & router_cfg->TAPINCOST; + (ar) & router_cfg->TAALIGNCOST; + (ar) & router_cfg->TADRCCOST; + (ar) & router_cfg->TASHAPEBLOATWIDTH; + (ar) & router_cfg->VIACOST; + (ar) & router_cfg->GRIDCOST; + (ar) & router_cfg->ROUTESHAPECOST; + (ar) & router_cfg->MARKERCOST; + (ar) & router_cfg->MARKERBLOATWIDTH; + (ar) & router_cfg->BLOCKCOST; + (ar) & router_cfg->GUIDECOST; + (ar) & router_cfg->SHAPEBLOATWIDTH; + (ar) & router_cfg->HISTCOST; + (ar) & router_cfg->CONGCOST; } } // namespace drt diff --git a/src/drt/src/ta/FlexTA.cpp b/src/drt/src/ta/FlexTA.cpp index 56d30b19a49..b0a369fe7dd 100644 --- a/src/drt/src/ta/FlexTA.cpp +++ b/src/drt/src/ta/FlexTA.cpp @@ -48,7 +48,7 @@ int FlexTAWorker::main_mt() ProfileTask profile("TA:main_mt"); using std::chrono::high_resolution_clock; auto t0 = high_resolution_clock::now(); - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { std::stringstream ss; ss << std::endl << "start TA worker (BOX) (" @@ -89,7 +89,7 @@ int FlexTAWorker::main_mt() auto time_span1 = duration_cast>(t2 - t1); auto time_span2 = duration_cast>(t3 - t2); - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { std::stringstream ss; ss << "time (INIT/ASSIGN/POST) " << time_span0.count() << " " << time_span1.count() << " " << time_span2.count() << " " << std::endl; @@ -98,10 +98,14 @@ int FlexTAWorker::main_mt() return 0; } -FlexTA::FlexTA(frDesign* in, Logger* logger, bool save_updates) +FlexTA::FlexTA(frDesign* in, + Logger* logger, + RouterConfiguration* router_cfg, + bool save_updates) : tech_(in->getTech()), design_(in), logger_(logger), + router_cfg_(router_cfg), save_updates_(save_updates) { } @@ -122,8 +126,8 @@ int FlexTA::initTA_helper(int iter, std::vector>> workers; if (isH) { for (int i = offset; i < (int) ygp.getCount(); i += size) { - auto uworker - = std::make_unique(getDesign(), logger_, save_updates_); + auto uworker = std::make_unique( + getDesign(), logger_, router_cfg_, save_updates_); auto& worker = *(uworker.get()); Rect beginBox = getDesign()->getTopBlock()->getGCellBox(Point(0, i)); Rect endBox = getDesign()->getTopBlock()->getGCellBox( @@ -137,15 +141,16 @@ int FlexTA::initTA_helper(int iter, worker.setExtBox(extBox); worker.setDir(dbTechLayerDir::HORIZONTAL); worker.setTAIter(iter); - if (workers.empty() || (int) workers.back().size() >= BATCHSIZETA) { + if (workers.empty() + || (int) workers.back().size() >= router_cfg_->BATCHSIZETA) { workers.emplace_back(std::vector>()); } workers.back().emplace_back(std::move(uworker)); } } else { for (int i = offset; i < (int) xgp.getCount(); i += size) { - auto uworker - = std::make_unique(getDesign(), logger_, save_updates_); + auto uworker = std::make_unique( + getDesign(), logger_, router_cfg_, save_updates_); auto& worker = *(uworker.get()); Rect beginBox = getDesign()->getTopBlock()->getGCellBox(Point(i, 0)); Rect endBox = getDesign()->getTopBlock()->getGCellBox( @@ -159,14 +164,15 @@ int FlexTA::initTA_helper(int iter, worker.setExtBox(extBox); worker.setDir(dbTechLayerDir::VERTICAL); worker.setTAIter(iter); - if (workers.empty() || (int) workers.back().size() >= BATCHSIZETA) { + if (workers.empty() + || (int) workers.back().size() >= router_cfg_->BATCHSIZETA) { workers.emplace_back(std::vector>()); } workers.back().push_back(std::move(uworker)); } } - omp_set_num_threads(std::min(8, MAX_THREADS)); + omp_set_num_threads(std::min(8, router_cfg_->MAX_THREADS)); // parallel execution // multi thread for (auto& workerBatch : workers) { @@ -199,7 +205,7 @@ void FlexTA::initTA(int size) ProfileTask profile("TA:init"); frTime t; - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { std::cout << std::endl << "start initial track assignment ..." << std::endl; } @@ -219,7 +225,7 @@ void FlexTA::initTA(int size) int numPanelsV; int numAssignedV = initTA_helper(0, size, 0, false, numPanelsV); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 183, "Done with {} horizontal wires in {} frboxes and " @@ -237,7 +243,7 @@ void FlexTA::initTA(int size) int numPanelsH; int numAssignedH = initTA_helper(0, size, 0, true, numPanelsH); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 184, "Done with {} vertical wires in {} frboxes and " @@ -255,7 +261,7 @@ void FlexTA::searchRepair(int iter, int size, int offset) ProfileTask profile("TA:searchRepair"); frTime t; - if (VERBOSE > 1) { + if (router_cfg_->VERBOSE > 1) { std::cout << std::endl << "start " << iter; std::string suffix; if (iter == 1 || (iter > 20 && iter % 10 == 1)) { @@ -285,7 +291,7 @@ void FlexTA::searchRepair(int iter, int size, int offset) int numPanelsV; int numAssignedV = initTA_helper(iter, size, offset, false, numPanelsV); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 268, "Done with {} horizontal wires in {} frboxes and " @@ -303,7 +309,7 @@ void FlexTA::searchRepair(int iter, int size, int offset) int numPanelsH; int numAssignedH = initTA_helper(iter, size, offset, true, numPanelsH); - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 186, "Done with {} vertical wires in {} frboxes and " @@ -329,7 +335,7 @@ int FlexTA::main() ProfileTask profile("TA:main"); frTime t; - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 181, "Start track assignment."); } initTA(50); @@ -342,10 +348,10 @@ int FlexTA::main() graphics_->endIter(1); } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { logger_->info(DRT, 182, "Complete track assignment."); } - if (VERBOSE > 0) { + if (router_cfg_->VERBOSE > 0) { t.print(logger_); } return 0; diff --git a/src/drt/src/ta/FlexTA.h b/src/drt/src/ta/FlexTA.h index ecc07c6f052..60f347ddd42 100644 --- a/src/drt/src/ta/FlexTA.h +++ b/src/drt/src/ta/FlexTA.h @@ -42,7 +42,10 @@ class FlexTA { public: // constructors - FlexTA(frDesign* in, Logger* logger, bool save_updates_); + FlexTA(frDesign* in, + Logger* logger, + RouterConfiguration* router_cfg, + bool save_updates_); ~FlexTA(); // getters frTechObject* getTech() const { return tech_; } @@ -55,6 +58,7 @@ class FlexTA frTechObject* tech_; frDesign* design_; Logger* logger_; + RouterConfiguration* router_cfg_; bool save_updates_; std::unique_ptr graphics_; // others @@ -117,9 +121,13 @@ class FlexTAWorker { public: // constructors - FlexTAWorker(frDesign* designIn, Logger* logger, bool save_updates) + FlexTAWorker(frDesign* designIn, + Logger* logger, + RouterConfiguration* router_cfg, + bool save_updates) : design_(designIn), logger_(logger), + router_cfg_(router_cfg), save_updates_(save_updates), dir_(dbTechLayerDir::NONE), taIter_(0), @@ -196,6 +204,7 @@ class FlexTAWorker private: frDesign* design_; Logger* logger_; + RouterConfiguration* router_cfg_; bool save_updates_; Rect routeBox_; Rect extBox_; diff --git a/src/drt/src/ta/FlexTA_assign.cpp b/src/drt/src/ta/FlexTA_assign.cpp index a0c89ee7f46..8631f9d5747 100644 --- a/src/drt/src/ta/FlexTA_assign.cpp +++ b/src/drt/src/ta/FlexTA_assign.cpp @@ -689,20 +689,20 @@ frUInt4 FlexTAWorker::assignIroute_getPinCost(taPin* iroute, frCoord trackLoc) // if cannot use bottom or upper layer to bridge, then add cost if ((getTech()->isVia2ViaForbiddenLen( zIdx, false, false, false, sol, nullptr) - || layerNum - 2 < BOTTOM_ROUTING_LAYER) + || layerNum - 2 < router_cfg_->BOTTOM_ROUTING_LAYER) && (getTech()->isVia2ViaForbiddenLen( zIdx, true, true, false, sol, nullptr) || layerNum + 2 > getTech()->getTopLayerNum())) { - sol += TADRCCOST; + sol += router_cfg_->TADRCCOST; } } else { if ((getTech()->isVia2ViaForbiddenLen( zIdx, false, false, true, sol, nullptr) - || layerNum - 2 < BOTTOM_ROUTING_LAYER) + || layerNum - 2 < router_cfg_->BOTTOM_ROUTING_LAYER) && (getTech()->isVia2ViaForbiddenLen( zIdx, true, true, true, sol, nullptr) || layerNum + 2 > getTech()->getTopLayerNum())) { - sol += TADRCCOST; + sol += router_cfg_->TADRCCOST; } } } @@ -934,15 +934,19 @@ frUInt4 FlexTAWorker::assignIroute_getCost(taPin* iroute, frCoord irouteLayerPitch = getTech()->getLayer(iroute->getGuide()->getBeginLayerNum())->getPitch(); outDrcCost = assignIroute_getDRCCost(iroute, trackLoc); - int drcCost = (isInitTA()) ? (0.05 * outDrcCost) : (TADRCCOST * outDrcCost); + int drcCost = (isInitTA()) ? (0.05 * outDrcCost) + : (router_cfg_->TADRCCOST * outDrcCost); int nextIrouteDirCost = assignIroute_getNextIrouteDirCost(iroute, trackLoc); // int pinCost = TAPINCOST * assignIroute_getPinCost(iroute, trackLoc); int tmpPinCost = assignIroute_getPinCost(iroute, trackLoc); - int pinCost - = (tmpPinCost == 0) ? 0 : TAPINCOST * irouteLayerPitch + tmpPinCost; + int pinCost = (tmpPinCost == 0) + ? 0 + : router_cfg_->TAPINCOST * irouteLayerPitch + tmpPinCost; int tmpAlignCost = assignIroute_getAlignCost(iroute, trackLoc); int alignCost - = (tmpAlignCost == 0) ? 0 : TAALIGNCOST * irouteLayerPitch + tmpAlignCost; + = (tmpAlignCost == 0) + ? 0 + : router_cfg_->TAALIGNCOST * irouteLayerPitch + tmpAlignCost; return std::max(drcCost + nextIrouteDirCost + pinCost - alignCost, 0); } diff --git a/src/drt/src/ta/FlexTA_init.cpp b/src/drt/src/ta/FlexTA_init.cpp index 53646c7cef3..2d7dd5ac9b6 100644 --- a/src/drt/src/ta/FlexTA_init.cpp +++ b/src/drt/src/ta/FlexTA_init.cpp @@ -105,7 +105,7 @@ bool FlexTAWorker::initIroute_helper_pin(frGuide* guide, Rect box; box = Rect(bp, bp); nbrGuides.clear(); - if (layerNum - 2 >= BOTTOM_ROUTING_LAYER) { + if (layerNum - 2 >= router_cfg_->BOTTOM_ROUTING_LAYER) { rq->queryGuide(box, layerNum - 2, nbrGuides); for (auto& nbrGuide : nbrGuides) { if (nbrGuide->getNet() == net) { @@ -358,7 +358,7 @@ void FlexTAWorker::initIroute_helper_generic(frGuide* guide, box = Rect(ep, ep); cp = ep; } - if (layerNum - 2 >= BOTTOM_ROUTING_LAYER) { + if (layerNum - 2 >= router_cfg_->BOTTOM_ROUTING_LAYER) { rq->queryGuide(box, layerNum - 2, nbrGuides); } if (layerNum + 2 < (int) design_->getTech()->getLayers().size()) { @@ -695,7 +695,7 @@ void FlexTAWorker::initFixedObjs() auto type = obj->typeId(); // instterm term if (type == frcInstTerm || type == frcBTerm) { - bloatDist = TASHAPEBLOATWIDTH * width; + bloatDist = router_cfg_->TASHAPEBLOATWIDTH * width; frNet* netPtr = nullptr; if (type == frcBTerm) { netPtr = static_cast(obj)->getNet(); @@ -834,7 +834,7 @@ frCoord FlexTAWorker::initFixedObjs_calcOBSBloatDistVia(frViaDef* viaDef, frCoord viaLength = viaBox.maxDXDY(); frCoord obsWidth = box.minDXDY(); - if (USEMINSPACING_OBS && isOBS) { + if (router_cfg_->USEMINSPACING_OBS && isOBS) { obsWidth = layer->getWidth(); } @@ -869,7 +869,7 @@ frCoord FlexTAWorker::initFixedObjs_calcBloatDist(frBlockObject* obj, frCoord prl = (layer->getDir() == dbTechLayerDir::HORIZONTAL) ? box.dx() : box.dy(); if (obj->typeId() == frcBlockage || obj->typeId() == frcInstBlockage) { - if (USEMINSPACING_OBS) { + if (router_cfg_->USEMINSPACING_OBS) { objWidth = width; } } diff --git a/src/drt/test/fixture.cpp b/src/drt/test/fixture.cpp index c598e3dbbd6..80aa7e6a672 100644 --- a/src/drt/test/fixture.cpp +++ b/src/drt/test/fixture.cpp @@ -30,13 +30,15 @@ #include +#include "global.h" #include "odb/db.h" namespace drt { Fixture::Fixture() : logger(std::make_unique()), - design(std::make_unique(logger.get())), + router_cfg(std::make_unique()), + design(std::make_unique(logger.get(), router_cfg.get())), numBlockages(0), numTerms(0), numMasters(0), @@ -212,18 +214,18 @@ void Fixture::makeDesign() auto block = std::make_unique("test"); // GC assumes these fake nets exist - auto vssFakeNet = std::make_unique("frFakeVSS"); + auto vssFakeNet = std::make_unique("frFakeVSS", router_cfg.get()); vssFakeNet->setType(dbSigType::GROUND); vssFakeNet->setIsFake(true); block->addFakeSNet(std::move(vssFakeNet)); - auto vddFakeNet = std::make_unique("frFakeVDD"); + auto vddFakeNet = std::make_unique("frFakeVDD", router_cfg.get()); vddFakeNet->setType(dbSigType::POWER); vddFakeNet->setIsFake(true); block->addFakeSNet(std::move(vddFakeNet)); design->setTopBlock(std::move(block)); - USEMINSPACING_OBS = false; + router_cfg->USEMINSPACING_OBS = false; } frLef58CornerSpacingConstraint* Fixture::makeCornerConstraint( @@ -666,7 +668,7 @@ void Fixture::makeMinimumCut(frLayerNum layerNum, frNet* Fixture::makeNet(const char* name) { frBlock* block = design->getTopBlock(); - auto net_p = std::make_unique(name); + auto net_p = std::make_unique(name, router_cfg.get()); frNet* net = net_p.get(); block->addNet(std::move(net_p)); return net; diff --git a/src/drt/test/fixture.h b/src/drt/test/fixture.h index 1fd6e792ff2..951d03461e3 100644 --- a/src/drt/test/fixture.h +++ b/src/drt/test/fixture.h @@ -237,6 +237,7 @@ class Fixture frCoord spc); // Public data members are accessible from inside the test function std::unique_ptr logger; + std::unique_ptr router_cfg; std::unique_ptr design; frUInt4 numBlockages, numTerms, numMasters, numInsts; odb::dbTech* db_tech; diff --git a/src/drt/test/gcTest.cpp b/src/drt/test/gcTest.cpp index 82b49583a38..d0713af8919 100644 --- a/src/drt/test/gcTest.cpp +++ b/src/drt/test/gcTest.cpp @@ -52,7 +52,7 @@ namespace bdata = boost::unit_test::data; // Fixture for GC tests struct GCFixture : public Fixture { - GCFixture() : worker(design->getTech(), logger.get()) {} + GCFixture() : worker(design->getTech(), logger.get(), router_cfg.get()) {} void testMarker(frMarker* marker, frLayerNum layer_num, diff --git a/src/grt/README.md b/src/grt/README.md index 6927ac94f24..1fdaea67719 100644 --- a/src/grt/README.md +++ b/src/grt/README.md @@ -117,7 +117,7 @@ set_global_routing_layer_adjustment layer adjustment | Argument Name | Description | | ----- | ----- | -| `layer` | Integer for the layer number (e.g. for M1 you would use 1). | +| `layer` | String for the layer name. | | `adjustment` | Float indicating the percentage reduction of each edge in the specified layer. | @@ -138,7 +138,7 @@ set_global_routing_region_adjustment | Switch Name | Description | | ----- | ----- | | `lower_left_x`, `lower_left_y`, `upper_right_x` , `upper_right_y` | Bounding box to consider. | -| `-layer` | Integer for the layer number (e.g. for M1 you would use 1). | +| `-layer` | String for the layer name. | | `-adjustment` | Float indicating the percentage reduction of each edge in the specified layer. | ### Set Global Routing Randomness diff --git a/src/grt/src/GlobalRouter.tcl b/src/grt/src/GlobalRouter.tcl index 3bc3ca99722..88f842c1165 100644 --- a/src/grt/src/GlobalRouter.tcl +++ b/src/grt/src/GlobalRouter.tcl @@ -103,9 +103,11 @@ proc set_global_routing_region_adjustment { args } { sta::check_positive_float "lower_left_y" $lower_y sta::check_positive_float "upper_right_x" $upper_x sta::check_positive_float "upper_right_y" $upper_y - sta::check_positive_integer "-layer" $layer sta::check_positive_float "-adjustment" $adjustment + set layer_idx [grt::parse_layer_name $layer] + grt::check_routing_layer $layer_idx + set lower_x [expr { int($lower_x * $lef_units) }] set lower_y [expr { int($lower_y * $lef_units) }] set upper_x [expr { int($upper_x * $lef_units) }] @@ -113,7 +115,7 @@ proc set_global_routing_region_adjustment { args } { grt::check_region $lower_x $lower_y $upper_x $upper_y - grt::add_region_adjustment $lower_x $lower_y $upper_x $upper_y $layer $adjustment + grt::add_region_adjustment $lower_x $lower_y $upper_x $upper_y $layer_idx $adjustment } else { utl::error GRT 50 \ "Command set_global_routing_region_adjustment needs four arguments\ diff --git a/src/grt/src/fastroute/src/utility.cpp b/src/grt/src/fastroute/src/utility.cpp index 043bf786c05..d0a86c52d34 100644 --- a/src/grt/src/fastroute/src/utility.cpp +++ b/src/grt/src/fastroute/src/utility.cpp @@ -2497,7 +2497,9 @@ double FastRouteCore::dbuToMicrons(int dbu) void FastRouteCore::saveCongestion(const int iter) { std::vector congestionGridsV, congestionGridsH; - getCongestionGrid(congestionGridsV, congestionGridsH); + if (!h_edges_.empty() && !v_edges_.empty()) { + getCongestionGrid(congestionGridsV, congestionGridsH); + } const std::string marker_group_name = fmt::format( "Global route{}", iter == -1 ? "" : fmt::format(" - iter {}", iter)); diff --git a/src/grt/test/region_adjustment.tcl b/src/grt/test/region_adjustment.tcl index 3f07eb81077..8a5c0c92e21 100644 --- a/src/grt/test/region_adjustment.tcl +++ b/src/grt/test/region_adjustment.tcl @@ -3,7 +3,7 @@ source "helpers.tcl" read_lef "Nangate45/Nangate45.lef" read_def "region_adjustment.def" -set_global_routing_region_adjustment {1.4 2 20 15.5} -layer 2 -adjustment 0.9 +set_global_routing_region_adjustment {1.4 2 20 15.5} -layer metal2 -adjustment 0.9 set guide_file [make_result_file region_adjustment.guide] diff --git a/src/gui/CMakeLists.txt b/src/gui/CMakeLists.txt index 3dffc5ec5be..dd391a3e222 100755 --- a/src/gui/CMakeLists.txt +++ b/src/gui/CMakeLists.txt @@ -117,3 +117,16 @@ target_include_directories(gui ) add_subdirectory(test) + +set(OPENROAD_SHARE_GUI_DIR ${OPENROAD_SHARE}/gui) + +if (CMAKE_SYSTEM_NAME STREQUAL "Linux") + # configure desktop file + configure_file( + resources/openroad.desktop.cmake + resources/openroad.desktop + ) + + install(FILES resources/icon.png DESTINATION ${OPENROAD_SHARE_GUI_DIR}) + install(FILES ${CMAKE_CURRENT_BINARY_DIR}/resources/openroad.desktop DESTINATION ${CMAKE_INSTALL_PREFIX}/share/applications/) +endif() diff --git a/src/gui/README.md b/src/gui/README.md index e4a9dcc73de..b9cb5058158 100644 --- a/src/gui/README.md +++ b/src/gui/README.md @@ -261,6 +261,22 @@ To close the GUI and return to the command-line: gui::hide ``` +### Minimize the GUI + +To minimize the GUI window to an icon: + +```tcl +gui::minimize +``` + +### Unminimize the GUI + +To unminimize the GUI window from an icon: + +```tcl +gui::unminimize +``` + ### Layout Fit To fit the whole layout in the window: diff --git a/src/gui/include/gui/gui.h b/src/gui/include/gui/gui.h index ca9feecfab2..7cb3d411a1b 100644 --- a/src/gui/include/gui/gui.h +++ b/src/gui/include/gui/gui.h @@ -708,6 +708,8 @@ class Gui void showGui(const std::string& cmds = "", bool interactive = true, bool load_settings = true); + void minimize(); + void unminimize(); // set the system logger void setLogger(utl::Logger* logger); @@ -814,6 +816,7 @@ int startGui(int& argc, Tcl_Interp* interp, const std::string& script = "", bool interactive = true, - bool load_settings = true); + bool load_settings = true, + bool minimize = false); } // namespace gui diff --git a/src/gui/resources/icon.png b/src/gui/resources/icon.png index 7b74d1ecb01..3132708e9ea 100644 Binary files a/src/gui/resources/icon.png and b/src/gui/resources/icon.png differ diff --git a/src/gui/resources/openroad.desktop.cmake b/src/gui/resources/openroad.desktop.cmake new file mode 100644 index 00000000000..e5701b2de3d --- /dev/null +++ b/src/gui/resources/openroad.desktop.cmake @@ -0,0 +1,6 @@ +[Desktop Entry] +Type=Application +Name=OpenROAD +Exec=openroad -gui +Icon=${OPENROAD_SHARE_GUI_DIR}/icon.png +Categories=Development;Electronics diff --git a/src/gui/src/drcWidget.cpp b/src/gui/src/drcWidget.cpp index da34afe9f1d..904f8fa393c 100644 --- a/src/gui/src/drcWidget.cpp +++ b/src/gui/src/drcWidget.cpp @@ -225,6 +225,7 @@ void DRCWidget::clicked(const QModelIndex& index) } else { Selected t = Gui::get()->makeSelected(marker); emit selectDRC(t); + focusIndex(index); } } else { if (item->hasChildren()) { diff --git a/src/gui/src/gui.cpp b/src/gui/src/gui.cpp index 8c655422c67..53b36c01940 100644 --- a/src/gui/src/gui.cpp +++ b/src/gui/src/gui.cpp @@ -1265,6 +1265,16 @@ void Gui::showGui(const std::string& cmds, bool interactive, bool load_settings) startGui(cmd_argc, cmd_argv, nullptr, cmds, interactive, load_settings); } +void Gui::minimize() +{ + main_window->showMinimized(); +} + +void Gui::unminimize() +{ + main_window->showNormal(); +} + void Gui::init(odb::dbDatabase* db, utl::Logger* logger) { db_ = db; @@ -1302,7 +1312,8 @@ int startGui(int& argc, Tcl_Interp* interp, const std::string& script, bool interactive, - bool load_settings) + bool load_settings, + bool minimize) { auto gui = gui::Gui::get(); // ensure continue after close is false @@ -1320,6 +1331,9 @@ int startGui(int& argc, // create new MainWindow main_window = new gui::MainWindow(load_settings); + if (minimize) { + main_window->showMinimized(); + } open_road->addObserver(main_window); if (!interactive) { diff --git a/src/gui/src/gui.i b/src/gui/src/gui.i index c00483975ae..c40be7e52bb 100644 --- a/src/gui/src/gui.i +++ b/src/gui/src/gui.i @@ -723,4 +723,22 @@ bool supported() return true; } +void minimize() +{ + if (!check_gui("minimize")) { + return; + } + auto gui = gui::Gui::get(); + gui->minimize(); +} + +void unminimize() +{ + if (!check_gui("unminimize")) { + return; + } + auto gui = gui::Gui::get(); + gui->unminimize(); +} + %} // inline diff --git a/src/gui/src/stub.cpp b/src/gui/src/stub.cpp index a9b6d4b3ce8..1b69c796302 100644 --- a/src/gui/src/stub.cpp +++ b/src/gui/src/stub.cpp @@ -178,7 +178,8 @@ int startGui(int& argc, Tcl_Interp* interp, const std::string& script, bool interactive, - bool load_settings) + bool load_settings, + bool minimize) { printf( "[ERROR] This code was compiled with the GUI disabled. Please recompile " diff --git a/src/ifp/src/InitFloorplan.cc b/src/ifp/src/InitFloorplan.cc index d24c8eaea59..a5411293341 100644 --- a/src/ifp/src/InitFloorplan.cc +++ b/src/ifp/src/InitFloorplan.cc @@ -112,10 +112,14 @@ void InitFloorplan::initFloorplan( { utl::Validator v(logger_, IFP); v.check_non_negative("utilization", utilization, 12); - v.check_non_negative("core_space_bottom", core_space_bottom, 32); - v.check_non_negative("core_space_top", core_space_top, 33); - v.check_non_negative("core_space_left", core_space_left, 34); - v.check_non_negative("core_space_right", core_space_right, 35); + v.check_non_negative( + "core_space_bottom (um) ", block_->dbuToMicrons(core_space_bottom), 32); + v.check_non_negative( + "core_space_top (um) ", block_->dbuToMicrons(core_space_top), 33); + v.check_non_negative( + "core_space_left (um) ", block_->dbuToMicrons(core_space_left), 34); + v.check_non_negative( + "core_space_right (um) ", block_->dbuToMicrons(core_space_right), 35); v.check_positive("aspect_ratio", aspect_ratio, 36); utilization /= 100; @@ -225,7 +229,7 @@ void InitFloorplan::initFloorplan( if (row_parity != RowParity::NONE) { logger_->error( IFP, - 41, + 51, "Constraining row parity is not supported for hybrid rows."); } makeHybridRows(base_site, sites_by_name, snapped_core); @@ -408,7 +412,7 @@ void InitFloorplan::addUsedSites( } } else { logger_->warn(IFP, - 43, + 52, "No site found for instance {} in block {}.", inst->getName(), block_->getName()); @@ -475,12 +479,12 @@ void InitFloorplan::makeUniformRows(odb::dbSite* base_site, if (site->getHeight() % base_site->getHeight() != 0) { logger_->error( IFP, - 40, - "Site {} height {} of is not a multiple of site {} height {}.", + 54, + "Site {} height {}um of is not a multiple of site {} height {}um.", site->getName(), - site->getHeight(), + block_->dbuToMicrons(site->getHeight()), base_site->getName(), - base_site->getHeight()); + block_->dbuToMicrons(base_site->getHeight())); } make_rows(site); } @@ -644,7 +648,7 @@ void InitFloorplan::insertTiecells(odb::dbMTerm* tie_term, auto* lib_port = network_->libertyPort(port); if (!lib_port) { logger_->error(utl::IFP, - 39, + 53, "Liberty cell or port {}/{} not found.", master->getName(), tie_term->getName()); @@ -722,11 +726,21 @@ void InitFloorplan::makeTracks(odb::dbTechLayer* layer, int y_pitch) { utl::Validator v(logger_, IFP); + string layer_inform = "On layer " + layer->getName() + ": "; + v.check_non_null("layer", layer, 38); - v.check_non_negative("x_offset", x_offset, 39); - v.check_positive("x_pitch", x_pitch, 40); - v.check_non_negative("y_offset", y_offset, 41); - v.check_positive("y_pitch", y_pitch, 42); + v.check_non_negative((layer_inform + "x_offset (um)").c_str(), + block_->dbuToMicrons(x_offset), + 39); + v.check_positive((layer_inform + "x_pitch (um)").c_str(), + block_->dbuToMicrons(x_pitch), + 40); + v.check_non_negative((layer_inform + "y_offset (um)").c_str(), + block_->dbuToMicrons(y_offset), + 41); + v.check_positive((layer_inform + "y_pitch (um)").c_str(), + block_->dbuToMicrons(y_pitch), + 42); Rect die_area = block_->getDieArea(); diff --git a/src/mpl2/include/mpl2/rtl_mp.h b/src/mpl2/include/mpl2/rtl_mp.h index 17dc94a3b99..26745f2e718 100644 --- a/src/mpl2/include/mpl2/rtl_mp.h +++ b/src/mpl2/include/mpl2/rtl_mp.h @@ -113,6 +113,7 @@ class MacroPlacer2 void setDebugShowBundledNets(bool show_bundled_nets); void setDebugSkipSteps(bool skip_steps); void setDebugOnlyFinalResult(bool only_final_result); + void setDebugTargetClusterId(int target_cluster_id); private: std::unique_ptr hier_rtlmp_; diff --git a/src/mpl2/src/Mpl2Observer.h b/src/mpl2/src/Mpl2Observer.h index 5f8f481f5e0..dff0c04ca70 100644 --- a/src/mpl2/src/Mpl2Observer.h +++ b/src/mpl2/src/Mpl2Observer.h @@ -83,7 +83,9 @@ class Mpl2Observer virtual void setSkipSteps(bool skip_steps) {} virtual void doNotSkip() {} virtual void setOnlyFinalResult(bool skip_to_end) {} + virtual void setTargetClusterId(int target_cluster_id) {} virtual void setOutline(const odb::Rect& outline) {} + virtual void setCurrentCluster(Cluster* current_cluster) {} virtual void setAreaPenalty(const Penalty& penalty) {} virtual void setBoundaryPenalty(const Penalty& penalty) {} diff --git a/src/mpl2/src/graphics.cpp b/src/mpl2/src/graphics.cpp index 768179e8ffa..f1ae532e682 100644 --- a/src/mpl2/src/graphics.cpp +++ b/src/mpl2/src/graphics.cpp @@ -71,7 +71,11 @@ void Graphics::startSA() return; } - if (only_final_result_ || skip_steps_) { + if (skip_steps_) { + return; + } + + if (target_cluster_id_ != -1 && !isTargetCluster()) { return; } @@ -86,7 +90,11 @@ void Graphics::endSA(const float norm_cost) return; } - if (only_final_result_ || skip_steps_) { + if (skip_steps_) { + return; + } + + if (target_cluster_id_ != -1 && !isTargetCluster()) { return; } @@ -98,12 +106,13 @@ void Graphics::endSA(const float norm_cost) gui::Gui::get()->pause(); } -void Graphics::saStep(const std::vector& macros) +bool Graphics::isTargetCluster() { - if (only_final_result_) { - return; - } + return current_cluster_->getId() == target_cluster_id_; +} +void Graphics::saStep(const std::vector& macros) +{ resetPenalties(); soft_macros_ = macros; hard_macros_.clear(); @@ -111,10 +120,6 @@ void Graphics::saStep(const std::vector& macros) void Graphics::saStep(const std::vector& macros) { - if (only_final_result_) { - return; - } - resetPenalties(); hard_macros_ = macros; soft_macros_.clear(); @@ -149,10 +154,6 @@ void Graphics::report(const float norm_cost) void Graphics::drawResult() { - if (!only_final_result_) { - return; - } - if (max_level_) { std::vector> outlines(max_level_.value() + 1); int level = 0; @@ -208,11 +209,11 @@ void Graphics::penaltyCalculated(float norm_cost) return; } - if (only_final_result_) { + if (is_skipping_) { return; } - if (is_skipping_) { + if (target_cluster_id_ != -1 && !isTargetCluster()) { return; } @@ -567,15 +568,21 @@ void Graphics::setBundledNets(const std::vector& bundled_nets) bundled_nets_ = bundled_nets; } -void Graphics::setOutline(const odb::Rect& outline) +void Graphics::setTargetClusterId(const int target_cluster_id) { - if (only_final_result_) { - return; - } + target_cluster_id_ = target_cluster_id; +} +void Graphics::setOutline(const odb::Rect& outline) +{ outline_ = outline; } +void Graphics::setCurrentCluster(Cluster* current_cluster) +{ + current_cluster_ = current_cluster; +} + void Graphics::eraseDrawing() { // Ensure we don't try to access the clusters after they were deleted diff --git a/src/mpl2/src/graphics.h b/src/mpl2/src/graphics.h index 789eff1efac..5e28093ee25 100644 --- a/src/mpl2/src/graphics.h +++ b/src/mpl2/src/graphics.h @@ -84,8 +84,9 @@ class Graphics : public gui::Renderer, public Mpl2Observer void setSkipSteps(bool skip_steps) override; void doNotSkip() override; void setOnlyFinalResult(bool only_final_result) override; - + void setTargetClusterId(int target_cluster_id) override; void setOutline(const odb::Rect& outline) override; + void setCurrentCluster(Cluster* current_cluster) override; void eraseDrawing() override; @@ -102,6 +103,7 @@ class Graphics : public gui::Renderer, public Mpl2Observer std::vector& soft, std::vector>& outlines, int level); + bool isTargetCluster(); template void report(const char* name, const std::optional& value); @@ -113,7 +115,11 @@ class Graphics : public gui::Renderer, public Mpl2Observer std::vector placement_blockages_; std::vector bundled_nets_; odb::Rect outline_; + int target_cluster_id_{-1}; std::vector> outlines_; + // In Soft SA, we're shaping/placing the children of a certain parent, + // so for this case, the current cluster is actually the current parent. + Cluster* current_cluster_{nullptr}; bool active_ = true; bool coarse_; diff --git a/src/mpl2/src/hier_rtlmp.cpp b/src/mpl2/src/hier_rtlmp.cpp index 2bd5b5c0ab8..9afe4030ed8 100644 --- a/src/mpl2/src/hier_rtlmp.cpp +++ b/src/mpl2/src/hier_rtlmp.cpp @@ -249,9 +249,20 @@ void HierRTLMP::run() resetSAParameters(); } + std::unique_ptr save_graphics; + if (is_debug_only_final_result_) { + save_graphics = std::move(graphics_); + } + runCoarseShaping(); runHierarchicalMacroPlacement(); + if (save_graphics) { + graphics_ = std::move(save_graphics); + graphics_->setMaxLevel(tree_->max_level); + graphics_->drawResult(); + } + Pusher pusher(logger_, tree_->root.get(), block_, boundary_to_io_blockage_); pusher.pushMacrosToCoreBoundaries(); @@ -314,11 +325,6 @@ void HierRTLMP::runHierarchicalMacroPlacement() } else { runHierarchicalMacroPlacementWithoutBusPlanning(tree_->root.get()); } - - if (graphics_) { - graphics_->setMaxLevel(tree_->max_level); - graphics_->drawResult(); - } } void HierRTLMP::resetSAParameters() @@ -449,6 +455,11 @@ void HierRTLMP::calculateChildrenTilings(Cluster* parent) "Done visiting children of {}", parent->getName()); } + + if (graphics_) { + graphics_->setCurrentCluster(parent); + } + // if the current cluster is the root cluster, // the shape is fixed, i.e., the fixed die. // Thus, we do not need to determine the shapes for it @@ -700,6 +711,10 @@ void HierRTLMP::calculateMacroTilings(Cluster* cluster) return; } + if (graphics_) { + graphics_->setCurrentCluster(cluster); + } + // otherwise call simulated annealing to determine tilings // set the action probabilities const float action_sum = pos_swap_prob_ + neg_swap_prob_ + double_swap_prob_ @@ -1172,6 +1187,10 @@ void HierRTLMP::runHierarchicalMacroPlacement(Cluster* parent) return; } + if (graphics_) { + graphics_->setCurrentCluster(parent); + } + for (auto& cluster : parent->getChildren()) { clustering_engine_->updateInstancesAssociation(cluster.get()); } @@ -2156,6 +2175,10 @@ void HierRTLMP::runHierarchicalMacroPlacementWithoutBusPlanning(Cluster* parent) return; } + if (graphics_) { + graphics_->setCurrentCluster(parent); + } + for (auto& cluster : parent->getChildren()) { clustering_engine_->updateInstancesAssociation(cluster.get()); } @@ -2657,6 +2680,11 @@ void HierRTLMP::runEnhancedHierarchicalMacroPlacement(Cluster* parent) return; } } + + if (graphics_) { + graphics_->setCurrentCluster(parent); + } + // Place children clusters // map children cluster to soft macro for (auto& cluster : parent->getChildren()) { @@ -3372,6 +3400,10 @@ void HierRTLMP::placeMacros(Cluster* cluster) "Place macros in cluster: {}", cluster->getName()); + if (graphics_) { + graphics_->setCurrentCluster(cluster); + } + UniqueClusterVector macro_clusters; // needed to calculate connections std::vector hard_macros = cluster->getHardMacros(); std::vector sa_macros; @@ -4096,6 +4128,12 @@ void HierRTLMP::setDebugSkipSteps(bool skip_steps) void HierRTLMP::setDebugOnlyFinalResult(bool only_final_result) { graphics_->setOnlyFinalResult(only_final_result); + is_debug_only_final_result_ = only_final_result; +} + +void HierRTLMP::setDebugTargetClusterId(const int target_cluster_id) +{ + graphics_->setTargetClusterId(target_cluster_id); } odb::Rect HierRTLMP::micronsToDbu(const Rect& micron_rect) diff --git a/src/mpl2/src/hier_rtlmp.h b/src/mpl2/src/hier_rtlmp.h index 2635afc01c5..350338b7d29 100644 --- a/src/mpl2/src/hier_rtlmp.h +++ b/src/mpl2/src/hier_rtlmp.h @@ -145,6 +145,7 @@ class HierRTLMP void setDebugShowBundledNets(bool show_bundled_nets); void setDebugSkipSteps(bool skip_steps); void setDebugOnlyFinalResult(bool only_final_result); + void setDebugTargetClusterId(int target_cluster_id); void setBusPlanningOn(bool bus_planning_on); void setNumThreads(int threads) { num_threads_ = threads; } @@ -344,6 +345,7 @@ class HierRTLMP bool skip_macro_placement_ = false; std::unique_ptr graphics_; + bool is_debug_only_final_result_{false}; }; class Pusher diff --git a/src/mpl2/src/mpl.i b/src/mpl2/src/mpl.i index 2604f2960b0..371ab3d7807 100644 --- a/src/mpl2/src/mpl.i +++ b/src/mpl2/src/mpl.i @@ -129,7 +129,8 @@ void set_debug_cmd(odb::dbBlock* block, bool fine, bool show_bundled_nets, bool skip_steps, - bool only_final_result) + bool only_final_result, + int target_cluster_id) { auto macro_placer = getMacroPlacer2(); std::unique_ptr graphics @@ -138,6 +139,7 @@ void set_debug_cmd(odb::dbBlock* block, macro_placer->setDebugShowBundledNets(show_bundled_nets); macro_placer->setDebugSkipSteps(skip_steps); macro_placer->setDebugOnlyFinalResult(only_final_result); + macro_placer->setDebugTargetClusterId(target_cluster_id); } void diff --git a/src/mpl2/src/mpl.tcl b/src/mpl2/src/mpl.tcl index 20d79fcbf25..4519eb2b935 100644 --- a/src/mpl2/src/mpl.tcl +++ b/src/mpl2/src/mpl.tcl @@ -310,7 +310,7 @@ proc parse_macro_name { cmd macro_name } { proc mpl_debug { args } { sta::parse_key_args "mpl_debug" args \ - keys {} \ + keys { -target_cluster_id target_cluster_id } \ flags {-coarse -fine -show_bundled_nets \ -skip_steps -only_final_result} ;# checker off @@ -322,11 +322,17 @@ proc mpl_debug { args } { } set block [ord::get_db_block] + set target_cluster_id -1 + if { [info exists keys(-target_cluster_id)] } { + set target_cluster_id $keys(-target_cluster_id) + } + mpl2::set_debug_cmd $block \ $coarse \ $fine \ [info exists flags(-show_bundled_nets)] \ [info exists flags(-skip_steps)] \ - [info exists flags(-only_final_result)] + [info exists flags(-only_final_result)] \ + $target_cluster_id } } diff --git a/src/mpl2/src/rtl_mp.cpp b/src/mpl2/src/rtl_mp.cpp index e13d81bc14b..6f03c9adde3 100644 --- a/src/mpl2/src/rtl_mp.cpp +++ b/src/mpl2/src/rtl_mp.cpp @@ -215,4 +215,9 @@ void MacroPlacer2::setDebugOnlyFinalResult(bool only_final_result) hier_rtlmp_->setDebugOnlyFinalResult(only_final_result); } +void MacroPlacer2::setDebugTargetClusterId(const int target_cluster_id) +{ + hier_rtlmp_->setDebugTargetClusterId(target_cluster_id); +} + } // namespace mpl2 diff --git a/src/odb/README.md b/src/odb/README.md index ce0b91aa81e..ae3fd988121 100644 --- a/src/odb/README.md +++ b/src/odb/README.md @@ -387,6 +387,24 @@ design_is_routed [-verbose] | `verbose` | Flag that allow the command to show all the nets that are not routed. | +### Replace Design + +This command swaps a hierarchical module with another module. +Two modules must have identical number of ports and port names must match. +Functional equivalence is not required. +New module is not allowed to have multiple levels of hierarchy for now. +Newly instantiated module is uniquified. + +```tcl +replace_design instance_name module_name +``` + +#### Options + +| Switch Name | Description | +| ----- | ----- | +| `instance_name` | Name of a hierarchical instance for which the module swap needs to happen. For example, 'l1/l2/U3' | +| `module_name` | Name of a new module that needs to be swapped in. | ## Example scripts diff --git a/src/odb/include/odb/db.h b/src/odb/include/odb/db.h index ac07f673d29..b2f971820f7 100644 --- a/src/odb/include/odb/db.h +++ b/src/odb/include/odb/db.h @@ -8085,6 +8085,7 @@ class dbModBTerm : public dbObject dbBusPort* getBusPort() const; static dbModBTerm* create(dbModule* parentModule, const char* name); static void destroy(dbModBTerm*); + static dbModBTerm* getModBTerm(dbBlock* block, uint dbid); private: // User Code End dbModBTerm @@ -8121,6 +8122,9 @@ class dbModInst : public dbObject static dbModInst* getModInst(dbBlock* block_, uint dbid_); + /// Swap the module of this instance. + /// Returns true if the operations succeeds. + bool swapMaster(dbModule* module); // User Code End dbModInst }; @@ -8140,6 +8144,7 @@ class dbModITerm : public dbObject void disconnect(); static dbModITerm* create(dbModInst* parentInstance, const char* name); static void destroy(dbModITerm*); + static dbModITerm* getModITerm(dbBlock* block, uint dbid); // User Code End dbModITerm }; @@ -8211,6 +8216,29 @@ class dbModule : public dbObject static dbModule* getModule(dbBlock* block_, uint dbid_); + static dbModule* makeUniqueDbModule(const char* cell_name, + const char* inst_name, + dbBlock* block); + + // Copy and uniquify a given module based on current instance + static void copy(dbModule* old_module, + dbModule* new_module, + dbModInst* new_mod_inst); + static void copyModulePorts(dbModule* old_module, + dbModule* new_module, + modBTMap& mod_bt_map); + static void copyModuleInsts(dbModule* old_module, + dbModule* new_module, + dbModInst* new_mod_inst, + ITMap& it_map); + static void copyModuleModNets(dbModule* old_module, + dbModule* new_module, + modBTMap& mod_bt_map, + ITMap& it_map); + static void copyModuleBoundaryIO(dbModule* old_module, + dbModule* new_module, + dbModInst* new_mod_inst); + // User Code End dbModule }; diff --git a/src/odb/include/odb/odb.h b/src/odb/include/odb/odb.h index b234e272cf2..3e0683bf0cf 100644 --- a/src/odb/include/odb/odb.h +++ b/src/odb/include/odb/odb.h @@ -54,4 +54,9 @@ using uint64 = std::uint64_t; using utl::format_as; #endif +class dbITerm; +class dbModBTerm; +using ITMap = std::map; +using modBTMap = std::map; + } // namespace odb diff --git a/src/odb/include/odb/wOrder.h b/src/odb/include/odb/wOrder.h index cc7eb491301..b60a4a674c7 100644 --- a/src/odb/include/odb/wOrder.h +++ b/src/odb/include/odb/wOrder.h @@ -39,9 +39,7 @@ class Logger; namespace odb { class dbBlock; -class dbNet; void orderWires(utl::Logger* logger, dbBlock* b); -void orderWires(utl::Logger* logger, dbNet* net); } // namespace odb diff --git a/src/odb/src/codeGenerator/schema/chip/dbModBterm.json b/src/odb/src/codeGenerator/schema/chip/dbModBterm.json index 0d9a29eac8d..319130cbe20 100644 --- a/src/odb/src/codeGenerator/schema/chip/dbModBterm.json +++ b/src/odb/src/codeGenerator/schema/chip/dbModBterm.json @@ -75,5 +75,5 @@ } ], "constructors":[], - "cpp_includes":["dbBlock.h","dbModule.h","dbModNet.h","dbHashTable.hpp","dbModITerm.h","dbBusPort.h"] + "cpp_includes":["dbBlock.h","dbModule.h","dbModNet.h","dbHashTable.hpp","dbModITerm.h","dbBusPort.h","dbJournal.h"] } diff --git a/src/odb/src/codeGenerator/schema/chip/dbModIterm.json b/src/odb/src/codeGenerator/schema/chip/dbModIterm.json index 2df20dd8e15..3d03d7e3f69 100644 --- a/src/odb/src/codeGenerator/schema/chip/dbModIterm.json +++ b/src/odb/src/codeGenerator/schema/chip/dbModIterm.json @@ -62,5 +62,5 @@ ], "constructors":[], - "cpp_includes":["dbBlock.h","dbModInst.h","dbModNet.h","dbHashTable.hpp","dbModBTerm.h"] + "cpp_includes":["dbBlock.h","dbModInst.h","dbModNet.h","dbHashTable.hpp","dbModBTerm.h", "dbJournal.h"] } diff --git a/src/odb/src/db/dbDatabase.cpp b/src/odb/src/db/dbDatabase.cpp index b4de42bd9ba..b2d9d6a2207 100644 --- a/src/odb/src/db/dbDatabase.cpp +++ b/src/odb/src/db/dbDatabase.cpp @@ -73,7 +73,9 @@ constexpr int DB_MAGIC2 = 0x4E414442; // NADB template class dbTable<_dbDatabase>; static dbTable<_dbDatabase>* db_tbl = nullptr; -static uint db_unique_id = 0; +// Must be held to access db_tbl +static std::mutex* db_tbl_mutex = new std::mutex; +static std::atomic db_unique_id = 0; bool _dbDatabase::operator==(const _dbDatabase& rhs) const { @@ -151,9 +153,6 @@ void _dbDatabase::out(dbDiff& diff, char side, const char* field) const dbObjectTable* _dbDatabase::getObjectTable(dbObjectType type) { switch (type) { - case dbDatabaseObj: - return db_tbl; - case dbTechObj: return _tech_tbl; @@ -671,6 +670,7 @@ void dbDatabase::setLogger(utl::Logger* logger) dbDatabase* dbDatabase::create() { + std::lock_guard lock(*db_tbl_mutex); if (db_tbl == nullptr) { db_tbl = new dbTable<_dbDatabase>( nullptr, nullptr, (GetObjTbl_t) nullptr, dbDatabaseObj); @@ -690,12 +690,14 @@ void dbDatabase::clear() void dbDatabase::destroy(dbDatabase* db_) { + std::lock_guard lock(*db_tbl_mutex); _dbDatabase* db = (_dbDatabase*) db_; db_tbl->destroy(db); } dbDatabase* dbDatabase::duplicate(dbDatabase* db_) { + std::lock_guard lock(*db_tbl_mutex); _dbDatabase* db = (_dbDatabase*) db_; _dbDatabase* d = db_tbl->duplicate(db); return (dbDatabase*) d; @@ -703,6 +705,7 @@ dbDatabase* dbDatabase::duplicate(dbDatabase* db_) dbDatabase* dbDatabase::getDatabase(uint dbid) { + std::lock_guard lock(*db_tbl_mutex); return (dbDatabase*) db_tbl->getPtr(dbid); } diff --git a/src/odb/src/db/dbJournal.cpp b/src/odb/src/db/dbJournal.cpp index 15d304c2156..48f5cee2e6d 100644 --- a/src/odb/src/db/dbJournal.cpp +++ b/src/odb/src/db/dbJournal.cpp @@ -1521,6 +1521,22 @@ void dbJournal::undo_createObject() break; } + case dbModBTermObj: { + uint modbterm_id; + _log.pop(modbterm_id); + dbModBTerm* modbterm = dbModBTerm::getModBTerm(_block, modbterm_id); + dbModBTerm::destroy(modbterm); + break; + } + + case dbModITermObj: { + uint moditerm_id; + _log.pop(moditerm_id); + dbModITerm* moditerm = dbModITerm::getModITerm(_block, moditerm_id); + dbModITerm::destroy(moditerm); + break; + } + default: { _logger->critical(utl::ODB, 441, @@ -1631,6 +1647,26 @@ void dbJournal::undo_connectObject() auto obj_type = popObjectType(); switch (obj_type) { + case dbModITermObj: { + uint moditerm_id; + _log.pop(moditerm_id); + dbModITerm* moditerm = dbModITerm::getModITerm(_block, moditerm_id); + uint net_id; + _log.pop(net_id); + moditerm->disconnect(); + break; + } + + case dbModBTermObj: { + uint modbterm_id; + _log.pop(modbterm_id); + dbModBTerm* modbterm = dbModBTerm::getModBTerm(_block, modbterm_id); + uint net_id; + _log.pop(net_id); + modbterm->disconnect(); + break; + } + case dbITermObj: { uint iterm_id; _log.pop(iterm_id); diff --git a/src/odb/src/db/dbModBTerm.cpp b/src/odb/src/db/dbModBTerm.cpp index 5c01da1f432..0dd467fca1d 100644 --- a/src/odb/src/db/dbModBTerm.cpp +++ b/src/odb/src/db/dbModBTerm.cpp @@ -38,6 +38,7 @@ #include "dbDatabase.h" #include "dbDiff.hpp" #include "dbHashTable.hpp" +#include "dbJournal.h" #include "dbModITerm.h" #include "dbModNet.h" #include "dbModule.h" @@ -365,6 +366,14 @@ dbModBTerm* dbModBTerm::create(dbModule* parentModule, const char* name) } module->_modbterms = modbterm->getOID(); module->_modbterm_hash[name] = dbId<_dbModBTerm>(modbterm->getOID()); + + if (block->_journal) { + block->_journal->beginAction(dbJournal::CREATE_OBJECT); + block->_journal->pushParam(dbModBTermObj); + block->_journal->pushParam(modbterm->getId()); + block->_journal->endAction(); + } + return (dbModBTerm*) modbterm; } @@ -391,6 +400,14 @@ void dbModBTerm::connect(dbModNet* net) } _modbterm->_prev_net_modbterm = 0; // previous of head always zero _modnet->_modbterms = getId(); // set new head + + if (_block->_journal) { + _block->_journal->beginAction(dbJournal::CONNECT_OBJECT); + _block->_journal->pushParam(dbModBTermObj); + _block->_journal->pushParam(getId()); + _block->_journal->pushParam(net->getId()); + _block->_journal->endAction(); + } } void dbModBTerm::disconnect() @@ -448,6 +465,12 @@ void dbModBTerm::setBusPort(dbBusPort* bus_port) _modbterm->_busPort = bus_port->getId(); } +dbModBTerm* dbModBTerm::getModBTerm(dbBlock* block, uint dbid) +{ + _dbBlock* owner = (_dbBlock*) block; + return (dbModBTerm*) (owner->_modbterm_tbl->getPtr(dbid)); +} + void dbModBTerm::destroy(dbModBTerm* val) { _dbModBTerm* _modbterm = (_dbModBTerm*) val; diff --git a/src/odb/src/db/dbModITerm.cpp b/src/odb/src/db/dbModITerm.cpp index 6e984548cf5..3a955974619 100644 --- a/src/odb/src/db/dbModITerm.cpp +++ b/src/odb/src/db/dbModITerm.cpp @@ -37,6 +37,7 @@ #include "dbDatabase.h" #include "dbDiff.hpp" #include "dbHashTable.hpp" +#include "dbJournal.h" #include "dbModBTerm.h" #include "dbModInst.h" #include "dbModNet.h" @@ -282,6 +283,14 @@ dbModITerm* dbModITerm::create(dbModInst* parentInstance, const char* name) } parent->_moditerms = moditerm->getOID(); parent->_moditerm_hash[name] = dbId<_dbModITerm>(moditerm->getOID()); + + if (block->_journal) { + block->_journal->beginAction(dbJournal::CREATE_OBJECT); + block->_journal->pushParam(dbModITermObj); + block->_journal->pushParam(moditerm->getId()); + block->_journal->endAction(); + } + return (dbModITerm*) moditerm; } @@ -307,6 +316,14 @@ void dbModITerm::connect(dbModNet* net) // set up new head _moditerm->_prev_net_moditerm = 0; _modnet->_moditerms = getId(); + + if (_block->_journal) { + _block->_journal->beginAction(dbJournal::CONNECT_OBJECT); + _block->_journal->pushParam(dbModITermObj); + _block->_journal->pushParam(getId()); + _block->_journal->pushParam(_modnet->getId()); + _block->_journal->endAction(); + } } void dbModITerm::disconnect() @@ -336,6 +353,12 @@ void dbModITerm::disconnect() } } +dbModITerm* dbModITerm::getModITerm(dbBlock* block, uint dbid) +{ + _dbBlock* owner = (_dbBlock*) block; + return (dbModITerm*) (owner->_moditerm_tbl->getPtr(dbid)); +} + void dbModITerm::destroy(dbModITerm* val) { _dbModITerm* _moditerm = (_dbModITerm*) val; diff --git a/src/odb/src/db/dbModInst.cpp b/src/odb/src/db/dbModInst.cpp index 5a6df016679..614da57c122 100644 --- a/src/odb/src/db/dbModInst.cpp +++ b/src/odb/src/db/dbModInst.cpp @@ -45,6 +45,7 @@ // User Code Begin Includes #include "dbGroup.h" #include "dbModBTerm.h" +#include "dbModuleModInstItr.h" #include "dbModuleModInstModITermItr.h" // User Code End Includes namespace odb { @@ -405,6 +406,173 @@ void dbModInst::RemoveUnusedPortsAndPins() } } +// Swap one hierarchical module with another one. +// Two modules must have identical number of ports and port names need to match. +// Functional equivalence is not required. +// New module is not allowed to have multiple levels of hierarchy for now. +// Newly instantiated modules are uniquified and old module instances are +// deleted. +bool dbModInst::swapMaster(dbModule* new_module) +{ + _dbModInst* inst = (_dbModInst*) this; + utl::Logger* logger = getImpl()->getLogger(); + + dbModule* old_module = getMaster(); + const char* old_module_name = old_module->getName(); + const char* new_module_name = new_module->getName(); + + // Check if number of module ports match + dbSet old_bterms = old_module->getModBTerms(); + dbSet new_bterms = new_module->getModBTerms(); + if (old_bterms.size() != new_bterms.size()) { + logger->warn(utl::ODB, + 453, + "modules cannot be swapped because module {} " + "has {} ports but module {} has {} ports", + old_module_name, + old_bterms.size(), + new_module_name, + new_bterms.size()); + return false; + } + + // Check if module port names match + std::vector<_dbModBTerm*> new_ports; + std::vector<_dbModBTerm*> old_ports; + dbSet::iterator iter; + for (iter = old_bterms.begin(); iter != old_bterms.end(); ++iter) { + old_ports.push_back((_dbModBTerm*) *iter); + } + for (iter = new_bterms.begin(); iter != new_bterms.end(); ++iter) { + new_ports.push_back((_dbModBTerm*) *iter); + } + std::map mod_map; // old mod net -> new mod net + std::sort(new_ports.begin(), + new_ports.end(), + [](_dbModBTerm* port1, _dbModBTerm* port2) { + return strcmp(port1->_name, port2->_name) < 0; + }); + std::sort(old_ports.begin(), + old_ports.end(), + [](_dbModBTerm* port1, _dbModBTerm* port2) { + return strcmp(port1->_name, port2->_name) < 0; + }); + std::vector<_dbModBTerm*>::iterator i1 = new_ports.begin(); + std::vector<_dbModBTerm*>::iterator i2 = old_ports.begin(); + for (; i1 != new_ports.end() && i2 != old_ports.end(); ++i1, ++i2) { + _dbModBTerm* t1 = *i1; + _dbModBTerm* t2 = *i2; + if (t1 == nullptr) { + logger->error( + utl::ODB, 464, "Module {} has a null port", new_module_name); + } + if (t2 == nullptr) { + logger->error( + utl::ODB, 465, "Module {} has a null port", old_module_name); + } + if (strcmp(t1->_name, t2->_name) != 0) { + break; + } + // Map old mod net to new mod net + mod_map[((dbModBTerm*) t2)->getModNet()] = ((dbModBTerm*) t1)->getModNet(); + } + if (i1 != new_ports.end() || i2 != old_ports.end()) { + const char* new_port_name + = (i1 != new_ports.end() && *i1) ? (*i1)->_name : "N/A"; + const char* old_port_name + = (i2 != old_ports.end() && *i2) ? (*i2)->_name : "N/A"; + logger->warn(utl::ODB, + 454, + "modules cannot be swapped because module {} " + "has port {} but module {} has port {}", + old_module_name, + old_port_name, + new_module_name, + new_port_name); + return false; + } + + dbModule* new_module_copy = dbModule::makeUniqueDbModule( + new_module->getName(), this->getName(), getMaster()->getOwner()); + if (new_module_copy) { + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created uniquified module {}", + new_module_copy->getName()); + } else { + logger->error(utl::ODB, + 455, + "Unique module {} cannot be created", + new_module->getName()); + } + dbModule::copy(new_module, new_module_copy, this); + _dbModule* new_master = (_dbModule*) new_module_copy; + + // Patch connections such that boundary nets connect to new module iterms + // instead of old module iterms + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Connecting nets that span module boundary"); + for (const auto& [old_mod_net, new_mod_net] : mod_map) { + dbSet old_iterms = old_mod_net->getITerms(); + dbSet new_iterms = new_mod_net->getITerms(); + dbSet::iterator it_iter; + for (it_iter = old_iterms.begin(); it_iter != old_iterms.end(); ++it_iter) { + dbITerm* old_iterm = *it_iter; + dbNet* flat_net = old_iterm->getNet(); + // iterm may be connected to another hierarchical instance, so save it + // before disconnecting + dbModNet* other_mod_net = old_iterm->getModNet(); + if (other_mod_net == old_mod_net) { + other_mod_net = nullptr; + } + old_iterm->disconnect(); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " disconnected old iterm {} from flat net {} + other mod net " + "{} for mod net {}", + old_iterm->getName(), + (flat_net ? flat_net->getName() : "none"), + (other_mod_net ? other_mod_net->getName() : "none"), + old_mod_net->getName()); + dbSet::iterator new_it_iter; + for (new_it_iter = new_iterms.begin(); new_it_iter != new_iterms.end(); + ++new_it_iter) { + dbITerm* new_iterm = *new_it_iter; + if (flat_net) { + new_iterm->connect(flat_net); + } + if (other_mod_net) { + new_iterm->connect(other_mod_net); + } + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " connected new iterm {} to flat net {} + other mod net {} " + "for mod net {}", + new_iterm->getName(), + (flat_net ? flat_net->getName() : "none"), + (other_mod_net ? other_mod_net->getName() : "none"), + old_mod_net->getName()); + } + } + } + + // TODO: remove old module insts without destroying old module itself + // dbModule::destroy(old_module); + inst->_master = new_master->getOID(); + new_master->_mod_inst = inst->getOID(); + + return true; +} + // User Code End dbModInstPublicMethods } // namespace odb // Generator Code End Cpp diff --git a/src/odb/src/db/dbModule.cpp b/src/odb/src/db/dbModule.cpp index cdfece33838..89992eef61b 100644 --- a/src/odb/src/db/dbModule.cpp +++ b/src/odb/src/db/dbModule.cpp @@ -543,6 +543,376 @@ dbBlock* dbModule::getOwner() return (dbBlock*) obj->getOwner(); } +// Make a unique copy of module based on cell_name and inst_name +dbModule* dbModule::makeUniqueDbModule(const char* cell_name, + const char* inst_name, + dbBlock* block) + +{ + static std::map name_id_map; + dbModule* module = dbModule::create(block, cell_name); + if (module != nullptr) { + return module; + } + + std::string orig_cell_name(cell_name); + std::string module_name = orig_cell_name + '_' + std::string(inst_name); + do { + std::string full_name = module_name; + int& id = name_id_map[module_name]; + if (id > 0) { + full_name += "_" + std::to_string(id); + } + ++id; + module = dbModule::create(block, full_name.c_str()); + } while (module == nullptr); + return module; +} + +// Do a "deep" copy of old_module based on its instance context into new_module. +// All ports, instances, mod nets and parent/child IO will be copied. +// Connections that span multiple modules needs to be done outside this API. +// new_mod_inst is needed to create module instances for instance name +// uniquification. +void dbModule::copy(dbModule* old_module, + dbModule* new_module, + dbModInst* new_mod_inst) +{ + // Copy module ports including bus members + modBTMap mod_bt_map; // map old mbterm to new mbterm + copyModulePorts(old_module, new_module, mod_bt_map); + + // Copy module instances and create iterm map + ITMap it_map; // map old iterm to new iterm + copyModuleInsts(old_module, new_module, new_mod_inst, it_map); + + // TODO: handle hierarchical child instances + + // Copy mod nets and connect ports and iterms + copyModuleModNets(old_module, new_module, mod_bt_map, it_map); + + // Establish boundary IO between parent and child + copyModuleBoundaryIO(old_module, new_module, new_mod_inst); +} + +void dbModule::copyModulePorts(dbModule* old_module, + dbModule* new_module, + modBTMap& mod_bt_map) +{ + utl::Logger* logger = old_module->getImpl()->getLogger(); + dbSet old_ports = old_module->getModBTerms(); + dbSet::iterator port_iter; + for (port_iter = old_ports.begin(); port_iter != old_ports.end(); + ++port_iter) { + dbModBTerm* old_port = *port_iter; + dbModBTerm* new_port = dbModBTerm::create(new_module, old_port->getName()); + if (new_port) { + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created module port {} for old port {}", + new_port->getName(), + old_port->getName()); + mod_bt_map[old_port] = new_port; + new_port->setIoType(old_port->getIoType()); + } else { + logger->error(utl::ODB, + 456, + "Module port {} cannot be created", + old_port->getName()); + } + + if (old_port->isBusPort()) { + dbBusPort* old_bus_port = old_port->getBusPort(); + dbBusPort* new_bus_port = dbBusPort::create( + new_module, new_port, old_bus_port->getFrom(), old_bus_port->getTo()); + if (new_bus_port) { + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created module bus port {}", + new_port->getName()); + } else { + logger->error(utl::ODB, + 457, + "Module bus port {} cannot be created", + new_port->getName()); + } + new_port->setBusPort(new_bus_port); + + // create bus members + int from_index = old_bus_port->getFrom(); + int to_index = old_bus_port->getTo(); + bool updown = (from_index <= to_index) ? true : false; + int size = updown ? to_index - from_index + 1 : from_index - to_index + 1; + for (int i = 0; i < size; i++) { + int ix = updown ? from_index + i : from_index - i; + std::string bus_bit_name = std::string(old_port->getName()) + + std::string("[") + std::to_string(ix) + + std::string("]"); + dbModBTerm* old_bus_bit = old_bus_port->getBusIndexedElement(i); + dbModBTerm* new_bus_bit + = dbModBTerm::create(new_module, bus_bit_name.c_str()); + mod_bt_map[old_bus_bit] = new_bus_bit; + if (new_bus_bit) { + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created module bus bit {}", + bus_bit_name); + } else { + logger->error(utl::ODB, + 458, + "Module bus bit {} cannot be created", + bus_bit_name); + } + if (i == 0) { + new_bus_port->setMembers(new_bus_bit); + } + if (i == size - 1) { + new_bus_port->setLast(new_bus_bit); + } + new_bus_bit->setIoType(old_port->getIoType()); + } + } // end of bus port handling + } + new_module->getModBTerms().reverse(); + + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "copyModulePorts: modBTMap has {} ports", + mod_bt_map.size()); +} + +void dbModule::copyModuleInsts(dbModule* old_module, + dbModule* new_module, + dbModInst* new_mod_inst, + ITMap& it_map) +{ + utl::Logger* logger = old_module->getImpl()->getLogger(); + // Add insts to new module + dbSet old_insts = old_module->getInsts(); + dbSet::iterator inst_iter; + for (inst_iter = old_insts.begin(); inst_iter != old_insts.end(); + ++inst_iter) { + dbInst* old_inst = *inst_iter; + // Change unique instance name from old_inst/leaf to new_inst/leaf + std::string old_inst_name = old_inst->getName(); + size_t first_idx = old_inst_name.find_first_of('/'); + assert(first_idx != std::string::npos); + std::string old_leaf_name = old_inst_name.substr(first_idx); + std::string new_inst_name = new_mod_inst->getName() + old_leaf_name; + dbInst* new_inst = dbInst::create(old_module->getOwner(), + old_inst->getMaster(), + new_inst_name.c_str(), + /* phyical only */ false, + new_module); + if (new_inst) { + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created module instance {}", + new_inst->getName()); + } else { + logger->error( + utl::ODB, 459, "Module instance {} cannot be created", new_inst_name); + } + + // Map old iterms to new iterms and connect iterms that are local to this + // module only. Nets that connect to iterms of other modules will be + // done outside this API in dbModInst::swapMaster(). + dbSet old_iterms = old_inst->getITerms(); + dbSet new_iterms = new_inst->getITerms(); + dbSet::iterator iter1, iter2; + iter1 = old_iterms.begin(); + iter2 = new_iterms.begin(); + for (; iter1 != old_iterms.end() && iter2 != new_iterms.end(); + ++iter1, ++iter2) { + dbITerm* old_iterm = *iter1; + dbITerm* new_iterm = *iter2; + it_map[old_iterm] = new_iterm; + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " old iterm {} maps to new iterm {}", + old_iterm->getName(), + new_iterm->getName()); + dbNet* old_net = old_iterm->getNet(); + if (old_net) { + // Create a local net only if it connects to iterms inside this module + std::string net_name = old_net->getName(); + size_t first_idx = net_name.find_first_of('/'); + if (first_idx != std::string::npos) { + std::string new_net_name + = new_mod_inst->getName() + net_name.substr(first_idx); + dbNet* new_net + = old_module->getOwner()->findNet(new_net_name.c_str()); + if (new_net) { + new_iterm->connect(new_net); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " connected iterm {} to existing local net {}", + new_iterm->getName(), + new_net->getName()); + } else { + new_net + = dbNet::create(old_module->getOwner(), new_net_name.c_str()); + new_iterm->connect(new_net); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " Connected iterm {} to new local net {}", + new_iterm->getName(), + new_net->getName()); + } + } + } + } + } + + if (new_module->getInsts().reversible() + && new_module->getInsts().orderReversed()) { + new_module->getInsts().reverse(); + } +} + +void dbModule::copyModuleModNets(dbModule* old_module, + dbModule* new_module, + modBTMap& mod_bt_map, + ITMap& it_map) +{ + utl::Logger* logger = old_module->getImpl()->getLogger(); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "copyModuleModNets: modBT_map has {} ports, it_map has {} iterms", + mod_bt_map.size(), + it_map.size()); + // Make boundary port connections. + dbSet old_nets = old_module->getModNets(); + dbSet::iterator net_iter; + for (net_iter = old_nets.begin(); net_iter != old_nets.end(); ++net_iter) { + dbModNet* old_net = *net_iter; + dbModNet* new_net = dbModNet::create(new_module, old_net->getName()); + if (new_net) { + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created module mod net {}", + new_net->getName()); + } else { + logger->error(utl::ODB, + 460, + "Module mod net {} cannot be created", + old_net->getName()); + } + + // Connect dbModBTerms to new mod net + dbSet mbterms = old_net->getModBTerms(); + dbSet::iterator mb_iter; + for (mb_iter = mbterms.begin(); mb_iter != mbterms.end(); ++mb_iter) { + dbModBTerm* old_mbterm = *mb_iter; + dbModBTerm* new_mbterm = mod_bt_map[old_mbterm]; + if (new_mbterm) { + new_mbterm->connect(new_net); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " connected port {} to mod net {}", + new_mbterm->getName(), + new_net->getName()); + } else { + logger->error(utl::ODB, + 461, + "Port {} cannot be connected to mod net {} because it " + "does not exist", + old_mbterm->getName(), + new_net->getName()); + } + } + + // Connect iterms to new mod net + dbSet iterms = old_net->getITerms(); + dbSet::iterator it_iter; + for (it_iter = iterms.begin(); it_iter != iterms.end(); ++it_iter) { + dbITerm* old_iterm = *it_iter; + dbITerm* new_iterm = it_map[old_iterm]; + if (new_iterm) { + new_iterm->connect(new_net); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " connected iterm {} to mod net {}", + new_iterm->getName(), + new_net->getName()); + } else { + logger->error(utl::ODB, + 462, + "Instance terminal {} cannot be connected to mod net {} " + "because it does not exist", + old_iterm->getName(), + new_net->getName()); + } + } + } +} + +void dbModule::copyModuleBoundaryIO(dbModule* old_module, + dbModule* new_module, + dbModInst* new_mod_inst) +{ + utl::Logger* logger = old_module->getImpl()->getLogger(); + // Establish "parent/child" port connections + // dbModBTerm is the port seen from inside the dbModule ("child") + // dbModITerm is the port seen from outside from the dbModInst ("parent") + dbSet mod_iterms = new_mod_inst->getModITerms(); + dbSet::iterator iterm_iter; + for (iterm_iter = mod_iterms.begin(); iterm_iter != mod_iterms.end(); + ++iterm_iter) { + dbModITerm* old_mod_iterm = *iterm_iter; + // Connect outside dbModITerm to inside dbModBTerm + dbModBTerm* new_mod_bterm + = new_module->findModBTerm(old_mod_iterm->getName()); + if (new_mod_bterm) { + old_mod_iterm->setChildModBTerm(new_mod_bterm); + new_mod_bterm->setParentModITerm(old_mod_iterm); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + "Created parent/chlld port connection"); + debugPrint(logger, + utl::ODB, + "replace_design", + 1, + " parent mod iterm is {}, child mod bterm is {}", + old_mod_iterm->getName(), + new_mod_bterm->getName()); + } else { + logger->error(utl::ODB, + 463, + "Parent/child port connection cannot be created for parent " + "mod iterm {} because child mod bterm {} does not exist", + old_mod_iterm->getName(), + old_mod_iterm->getName()); + } + } +} + // User Code End dbModulePublicMethods } // namespace odb // Generator Code End Cpp diff --git a/src/odb/src/db/dbNet.cpp b/src/odb/src/db/dbNet.cpp index d2682f8091a..f6663f68ba1 100644 --- a/src/odb/src/db/dbNet.cpp +++ b/src/odb/src/db/dbNet.cpp @@ -2054,7 +2054,6 @@ dbRSeg* dbNet::findRSeg(uint srcn, uint tgtn) return nullptr; } -int ttttsv = 0; void dbNet::createZeroRc(bool foreign) { dbCapNode* cap1 = dbCapNode::create(this, 1, foreign); @@ -2063,10 +2062,6 @@ void dbNet::createZeroRc(bool foreign) cap1->setNode(iterm->getId()); dbCapNode* cap2 = dbCapNode::create(this, 2, foreign); cap2->setInternalFlag(); - if (ttttsv) { - cap1->setCapacitance(0.0001, 0); - cap2->setCapacitance(0.0001, 0); - } dbRSeg* rseg1 = dbRSeg::create( this, 0 /*x*/, 0 /*y*/, 0 /*path_dir*/, !foreign /*allocate_cap*/); dbRSeg* rseg0 = dbRSeg::create( @@ -2075,10 +2070,6 @@ void dbNet::createZeroRc(bool foreign) rseg0->setTargetNode(cap1->getId()); rseg1->setSourceNode(cap1->getId()); rseg1->setTargetNode(cap2->getId()); - if (ttttsv) { - rseg1->setResistance(1.0, 0); - } - // rseg1->setCapacitance(0.0001, 0); } void dbNet::set1stRSegId(uint rid) diff --git a/src/odb/src/db/tmg_conn.cpp b/src/odb/src/db/tmg_conn.cpp index 33f29f9aa11..62a9161db99 100644 --- a/src/odb/src/db/tmg_conn.cpp +++ b/src/odb/src/db/tmg_conn.cpp @@ -38,6 +38,7 @@ #include "odb/db.h" #include "odb/dbShape.h" #include "odb/dbWireCodec.h" +#include "tmg_conn_g.h" #include "utl/Logger.h" namespace odb { @@ -117,6 +118,17 @@ tmg_conn::tmg_conn(utl::Logger* logger) : logger_(logger) _swireNetCnt = 0; } +tmg_conn::~tmg_conn() +{ + free(_termV); + free(_tstackV); + free(_csNV); + free(_shortV); + + delete _search; + delete _graph; +} + int tmg_conn::ptDist(const int fr, const int to) const { return abs(_ptV[fr]._x - _ptV[to]._x) + abs(_ptV[fr]._y - _ptV[to]._y); diff --git a/src/odb/src/db/tmg_conn.h b/src/odb/src/db/tmg_conn.h index 9794d836bf8..bd50c3f3bd2 100644 --- a/src/odb/src/db/tmg_conn.h +++ b/src/odb/src/db/tmg_conn.h @@ -125,49 +125,9 @@ struct tmg_connect_shape class tmg_conn { - public: - int _slicedTilePinCnt; - int _stbtx1[200]; - int _stbty1[200]; - int _stbtx2[200]; - int _stbty2[200]; - dbBTerm* _slicedTileBTerm[200]; - tmg_conn_search* _search; - tmg_conn_graph* _graph; - std::vector _rcV; - std::vector _ptV; - tmg_rcterm* _termV; - tmg_rcterm** _tstackV; - int _termN; - tmg_rcshort* _shortV; - int _shortN; - dbNet* _net; - bool _hasSWire; - bool _preserveSWire; - int _swireNetCnt; - bool _connected; - dbWireEncoder _encoder; - dbWire* _newWire; - dbTechNonDefaultRule* _net_rule; - dbTechNonDefaultRule* _path_rule; - int _misc_cnt; - int _cut_end_extMin; - int _need_short_wire_id; - std::vector> _csVV; - std::array* _csV; - int* _csNV; - int _csN; - tmg_rcpt* _first_for_clear; - - private: - int _termNmax; - int _shortNmax; - int _last_id; - int _firstSegmentAfterVia; - utl::Logger* logger_; - public: tmg_conn(utl::Logger* logger); + ~tmg_conn(); void analyzeNet(dbNet* net); void loadNet(dbNet* net); void loadWire(dbWire* wire); @@ -226,6 +186,46 @@ class tmg_conn tmg_rc* addRcPatch(int ifr, int ito); int getDisconnectedStart(); void copyWireIdToVisitedShorts(int j); + + int _slicedTilePinCnt; + int _stbtx1[200]; + int _stbty1[200]; + int _stbtx2[200]; + int _stbty2[200]; + dbBTerm* _slicedTileBTerm[200]; + tmg_conn_search* _search; + tmg_conn_graph* _graph; + std::vector _rcV; + std::vector _ptV; + tmg_rcterm* _termV; + tmg_rcterm** _tstackV; + int _termN; + tmg_rcshort* _shortV; + int _shortN; + dbNet* _net; + bool _hasSWire; + bool _preserveSWire; + int _swireNetCnt; + bool _connected; + dbWireEncoder _encoder; + dbWire* _newWire; + dbTechNonDefaultRule* _net_rule; + dbTechNonDefaultRule* _path_rule; + int _misc_cnt; + int _cut_end_extMin; + int _need_short_wire_id; + std::vector> _csVV; + std::array* _csV; + int* _csNV; + int _csN; + tmg_rcpt* _first_for_clear; + + int _termNmax; + int _shortNmax; + int _last_id; + int _firstSegmentAfterVia; + utl::Logger* logger_; + friend class tmg_conn_graph; }; class tmg_conn_search diff --git a/src/odb/src/db/tmg_conn_g.cpp b/src/odb/src/db/tmg_conn_g.cpp index 8944e65a983..909273bb76b 100644 --- a/src/odb/src/db/tmg_conn_g.cpp +++ b/src/odb/src/db/tmg_conn_g.cpp @@ -30,6 +30,8 @@ // ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE // POSSIBILITY OF SUCH DAMAGE. +#include "tmg_conn_g.h" + #include #include @@ -41,59 +43,6 @@ namespace odb { -struct tcg_edge -{ - tcg_edge* next; - tcg_edge* reverse; - tmg_rcshort* s; - int fr; - int to; - int k; // index to _rcV - bool visited; - bool skip; -}; - -struct tcg_pt -{ - tcg_edge* edges; - int ipath; - int visited; // 1= from another descent, 2+k= _stackV[k]->fr -}; - -class tmg_conn_graph -{ - public: - tmg_conn_graph(); - void init(int ptN, int shortN); - tcg_edge* newEdge(const tmg_conn* conn, int fr, int to); - tcg_edge* newShortEdge(const tmg_conn* conn, int fr, int to); - tcg_edge* getNextEdge(bool ok_to_descend); - tcg_edge* getFirstEdge(int jstart); - tcg_edge* getFirstNonShortEdge(int& jstart); - void addEdges(const tmg_conn* conn, int i0, int i1, int k); - void clearVisited(); - void relocateShorts(tmg_conn* conn); - void getEdgeRefCoord(tmg_conn* conn, tcg_edge* pe, int& rx, int& ry); - bool isBadShort(tcg_edge* pe, tmg_conn* conn); - bool dfsStart(int& j); - bool dfsNext(int* from, int* to, int* k, bool* is_short, bool* is_loop); - - public: - tcg_pt* _ptV; - int _ptN; - int* _path_vis; - tcg_edge** _stackV; - int _stackN; - - private: - tcg_edge* _e; - int _ptNmax; - int _shortNmax; - int _eNmax; - tcg_edge* _eV; - int _eN; -}; - tmg_conn_graph::tmg_conn_graph() { _ptNmax = 1024; @@ -105,6 +54,14 @@ tmg_conn_graph::tmg_conn_graph() _stackV = (tcg_edge**) malloc(_shortNmax * sizeof(tcg_edge*)); } +tmg_conn_graph::~tmg_conn_graph() +{ + free(_ptV); + free(_path_vis); + free(_eV); + free(_stackV); +} + void tmg_conn_graph::init(const int ptN, const int shortN) { if (ptN > _ptNmax) { diff --git a/src/odb/src/db/tmg_conn_g.h b/src/odb/src/db/tmg_conn_g.h new file mode 100644 index 00000000000..7c22d232514 --- /dev/null +++ b/src/odb/src/db/tmg_conn_g.h @@ -0,0 +1,94 @@ +/////////////////////////////////////////////////////////////////////////////// +// BSD 3-Clause License +// +// Copyright (c) 2019, Nefelus Inc +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// * Redistributions of source code must retain the above copyright notice, this +// list of conditions and the following disclaimer. +// +// * Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// +// * Neither the name of the copyright holder nor the names of its +// contributors may be used to endorse or promote products derived from +// this software without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#pragma once + +namespace odb { + +class tmg_conn; +struct tmg_rcshort; + +struct tcg_edge +{ + tcg_edge* next; + tcg_edge* reverse; + tmg_rcshort* s; + int fr; + int to; + int k; // index to _rcV + bool visited; + bool skip; +}; + +struct tcg_pt +{ + tcg_edge* edges; + int ipath; + int visited; // 1= from another descent, 2+k= _stackV[k]->fr +}; + +class tmg_conn_graph +{ + public: + tmg_conn_graph(); + ~tmg_conn_graph(); + void init(int ptN, int shortN); + tcg_edge* newEdge(const tmg_conn* conn, int fr, int to); + tcg_edge* newShortEdge(const tmg_conn* conn, int fr, int to); + tcg_edge* getNextEdge(bool ok_to_descend); + tcg_edge* getFirstEdge(int jstart); + tcg_edge* getFirstNonShortEdge(int& jstart); + void addEdges(const tmg_conn* conn, int i0, int i1, int k); + void clearVisited(); + void relocateShorts(tmg_conn* conn); + void getEdgeRefCoord(tmg_conn* conn, tcg_edge* pe, int& rx, int& ry); + bool isBadShort(tcg_edge* pe, tmg_conn* conn); + bool dfsStart(int& j); + bool dfsNext(int* from, int* to, int* k, bool* is_short, bool* is_loop); + + public: + tcg_pt* _ptV; + int _ptN; + int* _path_vis; + tcg_edge** _stackV; + int _stackN; + + private: + tcg_edge* _e; + int _ptNmax; + int _shortNmax; + int _eNmax; + tcg_edge* _eV; + int _eN; +}; + +} // namespace odb diff --git a/src/odb/src/db/wOrder.cpp b/src/odb/src/db/wOrder.cpp index 6fcf0bba91d..9e468efd58b 100644 --- a/src/odb/src/db/wOrder.cpp +++ b/src/odb/src/db/wOrder.cpp @@ -37,30 +37,16 @@ namespace odb { -static tmg_conn* conn = nullptr; - void orderWires(utl::Logger* logger, dbBlock* block) { - if (conn == nullptr) { - conn = new tmg_conn(logger); - } + tmg_conn conn(logger); + for (auto net : block->getNets()) { if (net->getSigType().isSupply() || net->isWireOrdered()) { continue; } - conn->analyzeNet(net); - } -} - -void orderWires(utl::Logger* logger, dbNet* net) -{ - if (conn == nullptr) { - conn = new tmg_conn(logger); - } - if (net->getSigType().isSupply()) { - return; + conn.analyzeNet(net); } - conn->analyzeNet(net); } } // namespace odb diff --git a/src/odb/src/swig/common/containers.i b/src/odb/src/swig/common/containers.i index cf71d25b01e..30494ad8dba 100644 --- a/src/odb/src/swig/common/containers.i +++ b/src/odb/src/swig/common/containers.i @@ -31,6 +31,7 @@ WRAP_DB_CONTAINER(odb::dbSBox) WRAP_DB_CONTAINER(odb::dbSWire) WRAP_DB_CONTAINER(odb::dbSite) WRAP_DB_CONTAINER(odb::dbTarget) +WRAP_DB_CONTAINER(odb::dbTech) WRAP_DB_CONTAINER(odb::dbTechLayer) WRAP_DB_CONTAINER(odb::dbTechLayerArraySpacingRule) WRAP_DB_CONTAINER(odb::dbTechLayerCornerSpacingRule) diff --git a/src/odb/test/regression_tests.tcl b/src/odb/test/regression_tests.tcl index 0c8fee35530..cf47ef95abe 100644 --- a/src/odb/test/regression_tests.tcl +++ b/src/odb/test/regression_tests.tcl @@ -38,12 +38,12 @@ record_tests { write_macro_placement smash_vias floorplan_initialize + replace_design1 design_is_routed1 design_is_routed2 design_is_routed3 design_is_routed_fail1 design_is_routed_fail2 - #odb_man_tcl_check #odb_readme_msgs_check } diff --git a/src/odb/test/replace_design1.ok b/src/odb/test/replace_design1.ok new file mode 100644 index 00000000000..47840a9e444 --- /dev/null +++ b/src/odb/test/replace_design1.ok @@ -0,0 +1,98 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +[INFO IFP-0001] Added 857 rows of 210 site FreePDK45_38x28_10R_NP_162NW_34O. +[INFO GPL-0002] DBU: 2000 +[INFO GPL-0003] SiteSize: ( 0.190 1.400 ) um +[INFO GPL-0004] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[WARNING GPL-0001] clk toplevel port is not placed! + Replace will regard clk is placed in (0, 0) +[INFO GPL-0006] NumInstances: 21 +[INFO GPL-0007] NumPlaceInstances: 21 +[INFO GPL-0008] NumFixedInstances: 0 +[INFO GPL-0009] NumDummyInstances: 0 +[INFO GPL-0010] NumNets: 11 +[INFO GPL-0011] NumPins: 38 +[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 40.000 1200.000 ) um +[INFO GPL-0013] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[INFO GPL-0016] CoreArea: 47872.020 um^2 +[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2 +[INFO GPL-0018] PlaceInstsArea: 60.382 um^2 +[INFO GPL-0019] Util: 0.126 % +[INFO GPL-0020] StdInstsArea: 60.382 um^2 +[INFO GPL-0021] MacroInstsArea: 0.000 um^2 +[InitialPlace] Iter: 1 CG residual: 0.00000008 HPWL: 74500 +[InitialPlace] Iter: 2 CG residual: 0.00000006 HPWL: 86059 +[InitialPlace] Iter: 3 CG residual: 0.00000007 HPWL: 84408 +[InitialPlace] Iter: 4 CG residual: 0.00000006 HPWL: 84180 +[InitialPlace] Iter: 5 CG residual: 0.00000008 HPWL: 83747 +Placement Analysis +--------------------------------- +total displacement 173.3 u +average displacement 8.3 u +max displacement 12.3 u +original HPWL 4.6 u +legalized HPWL 127.3 u +delta HPWL 2659 % + +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r2 (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max +Corner: slow + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ r1/CK (DFF_X1) + 0.26 0.26 v r1/Q (DFF_X1) + 0.11 0.37 v u1/Z (BUF_X1) + 0.08 0.45 v bc1/u2/Z (BUF_X1) + 0.08 0.53 v bc1/u3/Z (BUF_X1) + 0.00 0.53 v r2/D (DFF_X1) + 0.53 data arrival time + + 0.30 0.30 clock clk (rise edge) + 0.00 0.30 clock network delay (ideal) + 0.00 0.30 clock reconvergence pessimism + 0.30 ^ r2/CK (DFF_X1) + -0.16 0.14 library setup time + 0.14 data required time +--------------------------------------------------------- + 0.14 data required time + -0.53 data arrival time +--------------------------------------------------------- + -0.39 slack (VIOLATED) + + +Repair timing output passed/skipped equivalence test +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r2 (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max +Corner: slow + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ r1/CK (DFF_X1) + 0.35 0.35 ^ r1/Q (DFF_X1) + 0.12 0.47 ^ u1/Z (BUF_X1) + 0.03 0.49 v ic1/u4/ZN (INV_X1) + 0.03 0.53 ^ ic1/u5/ZN (INV_X1) + 0.00 0.53 ^ r2/D (DFF_X1) + 0.53 data arrival time + + 0.30 0.30 clock clk (rise edge) + 0.00 0.30 clock network delay (ideal) + 0.00 0.30 clock reconvergence pessimism + 0.30 ^ r2/CK (DFF_X1) + -0.07 0.23 library setup time + 0.23 data required time +--------------------------------------------------------- + 0.23 data required time + -0.53 data arrival time +--------------------------------------------------------- + -0.30 slack (VIOLATED) + + diff --git a/src/odb/test/replace_design1.tcl b/src/odb/test/replace_design1.tcl new file mode 100644 index 00000000000..c8f84edd8fe --- /dev/null +++ b/src/odb/test/replace_design1.tcl @@ -0,0 +1,32 @@ +# repair_timing -setup 2 corners +source "helpers.tcl" +define_corners fast slow +read_liberty -corner slow Nangate45/Nangate45_slow.lib +read_liberty -corner fast Nangate45/Nangate45_fast.lib +read_lef Nangate45/Nangate45.lef + +read_verilog replace_design1.v +#read_def repair_setup1.def +link_design top -hier +create_clock -period 0.3 clk + +#place the design +initialize_floorplan -die_area "0 0 40 1200" -core_area "0 0 40 1200" -site FreePDK45_38x28_10R_NP_162NW_34O +global_placement -skip_nesterov_place +detailed_placement + +source Nangate45/Nangate45.rc +set_wire_rc -layer metal3 +estimate_parasitics -placement + +report_checks -through u1z -through r2/D + +write_verilog_for_eqy replace_design1 before "None" + +replace_design bc1 inv_chain +estimate_parasitics -placement + +run_equivalence_test replace_design1 ./Nangate45/work_around_yosys/ "None" + +report_checks -through u1z -through r2/D + diff --git a/src/odb/test/replace_design1.v b/src/odb/test/replace_design1.v new file mode 100644 index 00000000000..3828f3316c0 --- /dev/null +++ b/src/odb/test/replace_design1.v @@ -0,0 +1,62 @@ +module top (clk); + input clk; + + wire r1q; + wire u1z; + wire u2z; + wire u3z; + wire u4z; + wire u5z; + wire u6z; + wire u7z; + + DFF_X1 r1 (.CK(clk), + .Q(r1q)); + BUF_X1 u1 (.A(r1q), + .Z(u1z)); + + buffer_chain bc1 (.I(u1z), .O(u3z)); + buffer_chain bc2 (.I(u1z), .O(u6z)); + + inv_chain ic1 (.I(u1z), .O(u5z)); + inv_chain ic2 (.I(u1z), .O(u7z)); + + DFF_X1 r2 (.D(u3z), + .CK(clk)); + DFF_X1 r3 (.D(u5z), + .CK(clk)); + DFF_X1 r4 (.D(u6z), + .CK(clk)); + DFF_X1 r5 (.D(u7z), + .CK(clk)); + DFF_X1 r6 (.D(r1q), + .CK(clk)); + DFF_X1 r7 (.D(r1q), + .CK(clk)); + DFF_X1 r8 (.D(r1q)); + DFF_X1 r9 (.D(r1q)); + DFF_X1 r10 (.D(r1q)); + DFF_X1 r11 (.D(r1q)); + DFF_X1 r12 (.D(r1q)); +endmodule + + +module buffer_chain (I, O); + input I; + output O; + BUF_X1 u2 (.A(I), + .Z(u2z)); + BUF_X1 u3 (.A(u2z), + .Z(O)); +endmodule // buffer_chain + +module inv_chain (I, O); + input I; + output O; + INV_X1 u4 (.A(I), + .ZN(u4z)); + INV_X1 u5 (.A(u4z), + .ZN(O)); +endmodule // inv_chain + + diff --git a/src/pad/include/pad/ICeWall.h b/src/pad/include/pad/ICeWall.h index 2c25d16236d..f66326f45f5 100644 --- a/src/pad/include/pad/ICeWall.h +++ b/src/pad/include/pad/ICeWall.h @@ -122,6 +122,7 @@ class ICeWall float turn_penalty = 2.0, int max_iterations = 10); void routeRDLDebugGUI(bool enable); + void routeRDLDebugNet(const char* net); void connectByAbutment(); @@ -200,6 +201,7 @@ class ICeWall std::unique_ptr router_; std::unique_ptr router_gui_; + odb::dbNet* rdl_net_debug_ = nullptr; constexpr static const char* fake_library_name_ = "FAKE_IO"; constexpr static const char* row_north_ = "IO_NORTH"; diff --git a/src/pad/src/ICeWall.cpp b/src/pad/src/ICeWall.cpp index 2946f25b7a4..7141ece7782 100644 --- a/src/pad/src/ICeWall.cpp +++ b/src/pad/src/ICeWall.cpp @@ -1843,6 +1843,7 @@ void ICeWall::routeRDL(odb::dbTechLayer* layer, allow45, turn_penalty, max_iterations); + router_->setRDLDebugNet(rdl_net_debug_); if (router_gui_ != nullptr) { router_gui_->setRouter(router_.get()); } @@ -1870,4 +1871,18 @@ void ICeWall::routeRDLDebugGUI(bool enable) } } +void ICeWall::routeRDLDebugNet(const char* net) +{ + auto* block = getBlock(); + if (block == nullptr) { + return; + } + + rdl_net_debug_ = block->findNet(net); + + if (router_ != nullptr) { + router_->setRDLDebugNet(rdl_net_debug_); + } +} + } // namespace pad diff --git a/src/pad/src/RDLGui.cpp b/src/pad/src/RDLGui.cpp index 7c0f9fee7db..a0ceb0291a3 100644 --- a/src/pad/src/RDLGui.cpp +++ b/src/pad/src/RDLGui.cpp @@ -80,7 +80,7 @@ void RDLGui::drawObjects(gui::Painter& painter) obs_color.a = 127; painter.setPenAndBrush(obs_color, true); - for (const auto& [rect, poly, ptr] : router_->getObstructions()) { + for (const auto& [rect, poly, ptr, src] : router_->getObstructions()) { painter.drawPolygon(poly); } } @@ -93,7 +93,11 @@ void RDLGui::drawObjects(gui::Painter& painter) GridGraph::vertex_iterator v, vend; for (boost::tie(v, vend) = boost::vertices(router_->getGraph()); v != vend; ++v) { - const odb::Point& pt = vertex_map.at(*v); + const auto find_pt = vertex_map.find(*v); + if (find_pt == vertex_map.end()) { + continue; + } + const odb::Point& pt = find_pt->second; if (box.contains({pt, pt})) { vertex.push_back(*v); } @@ -163,10 +167,10 @@ void RDLGui::drawObjects(gui::Painter& painter) if (!route->isRouted()) { continue; } - const auto& verticies = route->getRouteVerticies(); - for (size_t i = 1; i < verticies.size(); i++) { - const odb::Point& src = vertex_map.at(verticies.at(i - 1)); - const odb::Point& dst = vertex_map.at(verticies.at(i)); + const auto& route_pts = route->getRoutePoints(); + for (size_t i = 1; i < route_pts.size(); i++) { + const odb::Point& src = route_pts[i - 1]; + const odb::Point& dst = route_pts[i]; painter.drawLine(src, dst); } @@ -199,10 +203,10 @@ void RDLGui::drawObjects(gui::Painter& painter) if (!route->isRouted()) { continue; } - const auto& verticies = route->getRouteVerticies(); - for (size_t i = 1; i < verticies.size(); i++) { - const odb::Point& src = vertex_map.at(verticies.at(i - 1)); - const odb::Point& dst = vertex_map.at(verticies.at(i)); + const auto& route_pts = route->getRoutePoints(); + for (size_t i = 1; i < route_pts.size(); i++) { + const odb::Point& src = route_pts[i - 1]; + const odb::Point& dst = route_pts[i]; painter.drawLine(src, dst); } @@ -214,10 +218,10 @@ void RDLGui::drawObjects(gui::Painter& painter) if (!route->isRouted()) { continue; } - const auto& verticies = route->getRouteVerticies(); - for (size_t i = 1; i < verticies.size(); i++) { - const odb::Point& src = vertex_map.at(verticies.at(i - 1)); - const odb::Point& dst = vertex_map.at(verticies.at(i)); + const auto& route_pts = route->getRoutePoints(); + for (size_t i = 1; i < route_pts.size(); i++) { + const odb::Point& src = route_pts[i - 1]; + const odb::Point& dst = route_pts[i]; painter.setPenAndBrush( gui::Painter::green, true, gui::Painter::Brush::NONE, 2); @@ -231,6 +235,44 @@ void RDLGui::drawObjects(gui::Painter& painter) } } } + + painter.setPenAndBrush(snap_color_, true, gui::Painter::Brush::SOLID, 2); + for (const auto& [pt0, pt1] : snap_) { + painter.drawLine(pt0, pt1); + } +} + +void RDLGui::addSnap(const odb::Point& pt0, const odb::Point& pt1) +{ + snap_.emplace(pt0, pt1); +} + +void RDLGui::zoomToSnap(bool preview) +{ + if (snap_.empty()) { + return; + } + + odb::Rect zoom; + zoom.mergeInit(); + for (const auto& [p0, p1] : snap_) { + zoom.merge(odb::Rect(p0, p1)); + } + + if (preview) { + snap_color_ = gui::Painter::gray; + } else { + snap_color_ = gui::Painter::white; + } + + odb::Rect zoomto; + zoom.bloat(zoom.maxDXDY(), zoomto); + gui::Gui::get()->zoomTo(zoomto); +} + +void RDLGui::clearSnap() +{ + snap_.clear(); } void RDLGui::setRouter(RDLRouter* router) diff --git a/src/pad/src/RDLGui.h b/src/pad/src/RDLGui.h index b2e6b7d0db2..65835985e2c 100644 --- a/src/pad/src/RDLGui.h +++ b/src/pad/src/RDLGui.h @@ -35,6 +35,8 @@ #pragma once +#include + #include "gui/gui.h" namespace pad { @@ -53,11 +55,18 @@ class RDLGui : public gui::Renderer const char* getDisplayControlGroupName() override { return "RDL Router"; } + void clearSnap(); + void addSnap(const odb::Point& pt0, const odb::Point& pt1); + void zoomToSnap(bool preview); + void pause(bool timeout) const; private: RDLRouter* router_ = nullptr; + std::set> snap_; + gui::Painter::Color snap_color_ = gui::Painter::white; + static constexpr const char* draw_vertex_ = "Vertices"; static constexpr const char* draw_edge_ = "Edges"; static constexpr const char* draw_obs_ = "Obstructions"; diff --git a/src/pad/src/RDLRoute.cpp b/src/pad/src/RDLRoute.cpp index ebbbb1eb61f..ddd71dc13e4 100644 --- a/src/pad/src/RDLRoute.cpp +++ b/src/pad/src/RDLRoute.cpp @@ -41,12 +41,8 @@ namespace pad { RDLRoute::RDLRoute(odb::dbITerm* source, - const std::vector& dests, - const std::map& vertex_point_map) - : iterm_(source), - priority_(0), - vertex_point_map_(vertex_point_map), - terminals_(dests) + const std::vector& dests) + : iterm_(source), priority_(0), terminals_(dests) { terminals_.erase(std::remove_if(terminals_.begin(), terminals_.end(), @@ -116,24 +112,47 @@ bool RDLRoute::compare(const std::shared_ptr& other) const } void RDLRoute::setRoute( + const std::map& vertex_point_map, const std::vector& vertex, - const std::set>& removed_edges, + const std::vector& removed_edges, const RouteTarget* source, - const RouteTarget* target) + const RouteTarget* target, + const RDLRouter::TerminalAccess& access_source, + const RDLRouter::TerminalAccess& access_dest) { route_vertex_ = vertex; + for (const auto& vertex : route_vertex_) { + route_pts_.push_back(vertex_point_map.at(vertex)); + } route_edges_ = removed_edges; route_source_ = source; route_dest_ = target; + access_source_ = access_source; + access_dest_ = access_dest; + + // Forward removed edges from access + for (const auto& edge : access_source_.removed_edges) { + if (contains(edge.source) || contains(edge.target)) { + route_edges_.push_back(edge); + } + } + for (const auto& edge : access_dest_.removed_edges) { + if (contains(edge.source) || contains(edge.target)) { + route_edges_.push_back(edge); + } + } } void RDLRoute::resetRoute() { route_vertex_.clear(); + route_pts_.clear(); route_edges_.clear(); route_source_ = nullptr; route_dest_ = nullptr; route_pending_ = true; + access_source_ = {}; + access_dest_ = {}; next_ = terminals_.begin(); } @@ -156,8 +175,7 @@ bool RDLRoute::isIntersecting(RDLRoute* other, int extent) const const int margin = (priority_ + 1) * extent; // check intersection with routed other - for (const auto& vertex : other->getRouteVerticies()) { - const odb::Point& pt = vertex_point_map_.at(vertex); + for (const auto& pt : other->getRoutePoints()) { const odb::Rect rect( pt.x() - margin, pt.y() - margin, pt.x() + margin, pt.y() + margin); @@ -169,4 +187,55 @@ bool RDLRoute::isIntersecting(RDLRoute* other, int extent) const return false; } +bool RDLRoute::isIntersecting(const odb::Line& line, int extent) const +{ + if (!isRouted()) { + return false; + } + + const std::vector line_segment = line.getPoints(); + + for (const auto& pt : route_pts_) { + const odb::Rect rect( + pt.x() - extent, pt.y() - extent, pt.x() + extent, pt.y() + extent); + + if (boost::geometry::intersects(line_segment, rect)) { + return true; + } + } + + return false; +} + +bool RDLRoute::isIntersecting(const odb::Point& point, int extent) const +{ + if (!isRouted()) { + return false; + } + + extent /= 2; + + const odb::Rect point_rect(point.x() - extent, + point.y() - extent, + point.x() + extent, + point.y() + extent); + + for (const auto& pt : route_pts_) { + const odb::Rect rect( + pt.x() - extent, pt.y() - extent, pt.x() + extent, pt.y() + extent); + + if (point_rect.intersects(rect)) { + return true; + } + } + + return false; +} + +bool RDLRoute::contains(const odb::Point& pt) const +{ + return std::find(route_pts_.begin(), route_pts_.end(), pt) + != route_pts_.end(); +} + } // namespace pad diff --git a/src/pad/src/RDLRoute.h b/src/pad/src/RDLRoute.h index 92a6cad13bd..a6893e7b049 100644 --- a/src/pad/src/RDLRoute.h +++ b/src/pad/src/RDLRoute.h @@ -51,15 +51,15 @@ namespace pad { class RDLRoute { public: - RDLRoute(odb::dbITerm* source, - const std::vector& dests, - const std::map& vertex_point_map); - - void setRoute( - const std::vector& vertex, - const std::set>& removed_edges, - const RouteTarget* source, - const RouteTarget* target); + RDLRoute(odb::dbITerm* source, const std::vector& dests); + + void setRoute(const std::map& vertex_point_map, + const std::vector& vertex, + const std::vector& removed_edges, + const RouteTarget* source, + const RouteTarget* target, + const RDLRouter::TerminalAccess& access_source, + const RDLRouter::TerminalAccess& access_dest); void resetRoute(); bool isRouted() const { return !route_vertex_.empty(); } @@ -93,15 +93,25 @@ class RDLRoute { return route_vertex_; } - const std::set>& getRouteEdges() - const + const std::vector& getRoutePoints() const { return route_pts_; } + const std::vector& getRouteEdges() const { return route_edges_; } const RouteTarget* getRouteTargetSource() const { return route_source_; } const RouteTarget* getRouteTargetDestination() const { return route_dest_; } + const RDLRouter::TerminalAccess& getTerminalAccessSource() const + { + return access_source_; + } + const RDLRouter::TerminalAccess& getTerminalAccessDestination() const + { + return access_dest_; + } bool isIntersecting(RDLRoute* other, int extent) const; + bool isIntersecting(const odb::Line& line, int extent) const; + bool isIntersecting(const odb::Point& point, int extent) const; private: odb::dbITerm* iterm_; @@ -109,15 +119,19 @@ class RDLRoute bool route_pending_; - const std::map& vertex_point_map_; - std::vector terminals_; std::vector::iterator next_; std::vector route_vertex_; - std::set> route_edges_; + std::vector route_pts_; + std::vector route_edges_; const RouteTarget* route_source_; const RouteTarget* route_dest_; + + RDLRouter::TerminalAccess access_source_; + RDLRouter::TerminalAccess access_dest_; + + bool contains(const odb::Point& pt) const; }; } // namespace pad diff --git a/src/pad/src/RDLRouter.cpp b/src/pad/src/RDLRouter.cpp index c186fb54be6..1044212c2aa 100644 --- a/src/pad/src/RDLRouter.cpp +++ b/src/pad/src/RDLRouter.cpp @@ -226,7 +226,7 @@ void RDLRouter::buildIntialRouteSet() continue; } - routes_.emplace_back(new RDLRoute(iterm, iterm_pairs, vertex_point_map_)); + routes_.emplace_back(new RDLRoute(iterm, iterm_pairs)); } } } @@ -346,6 +346,9 @@ int RDLRouter::reportFailedRoutes( void RDLRouter::route(const std::vector& nets) { + if (debug_net_ != nullptr) { + logger_->report("RDL Router debugging net: {}", debug_net_->getName()); + } routing_targets_.clear(); // Build list of routing targets @@ -368,6 +371,15 @@ void RDLRouter::route(const std::vector& nets) // build graph makeGraph(); + // Determine access points + for (auto& [net, iterm_targets] : routing_targets_) { + for (auto& [iterm, targets] : iterm_targets) { + for (auto& target : targets) { + populateTerminalAccessPoints(target); + } + } + } + if (gui_ != nullptr) { gui_->pause(false); } @@ -448,9 +460,10 @@ void RDLRouter::route(const std::vector& nets) utl::PAD, "Router", 2, - "Routing {} -> {} : ({:.3f}um) / {} target pairs / {} priority", + "Routing {} -> {} ({}): ({:.3f}um) / {} target pairs / {} priority", src->getName(), dst->getName(), + net->getName(), distance(src->getBBox().center(), dst->getBBox().center()) / dbus, targets.size(), route->getPriority()); @@ -470,10 +483,10 @@ void RDLRouter::route(const std::vector& nets) points.target1->center.y() / dbus, distance(points) / dbus); - const auto added_edges0 - = insertTerminalVertex(*points.target0, *points.target1); - const auto added_edges1 - = insertTerminalVertex(*points.target1, *points.target0); + const TerminalAccess access0 + = insertTerminalAccess(*points.target0, *points.target1); + const TerminalAccess access1 + = insertTerminalAccess(*points.target1, *points.target0); auto route_vextex = run(points.target0->center, points.target1->center); @@ -486,8 +499,13 @@ void RDLRouter::route(const std::vector& nets) "Route segments {}", route_vextex.size()); const auto route_edges = commitRoute(route_vextex); - route->setRoute( - route_vextex, route_edges, points.target0, points.target1); + route->setRoute(vertex_point_map_, + route_vextex, + route_edges, + points.target0, + points.target1, + access0, + access1); // record cover instance if (isCoverTerm(src)) { @@ -507,8 +525,8 @@ void RDLRouter::route(const std::vector& nets) routed_pairs[dst] = src; } - removeTerminalEdges(added_edges0); - removeTerminalEdges(added_edges1); + removeTerminalAccess(access0); + removeTerminalAccess(access1); if (route->isRouted()) { break; @@ -521,10 +539,12 @@ void RDLRouter::route(const std::vector& nets) route_queue.push(route); } } + } - if (gui_ != nullptr && logger_->debugCheck(utl::PAD, "Router", 3)) { - gui_->pause(route->isRouted()); - } + if (gui_ != nullptr + && (logger_->debugCheck(utl::PAD, "Router", 3) || isDebugNet(net))) { + const bool use_timeout = route->isRouted() && !isDebugNet(net); + gui_->pause(use_timeout); } if (route_queue.empty() && iteration_count < max_router_iterations_) { @@ -550,7 +570,8 @@ void RDLRouter::route(const std::vector& nets) continue; } - if (gui_ != nullptr && logger_->debugCheck(utl::PAD, "Router", 2)) { + if (gui_ != nullptr + && (logger_->debugCheck(utl::PAD, "Router", 2) || isDebugNet(net))) { gui_->pause(false); } @@ -643,7 +664,7 @@ void RDLRouter::route(const std::vector& nets) for (const auto& route : routes_) { if (route->isRouted()) { writeToDb(route->getNet(), - route->getRouteVerticies(), + route->getRoutePoints(), *route->getRouteTargetSource(), *route->getRouteTargetDestination()); } @@ -654,256 +675,342 @@ void RDLRouter::route(const std::vector& nets) } } -void RDLRouter::removeTerminalEdges(const std::vector& edges) +void RDLRouter::removeTerminalAccess(const TerminalAccess& access) { - for (const auto& [p0, p1] : edges) { - boost::remove_edge(point_vertex_map_[p0], point_vertex_map_[p1], graph_); + for (const auto& [pt0, pt1] : access.added_edges) { + boost::remove_edge(point_vertex_map_[pt0], point_vertex_map_[pt1], graph_); + } + + for (const auto& pt : access.added_points) { + removeGraphVertex(pt); + } + + for (const auto& [pt0, pt1, weight] : access.removed_edges) { + addGraphEdge(pt0, pt1, weight, true, true); } } -std::vector RDLRouter::insertTerminalVertex( - const RouteTarget& target, - const RouteTarget& source) +std::set RDLRouter::generateTerminalAccessPoints( + const odb::Point& pt, + bool do_x) const { - struct GridSnap - { - int pos; - int index; - }; - - const double dbus = block_->getDbUnitsPerMicron(); + std::set pts; - auto snap = [](const int pos, const std::vector& grid) -> GridSnap { - int dist = std::numeric_limits::max(); - for (int i = 0; i < grid.size(); i++) { - const int p = grid[i]; - const int new_dist = std::abs(p - pos); - if (new_dist < dist) { - dist = new_dist; - } else { - return {grid[i - 1], i - 1}; + if (do_x) { + for (const int x : x_grid_) { + const odb::Point check(x, pt.y()); + if (!isEdgeObstructed(check, check, false)) { + pts.insert(check); } } + } else { + for (const int y : y_grid_) { + const odb::Point check(pt.x(), y); + if (!isEdgeObstructed(check, check, false)) { + pts.insert(check); + } + } + } - return {-1, -1}; - }; + return pts; +} - const GridSnap x_snap = snap(target.center.x(), x_grid_); - const GridSnap y_snap = snap(target.center.y(), y_grid_); +template +static odb::Point getValidGridPoint( + InputIt begin, + InputIt end, + const std::function& valid) +{ + odb::Point snap; + while (begin != end) { + if (valid(*begin)) { + snap = *begin; + } else { + break; + } + begin++; + } - const odb::Point snapped(x_snap.pos, y_snap.pos); + return snap; +} - debugPrint(logger_, - utl::PAD, - "Router_snap", - 1, - "Snap ({}, {}) -> ({}, {})", - target.center.x(), - target.center.y(), - snapped.x(), - snapped.y()); - - if (x_snap.index == -1 || y_snap.index == -1) { - logger_->error(utl::PAD, - 8, - "Unable to snap ({:.3f}um, {:.3f}um) to routing grid.", - target.center.x() / dbus, - target.center.y() / dbus); - } - - addGraphVertex(snapped); - - odb::Rect iterm_box; - target.shape.bloat(getBloatFactor(), iterm_box); - - const float route_dist = distance(target.center, source.center); - - auto add_snap_edge - = [this, &source, &route_dist, &snapped](const std::vector& grid, - const int index, - const int const_pos, - const int dindex, - const bool is_x, - const int term_boundary, - odb::Point& edge_snap) -> bool { - int idx = index + dindex; - bool found = false; - while (0 <= idx && idx < grid.size()) { - const int grid_pt = grid[idx]; - bool inside_terminal; - if (dindex > 0) { - inside_terminal = grid_pt <= term_boundary; - } else { - inside_terminal = term_boundary <= grid_pt; - } +void RDLRouter::populateTerminalAccessPoints(RouteTarget& target) const +{ + // determine new access point in graph + std::set snap_pts; + + const std::set x_pts + = generateTerminalAccessPoints(target.center, true); + snap_pts.insert(getValidGridPoint( + x_pts.begin(), x_pts.end(), [&target](const odb::Point& pt) { + return pt.x() < target.center.x(); + })); + snap_pts.insert(getValidGridPoint( + x_pts.rbegin(), x_pts.rend(), [&target](const odb::Point& pt) { + return pt.x() > target.center.x(); + })); + + const std::set y_pts + = generateTerminalAccessPoints(target.center, false); + snap_pts.insert(getValidGridPoint( + y_pts.begin(), y_pts.end(), [&target](const odb::Point& pt) { + return pt.y() < target.center.y(); + })); + snap_pts.insert(getValidGridPoint( + y_pts.rbegin(), y_pts.rend(), [&target](const odb::Point& pt) { + return pt.y() > target.center.y(); + })); + + // Remove snap points that would cause a violation + // insersects an obstruction + // insersects another edge + for (auto snap_itr = snap_pts.begin(); snap_itr != snap_pts.end();) { + const odb::Line line(target.center, *snap_itr); + bool erase = obstructions_.qbegin( + boost::geometry::index::intersects(line.getPoints()) + && boost::geometry::index::satisfies( + [&target](const ObsValue& value) { + return std::get<3>(value) != target.terminal; + })) + != obstructions_.qend(); + + if (!erase) { + for (auto itr = vertex_grid_tree_.qbegin( + boost::geometry::index::intersects(line.getPoints())); + itr != vertex_grid_tree_.qend(); + itr++) { + const odb::Point& pt = vertex_point_map_.at(itr->second); + if (pt.x() == snap_itr->x() || pt.y() == snap_itr->y()) { + continue; + } - if (!inside_terminal) { - odb::Point pt; - if (is_x) { - pt = odb::Point(grid_pt, const_pos); - } else { - pt = odb::Point(const_pos, grid_pt); + for (const auto& edge : getVertexEdges(itr->second)) { + const odb::Point& pt0 = vertex_point_map_.at(edge.m_source); + const odb::Point& pt1 = vertex_point_map_.at(edge.m_target); + const odb::Line edge_line(pt0, pt1); + + if (boost::geometry::intersects(line.getPoints(), + edge_line.getPoints())) { + erase = true; + break; + } } + } + } - auto find_vertex = point_vertex_map_.find(pt); - if (find_vertex != point_vertex_map_.end()) { - edge_snap = pt; - found = true; - break; + if (erase) { + snap_itr = snap_pts.erase(snap_itr); + } else { + snap_itr++; + } + } + + // Check for intersections with non-rect edges + // if atleast one passes a non-rect edge, remove all violating points + std::vector iterm_shapes; + const auto xform = target.terminal->getInst()->getTransform(); + for (auto* mpin : target.terminal->getMTerm()->getMPins()) { + for (auto* box : mpin->getPolygonGeometry()) { + if (box->getTechLayer() == target.layer) { + odb::Polygon poly = box->getPolygon(); + xform.apply(poly); + iterm_shapes.push_back(poly); + } + } + } + if (!iterm_shapes.empty()) { + std::set poly_intersect; + for (const auto& snap_pt : snap_pts) { + const odb::Line access(target.center, snap_pt); + for (const auto& poly : iterm_shapes) { + const auto& points = poly.getPoints(); + for (int i = 1; i < points.size(); i++) { + const odb::Line edge(points[i - 1], points[i]); + + // ignore rect edges + if (edge.pt0().x() == edge.pt1().x() + || edge.pt0().y() == edge.pt1().y()) { + continue; + } + + if (boost::geometry::intersects(access.getPoints(), + edge.getPoints())) { + poly_intersect.insert(snap_pt); + } } } - idx += dindex; } - debugPrint(logger_, - utl::PAD, - "Router_snap", - 2, - "Finding snap point ({}, {}) with must be outside {} and is " - "searching in {} direction by {} and start was {}.", - snapped.x(), - snapped.y(), - term_boundary, - is_x ? "x" : "y", - dindex, - grid[index]); + // check if points can be removed + if (poly_intersect.size() < snap_pts.size()) { + for (const odb::Point& pt : poly_intersect) { + snap_pts.erase(pt); + } + } + } - if (!found) { - return false; + if (logger_->debugCheck(utl::PAD, "Terminal", 1) && gui_ != nullptr) { + for (const auto& snap : snap_pts) { + gui_->addSnap(target.center, snap); } + gui_->zoomToSnap(true); + gui_->pause(!isDebugNet(target.terminal->getNet())); + gui_->clearSnap(); + } + + target.grid_access = std::move(snap_pts); +} - const float weight_scale = std::min(distance(edge_snap, source.center), - distance(snapped, source.center)) - / route_dist; +RDLRouter::TerminalAccess RDLRouter::insertTerminalAccess( + const RouteTarget& target, + const RouteTarget& source) +{ + TerminalAccess access; + + // Remove snap points that would cause a violation + // insersects another route + std::set snap_pts = target.grid_access; + for (auto snap_itr = snap_pts.begin(); snap_itr != snap_pts.end();) { + bool erase = false; + + for (const auto& route : routes_) { + if (route->isIntersecting(*snap_itr, spacing_ + width_)) { + erase = true; + break; + } + } debugPrint(logger_, utl::PAD, - "Router_snap", + "Terminal", 2, - "Adding edge ({}, {}) -> ({}, {}) with scale {}", - snapped.x(), - snapped.y(), - edge_snap.x(), - edge_snap.y(), - weight_scale); - - return addGraphEdge(snapped, edge_snap, weight_scale, false); - }; - std::set edge_points; - odb::Point edge_pt; - if (add_snap_edge(x_grid_, - x_snap.index, - snapped.y(), - -1, - true, - iterm_box.xMin(), - edge_pt)) { - edge_points.insert(edge_pt); - } - if (add_snap_edge(x_grid_, - x_snap.index, - snapped.y(), - 1, - true, - iterm_box.xMax(), - edge_pt)) { - edge_points.insert(edge_pt); - } - if (add_snap_edge(y_grid_, - y_snap.index, - snapped.x(), - -1, - false, - iterm_box.yMin(), - edge_pt)) { - edge_points.insert(edge_pt); - } - if (add_snap_edge(y_grid_, - y_snap.index, - snapped.x(), - 1, - false, - iterm_box.yMax(), - edge_pt)) { - edge_points.insert(edge_pt); - } - - if (edge_points.empty()) { - logger_->error( - utl::PAD, - 9, - "No edges added to routing grid to access ({:.3f}um, {:.3f}um).", - target.center.x() / dbus, - target.center.y() / dbus); + "Removing access to ({}, {})", + snap_itr->x(), + snap_itr->y()); + + if (erase) { + snap_itr = snap_pts.erase(snap_itr); + } else { + snap_itr++; + } } - const odb::Point& target_pt = target.center; - const auto& snap_v = point_vertex_map_[snapped]; + if (logger_->debugCheck(utl::PAD, "Terminal", 1) && gui_ != nullptr) { + for (const auto& snap : snap_pts) { + gui_->addSnap(target.center, snap); + } + gui_->zoomToSnap(true); + gui_->pause(!isDebugNet(target.terminal->getNet())); + gui_->clearSnap(); + } - std::vector added_edges; + // prepare routing graph + if (point_vertex_map_.find(target.center) == point_vertex_map_.end()) { + if (addGraphVertex(target.center)) { + access.added_points.insert(target.center); + } + } - auto get_weight = [&source, &route_dist](const odb::Point& p0, - const odb::Point& p1) -> float { - return std::min(distance(p0, source.center), distance(p1, source.center)) - / route_dist; - }; + for (const odb::Point& snap : snap_pts) { + std::vector vertex_to_modify; - addGraphVertex(target_pt); - // Add edges to hit center - for (const auto& pt : edge_points) { - added_edges.push_back({pt, snapped}); - const odb::Rect edge_shape(pt, snapped); - if (edge_shape.xMin() <= target_pt.x() - && target_pt.x() <= edge_shape.xMax()) { - // Remove horizontal edge - const auto& vh = point_vertex_map_[pt]; - boost::remove_edge(snap_v, vh, graph_); - // Add middle point vertex - const odb::Point new_pt(target_pt.x(), pt.y()); - addGraphVertex(new_pt); - // Add two new horizontal edges - if (addGraphEdge(pt, new_pt, get_weight(pt, new_pt), false)) { - added_edges.push_back({pt, new_pt}); - } - if (addGraphEdge(new_pt, snapped, get_weight(new_pt, snapped), false)) { - added_edges.push_back({new_pt, snapped}); - } - // Add edge to target - if (addGraphEdge( - new_pt, target_pt, get_weight(new_pt, target_pt), false)) { - added_edges.push_back({new_pt, target_pt}); + // remove intersecting edges + for (auto itr + = vertex_grid_tree_.qbegin(boost::geometry::index::intersects(snap)); + itr != vertex_grid_tree_.qend(); + itr++) { + const auto& pt = vertex_point_map_[itr->second]; + if (pt.x() == snap.x() || pt.y() == snap.y()) { + vertex_to_modify.push_back(itr->second); } - } else if (edge_shape.yMin() <= target_pt.y() - && target_pt.y() <= edge_shape.yMax()) { - // Remove vertical edge - const auto& vv = point_vertex_map_[pt]; - boost::remove_edge(snap_v, vv, graph_); - // Add middle point vertex - const odb::Point new_pt(pt.x(), target_pt.y()); - addGraphVertex(new_pt); - // Add two new vertical edges - if (addGraphEdge(pt, new_pt, get_weight(pt, new_pt), false)) { - added_edges.push_back({pt, new_pt}); + } + + for (const auto& vertex : vertex_to_modify) { + for (const auto& edge : getVertexEdges(vertex)) { + if (std::find( + vertex_to_modify.begin(), vertex_to_modify.end(), edge.m_source) + != vertex_to_modify.end() + && std::find(vertex_to_modify.begin(), + vertex_to_modify.end(), + edge.m_target) + != vertex_to_modify.end()) { + access.removed_edges.push_back(removeGraphEdge(edge)); + } } - if (addGraphEdge(new_pt, snapped, get_weight(new_pt, snapped), false)) { - added_edges.push_back({new_pt, snapped}); + } + + // add new edges + if (addGraphVertex(snap)) { + access.added_points.insert(snap); + } + + // target to new + if (addGraphEdge(snap, target.center, 1.0, false)) { + access.added_edges.push_back(Edge{snap, target.center}); + } + + for (const auto& vertex : vertex_to_modify) { + const odb::Point& pt = vertex_point_map_[vertex]; + if (addGraphEdge(snap, pt)) { + access.added_edges.push_back(Edge{snap, pt}); } - // Add edge to target - if (addGraphEdge( - new_pt, target_pt, get_weight(new_pt, target_pt), false)) { - added_edges.push_back({new_pt, target_pt}); + + if (allow45_) { + // remove accute edges + for (const auto& edge : getVertexEdges(vertex)) { + bool remove = false; + + const auto other + = edge.m_source == vertex ? edge.m_target : edge.m_source; + const odb::Point& other_pt = vertex_point_map_[other]; + if (other_pt == snap) { + continue; + } + if (pt.x() == other_pt.x() || pt.y() == other_pt.y()) { + // right angle + continue; + } + const int snap_dx = pt.x() - snap.x(); + const int snap_dy = pt.y() - snap.y(); + + const int edge_dx = pt.x() - other_pt.x(); + const int edge_dy = pt.y() - other_pt.y(); + + if (snap_dy == 0) { + if ((snap_dx < 0 && edge_dx < 0) || (snap_dx > 0 && edge_dx > 0)) { + remove = true; + } + } else { + if ((snap_dy < 0 && edge_dy < 0) || (snap_dy > 0 && edge_dy > 0)) { + remove = true; + } + } + + if (remove) { + access.removed_edges.push_back(removeGraphEdge(edge)); + } + } } } + + if (logger_->debugCheck(utl::PAD, "Terminal", 1) && gui_ != nullptr) { + gui_->addSnap(target.center, snap); + } + } + + if (logger_->debugCheck(utl::PAD, "Terminal", 1) && gui_ != nullptr) { + gui_->zoomToSnap(false); + gui_->pause(!isDebugNet(target.terminal->getNet())); + gui_->clearSnap(); } - return added_edges; + return access; } -void RDLRouter::uncommitRoute( - const std::set>& route) +void RDLRouter::uncommitRoute(const std::vector& route) { for (const auto& [p0, p1, weight] : route) { - addGraphEdge(p0, p1, weight); + addGraphEdge(p0, p1, weight, false, false); } } @@ -965,7 +1072,7 @@ std::set RDLRouter::getVertexEdges(const grid_vertex& vertex) const return edges; } -std::set> RDLRouter::commitRoute( +std::vector RDLRouter::commitRoute( const std::vector& route) { std::set edges; @@ -1033,19 +1140,26 @@ std::set> RDLRouter::commitRoute( } } - std::set> removed_edges; + std::vector removed_edges; + removed_edges.reserve(edges.size()); for (const auto& edge : edges) { - const auto weight = graph_weight_[edge]; - removed_edges.emplace(vertex_point_map_[edge.m_source], - vertex_point_map_[edge.m_target], - weight - / distance(vertex_point_map_[edge.m_source], - vertex_point_map_[edge.m_target])); - boost::remove_edge(edge, graph_); + removed_edges.push_back(removeGraphEdge(edge)); } return removed_edges; } +RDLRouter::GridEdge RDLRouter::removeGraphEdge(const grid_edge& edge) +{ + const float weight = graph_weight_[edge]; + boost::remove_edge(edge, graph_); + + return {vertex_point_map_[edge.m_source], + vertex_point_map_[edge.m_target], + weight + / distance(vertex_point_map_[edge.m_source], + vertex_point_map_[edge.m_target])}; +} + std::vector RDLRouter::run(const odb::Point& source, const odb::Point& dest) { @@ -1219,7 +1333,8 @@ void RDLRouter::makeGraph() } bool RDLRouter::isEdgeObstructed(const odb::Point& pt0, - const odb::Point& pt1) const + const odb::Point& pt1, + bool use_routes) const { using Line = boost::geometry::model::segment; const Line line(pt0, pt1); @@ -1232,11 +1347,22 @@ bool RDLRouter::isEdgeObstructed(const odb::Point& pt0, return true; } } + if (use_routes) { + for (const auto& route : routes_) { + if (route->isIntersecting(odb::Line(pt0, pt1), 0)) { + return true; + } + } + } return false; } -void RDLRouter::addGraphVertex(const odb::Point& point) +bool RDLRouter::addGraphVertex(const odb::Point& point) { + if (point_vertex_map_.find(point) != point_vertex_map_.end()) { + return false; + } + auto idx = boost::add_vertex(graph_); debugPrint(logger_, utl::PAD, @@ -1248,12 +1374,35 @@ void RDLRouter::addGraphVertex(const odb::Point& point) idx); point_vertex_map_[point] = idx; vertex_point_map_[idx] = point; + + return true; +} + +void RDLRouter::removeGraphVertex(const odb::Point& point) +{ + auto find_idx = point_vertex_map_.find(point); + if (find_idx == point_vertex_map_.end()) { + return; + } + + auto idx = find_idx->second; + debugPrint(logger_, + utl::PAD, + "Router_vertex", + 1, + "Removing point ({}, {}) as vertex {}", + point.x(), + point.y(), + idx); + point_vertex_map_.erase(point); + vertex_point_map_.erase(idx); } bool RDLRouter::addGraphEdge(const odb::Point& point0, const odb::Point& point1, float edge_weight_scale, - bool check_obstructions) + bool check_obstructions, + bool check_routes) { auto point0check = point_vertex_map_.find(point0); if (point0check == point_vertex_map_.end()) { @@ -1283,7 +1432,7 @@ bool RDLRouter::addGraphEdge(const odb::Point& point0, return false; } - if (check_obstructions && isEdgeObstructed(point0, point1)) { + if (check_obstructions && isEdgeObstructed(point0, point1, check_routes)) { debugPrint(logger_, utl::PAD, "Router_edge", @@ -1332,7 +1481,7 @@ bool RDLRouter::addGraphEdge(const odb::Point& point0, } std::vector> RDLRouter::simplifyRoute( - const std::vector& route) const + const std::vector& route) const { std::vector> wire; @@ -1362,13 +1511,12 @@ std::vector> RDLRouter::simplifyRoute( return Direction::ANGLE135; }; - wire.emplace_back(vertex_point_map_.at(route[0]), - vertex_point_map_.at(route[1])); + wire.emplace_back(route[0], route[1]); Direction direction = get_direction(wire.begin()->first, wire.begin()->second); for (size_t i = 2; i < route.size(); i++) { odb::Point s = wire.rbegin()->second; - odb::Point t = vertex_point_map_.at(route[i]); + odb::Point t = route[i]; Direction segment_direction = get_direction(s, t); if (direction == segment_direction) { @@ -1434,7 +1582,7 @@ odb::Rect RDLRouter::correctEndPoint(const odb::Rect& route, } void RDLRouter::writeToDb(odb::dbNet* net, - const std::vector& route, + const std::vector& route, const RouteTarget& source, const RouteTarget& target) { @@ -1553,24 +1701,28 @@ void RDLRouter::populateObstructions(const std::vector& nets) const int bloat = getBloatFactor(); auto insert_obstruction_rect - = [&obstructions, bloat](const odb::Rect& rect, odb::dbNet* net) { + = [&obstructions, bloat]( + const odb::Rect& rect, odb::dbNet* net, odb::dbObject* src) { odb::Rect bloated; rect.bloat(bloat, bloated); - obstructions.emplace_back(bloated, bloated, net); + obstructions.emplace_back(bloated, bloated, net, src); }; - auto insert_obstruction_oct = [&obstructions, bloat](const odb::Oct& oct, - odb::dbNet* net) { - const odb::Oct bloat_oct = oct.bloat(bloat); + auto insert_obstruction_oct + = [&obstructions, bloat]( + const odb::Oct& oct, odb::dbNet* net, odb::dbObject* src) { + const odb::Oct bloat_oct = oct.bloat(bloat); - obstructions.emplace_back(bloat_oct.getEnclosingRect(), bloat_oct, net); - }; + obstructions.emplace_back( + bloat_oct.getEnclosingRect(), bloat_oct, net, src); + }; auto insert_obstruction_poly - = [&obstructions, bloat](const odb::Polygon& poly, odb::dbNet* net) { + = [&obstructions, bloat]( + const odb::Polygon& poly, odb::dbNet* net, odb::dbObject* src) { const odb::Polygon bloat_poly = poly.bloat(bloat); obstructions.emplace_back( - bloat_poly.getEnclosingRect(), bloat_poly, net); + bloat_poly.getEnclosingRect(), bloat_poly, net, src); }; // Get placed instanced obstructions @@ -1589,7 +1741,7 @@ void RDLRouter::populateObstructions(const std::vector& nets) odb::Polygon poly = obs->getPolygon(); xform.apply(poly); - insert_obstruction_poly(poly, nullptr); + insert_obstruction_poly(poly, nullptr, nullptr); } for (auto* obs : master->getObstructions(false)) { if (obs->getTechLayer() != layer_) { @@ -1598,13 +1750,13 @@ void RDLRouter::populateObstructions(const std::vector& nets) odb::Rect rect = obs->getBox(); xform.apply(rect); - insert_obstruction_rect(rect, nullptr); + insert_obstruction_rect(rect, nullptr, nullptr); } for (auto* iterm : inst->getITerms()) { auto* net = iterm->getNet(); for (const auto& poly : getITermShapes(iterm)) { - insert_obstruction_poly(poly, net); + insert_obstruction_poly(poly, net, iterm); } } } @@ -1623,9 +1775,9 @@ void RDLRouter::populateObstructions(const std::vector& nets) } if (box->getDirection() == odb::dbSBox::OCTILINEAR) { - insert_obstruction_oct(box->getOct(), net); + insert_obstruction_oct(box->getOct(), net, nullptr); } else { - insert_obstruction_rect(box->getBox(), net); + insert_obstruction_rect(box->getBox(), net, nullptr); } } } @@ -1638,7 +1790,7 @@ void RDLRouter::populateObstructions(const std::vector& nets) continue; } - insert_obstruction_rect(box->getBox(), nullptr); + insert_obstruction_rect(box->getBox(), nullptr, nullptr); } // Add via obstructions when using access vias @@ -1646,9 +1798,9 @@ void RDLRouter::populateObstructions(const std::vector& nets) for (const auto& [iterm, targets] : routing_pairs) { for (const auto& target : targets) { if (isCoverTerm(target.terminal) && bump_accessvia_ != nullptr) { - insert_obstruction_rect(target.shape, net); + insert_obstruction_rect(target.shape, net, iterm); } else if (!isCoverTerm(target.terminal) && pad_accessvia_ != nullptr) { - insert_obstruction_rect(target.shape, net); + insert_obstruction_rect(target.shape, net, iterm); } } } @@ -1737,7 +1889,7 @@ RDLRouter::generateRoutingTargets(odb::dbNet* net) const if (use_via) { const odb::Rect via_rect = box.getEnclosingRect(); targets[iterm].push_back( - {via_rect.center(), via_rect, iterm, found_layer}); + {via_rect.center(), via_rect, iterm, found_layer, {}}); } else { // find rectangles that make suitable targets const odb::Polygon small_poly = box.bloat(-width_ / 2); @@ -1763,7 +1915,7 @@ RDLRouter::generateRoutingTargets(odb::dbNet* net) const if (pt0.x() == pt1.x() || pt0.y() == pt1.y()) { const odb::Rect rect = make_rect(pt0, pt1); targets[iterm].push_back( - {rect.center(), rect, iterm, found_layer}); + {rect.center(), rect, iterm, found_layer, {}}); targets_added = true; } } @@ -1775,7 +1927,7 @@ RDLRouter::generateRoutingTargets(odb::dbNet* net) const const auto& pt1 = points[i]; const odb::Rect rect = make_rect(pt0, pt1); targets[iterm].push_back( - {rect.center(), rect, iterm, found_layer}); + {rect.center(), rect, iterm, found_layer, {}}); } } } @@ -1799,7 +1951,7 @@ RDLRouter::generateRoutingTargets(odb::dbNet* net) const } xform.apply(box); - targets[iterm].push_back({box.center(), box, iterm, found_layer}); + targets[iterm].push_back({box.center(), box, iterm, found_layer, {}}); } } } @@ -1823,4 +1975,13 @@ RDLRouter::generateRoutingTargets(odb::dbNet* net) const return targets; } +bool RDLRouter::isDebugNet(odb::dbNet* net) const +{ + if (debug_net_ == nullptr) { + return false; + } + + return net == debug_net_; +} + } // namespace pad diff --git a/src/pad/src/RDLRouter.h b/src/pad/src/RDLRouter.h index e932dca6d4a..ef6364aa4ea 100644 --- a/src/pad/src/RDLRouter.h +++ b/src/pad/src/RDLRouter.h @@ -81,6 +81,7 @@ struct RouteTarget odb::Rect shape; odb::dbITerm* terminal; odb::dbTechLayer* layer; + std::set grid_access; }; class RDLGui; @@ -99,10 +100,16 @@ class RDLRouter odb::Point pt0; odb::Point pt1; }; + struct GridEdge + { + odb::Point source; + odb::Point target; + float weight; + }; struct NetRoute { std::vector route; - std::set> removed_edges; + std::vector removed_edges; const RouteTarget* source; const RouteTarget* target; }; @@ -111,6 +118,12 @@ class RDLRouter std::vector terminals; std::vector::iterator next; }; + struct TerminalAccess + { + std::vector removed_edges; + std::vector added_edges; + std::set added_points; + }; using NetRoutingTargetMap = std::map; + using ObsValue + = std::tuple; using ObsTree = boost::geometry::index::rtree>; @@ -160,6 +174,7 @@ class RDLRouter std::vector getFailedRoutes() const; void setRDLGui(RDLGui* gui) { gui_ = gui; } + void setRDLDebugNet(odb::dbNet* net) { debug_net_ = net; } odb::Rect getPointObstruction(const odb::Point& pt) const; odb::Polygon getEdgeObstruction(const odb::Point& pt0, @@ -170,36 +185,42 @@ class RDLRouter private: void makeGraph(); - void addGraphVertex(const odb::Point& point); + bool addGraphVertex(const odb::Point& point); + void removeGraphVertex(const odb::Point& point); bool addGraphEdge(const odb::Point& point0, const odb::Point& point1, float edge_weight_scale = 1.0, - bool check_obstructions = true); + bool check_obstructions = true, + bool check_routes = true); + GridEdge removeGraphEdge(const grid_edge& edge); std::vector run(const odb::Point& source, const odb::Point& dest); - std::set> commitRoute( - const std::vector& route); - void uncommitRoute( - const std::set>& route); + std::vector commitRoute(const std::vector& route); + void uncommitRoute(const std::vector& route); void writeToDb(odb::dbNet* net, - const std::vector& route, + const std::vector& route, const RouteTarget& source, const RouteTarget& target); std::vector> simplifyRoute( - const std::vector& route) const; + const std::vector& route) const; odb::Rect correctEndPoint(const odb::Rect& route, bool is_horizontal, const odb::Rect& target) const; std::set getITermShapes(odb::dbITerm* iterm) const; void populateObstructions(const std::vector& nets); - bool isEdgeObstructed(const odb::Point& pt0, const odb::Point& pt1) const; + bool isEdgeObstructed(const odb::Point& pt0, + const odb::Point& pt1, + bool use_routes) const; - std::vector insertTerminalVertex(const RouteTarget& target, - const RouteTarget& source); - void removeTerminalEdges(const std::vector& edges); + void populateTerminalAccessPoints(RouteTarget& target) const; + std::set generateTerminalAccessPoints(const odb::Point& pt, + bool do_x) const; + TerminalAccess insertTerminalAccess(const RouteTarget& target, + const RouteTarget& source); + void removeTerminalAccess(const TerminalAccess& access); std::map> generateRoutingTargets( odb::dbNet* net) const; @@ -213,6 +234,7 @@ class RDLRouter int getRoutingInstanceCount() const; int getBloatFactor() const; + bool isDebugNet(odb::dbNet* net) const; utl::Logger* logger_; odb::dbBlock* block_; @@ -246,7 +268,9 @@ class RDLRouter NetRoutingTargetMap routing_targets_; std::vector routes_; + // Debugging RDLGui* gui_; + odb::dbNet* debug_net_{nullptr}; }; } // namespace pad diff --git a/src/pad/src/pad.i b/src/pad/src/pad.i index 01b47647576..157289f7a70 100644 --- a/src/pad/src/pad.i +++ b/src/pad/src/pad.i @@ -160,6 +160,11 @@ void route_rdl_gui(bool enable) ord::getICeWall()->routeRDLDebugGUI(enable); } +void route_rdl_debug_net(const char* name) +{ + ord::getICeWall()->routeRDLDebugNet(name); +} + odb::dbRow* get_row(const char* name) { odb::dbRow* row = ord::getICeWall()->findRow(name); diff --git a/src/pad/test/rdl_route.defok b/src/pad/test/rdl_route.defok index 39e370c9645..1f338a14232 100644 --- a/src/pad/test/rdl_route.defok +++ b/src/pad/test/rdl_route.defok @@ -2509,248 +2509,255 @@ SPECIALNETS 139 ; ( BUMP_10_16 PAD ) ( BUMP_11_13 PAD ) ( BUMP_13_14 PAD ) ( BUMP_15_15 PAD ) ( BUMP_14_12 PAD ) ( BUMP_16_11 PAD ) ( BUMP_15_10 PAD ) ( BUMP_14_8 PAD ) ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3450590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3446590 171000 ) ( 3446590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3426590 198540 ) ( 3450590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3430590 194540 ) ( 3430590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 886540 ) ( 3434590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 882540 ) ( 3414590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 1510540 ) ( 3418590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1506540 ) ( 3350590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1750540 ) ( 3354590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1746540 ) ( 3345000 1755000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3010000 5825000 ) ( 3018590 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5778540 ) ( 3014590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5782540 ) ( 3018590 5782540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3426590 198540 ) ( 3444000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 194540 ) ( 3430590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 870540 ) ( 3434590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 866540 ) ( 3414590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 1494540 ) ( 3418590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1490540 ) ( 3350590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1686540 ) ( 3354590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1682540 ) ( 3345000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3010000 5794540 ) ( 3010000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2994590 5798540 ) ( 3014000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2998590 5778540 ) ( 2998590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5782540 ) ( 3002590 5782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2966590 5202540 ) ( 2966590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5206540 ) ( 3034590 5206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4951000 ) ( 3030590 5210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3034590 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2936000 182540 ) ( 2970590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 178540 ) ( 2966590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 550540 ) ( 3034590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 546540 ) ( 3030590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 790540 ) ( 3034590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 786540 ) ( 3025000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2570590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2566590 171000 ) ( 2566590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2562590 198540 ) ( 2602590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2598590 194540 ) ( 2598590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2594590 230540 ) ( 2634590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2630590 226540 ) ( 2630590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2626590 1414540 ) ( 2650590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 1410540 ) ( 2646590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 1430540 ) ( 2709000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1426540 ) ( 2705000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2610590 5825000 ) ( 2620000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2614590 5794540 ) ( 2614590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2610590 5798540 ) ( 2634590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2630590 5170540 ) ( 2630590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2626590 5174540 ) ( 2650590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4546540 ) ( 2646590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 4550540 ) ( 2714590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4311000 ) ( 2710590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2714590 4315000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 2232295 5821000 ) ( 2232295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2230590 5794540 ) ( 2230590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2178590 5798540 ) ( 2234590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2182590 5762540 ) ( 2182590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2146590 5766540 ) ( 2186590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2150590 5490540 ) ( 2150590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5494540 ) ( 2154590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4866540 ) ( 2134590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 4870540 ) ( 2138590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4631000 ) ( 2070590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2074590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2036000 182540 ) ( 2074590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 178540 ) ( 2070590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 470540 ) ( 2074590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 466540 ) ( 2065000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1840000 5825000 ) ( 1840000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5830540 ) ( 1844000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5506540 ) ( 1814590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5510540 ) ( 1818590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5271000 ) ( 1750590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1754590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3890540 ) ( 175000 3900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3894540 ) ( 202590 3894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3890540 ) ( 198590 3914540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3910540 ) ( 890590 3910540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3906540 ) ( 886590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3926540 ) ( 1514590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3922540 ) ( 1510590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3990540 ) ( 1749000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3986540 ) ( 1745000 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1656000 182540 ) ( 1690590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 178540 ) ( 1686590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 550540 ) ( 1754590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 546540 ) ( 1750590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 790540 ) ( 1754590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 786540 ) ( 1745000 795000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2997270 ) ( 179000 2997270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2998540 ) ( 218590 2998540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2962540 ) ( 214590 3002540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2966540 ) ( 874590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2962540 ) ( 870590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3030540 ) ( 1109000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3026540 ) ( 1105000 3035000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 1397270 ) ( 179000 1397270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1398540 ) ( 218590 1398540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 1362540 ) ( 214590 1402540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 1366540 ) ( 874590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 1362540 ) ( 870590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 1430540 ) ( 1109000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1426540 ) ( 1105000 1435000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4277270 ) ( 179000 4277270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4278540 ) ( 218590 4278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 4242540 ) ( 214590 4282540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 4246540 ) ( 874590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 4242540 ) ( 870590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 4310540 ) ( 1109000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4306540 ) ( 1105000 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1016000 182540 ) ( 1050590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1046590 178540 ) ( 1046590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1042590 550540 ) ( 1114590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 546540 ) ( 1110590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 790540 ) ( 1114590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 786540 ) ( 1105000 795000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 2486270 ) ( 179000 2486270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2486540 ) ( 186590 2486540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2450540 ) ( 182590 2490540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2454540 ) ( 554590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2386540 ) ( 550590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2390540 ) ( 789000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2386540 ) ( 785000 2395000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 823295 5821000 ) ( 823295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 822590 5794540 ) ( 822590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 786590 5798540 ) ( 826590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 5591000 ) ( 790590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 794590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4740000 ) ( 186590 4740000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4706540 ) ( 182590 4744000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4710540 ) ( 202590 4710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4690540 ) ( 198590 4714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4694540 ) ( 554590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4626540 ) ( 550590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4630540 ) ( 789000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4626540 ) ( 785000 4635000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2677270 ) ( 5829000 2677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2678540 ) ( 5829000 2678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2674540 ) ( 5798590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2710540 ) ( 5802590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2706540 ) ( 5590590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5594590 2715000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 3957270 ) ( 5829000 3957270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3958540 ) ( 5829000 3958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3954540 ) ( 5798590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3990540 ) ( 5802590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3986540 ) ( 5590590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5594590 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1330540 ) ( 5825000 1340000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1334540 ) ( 5829000 1334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1330540 ) ( 5798590 1370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1366540 ) ( 5802590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1362540 ) ( 5510590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1430540 ) ( 5514590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1426540 ) ( 5270590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5274590 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5360000 5825000 ) ( 5360000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5330590 5830540 ) ( 5364000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5506540 ) ( 5334590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5510540 ) ( 5338590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5271000 ) ( 5270590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5274590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3570540 ) ( 5825000 3580000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3574540 ) ( 5829000 3574540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3570540 ) ( 5798590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3606540 ) ( 5802590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3602540 ) ( 5510590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3670540 ) ( 5514590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3666540 ) ( 5270590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5274590 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5236000 182540 ) ( 5274590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 178540 ) ( 5270590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5261000 470540 ) ( 5274590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 466540 ) ( 5265000 475000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 3063270 ) ( 5829000 3063270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3062540 ) ( 5829000 3062540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5206540 ) ( 3018590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5010540 ) ( 3014590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 5014540 ) ( 3029000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2936000 198540 ) ( 2970590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 194540 ) ( 2966590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 534540 ) ( 3018590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 530540 ) ( 3014590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 726540 ) ( 3029000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 722540 ) ( 3025000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2556000 198540 ) ( 2570590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2566590 194540 ) ( 2566590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2562590 214540 ) ( 2634590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 210540 ) ( 2630590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2626590 550540 ) ( 2650590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 546540 ) ( 2646590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 1174540 ) ( 2698590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 1170540 ) ( 2694590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 1366540 ) ( 2709000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1362540 ) ( 2705000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 5794540 ) ( 2620000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2616000 5798540 ) ( 2634590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 5186540 ) ( 2630590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2626590 5190540 ) ( 2650590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4562540 ) ( 2646590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 4566540 ) ( 2698590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 4370540 ) ( 2694590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 4374540 ) ( 2709000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2230000 5794540 ) ( 2230000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2210590 5798540 ) ( 2234000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2214590 5778540 ) ( 2214590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2178590 5782540 ) ( 2218590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2182590 5746540 ) ( 2182590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2146590 5750540 ) ( 2186590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 5506540 ) ( 2150590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5510540 ) ( 2154590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4882540 ) ( 2134590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 4886540 ) ( 2138590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4690540 ) ( 2070590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 4694540 ) ( 2074590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2036000 198540 ) ( 2058590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 194540 ) ( 2054590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 406540 ) ( 2069000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 402540 ) ( 2065000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1810590 5825000 ) ( 1840000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5522540 ) ( 1814590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5526540 ) ( 1818590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5330540 ) ( 1750590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5334540 ) ( 1754590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3900000 ) ( 202590 3900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3896000 ) ( 198590 3914540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3910540 ) ( 874590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3906540 ) ( 870590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3926540 ) ( 1498590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3922540 ) ( 1494590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3990540 ) ( 1690590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3986540 ) ( 1686590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3995000 ) ( 1745000 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1656000 198540 ) ( 1690590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 194540 ) ( 1686590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 534540 ) ( 1738590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 530540 ) ( 1734590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 726540 ) ( 1749000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 722540 ) ( 1745000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2962540 ) ( 175000 3000000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2966540 ) ( 858590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2962540 ) ( 854590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3030540 ) ( 1050590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3026540 ) ( 1046590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3035000 ) ( 1105000 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1362540 ) ( 175000 1400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1366540 ) ( 858590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1362540 ) ( 854590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 1430540 ) ( 1050590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1426540 ) ( 1046590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1435000 ) ( 1105000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4242540 ) ( 175000 4280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4246540 ) ( 858590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4242540 ) ( 854590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4310540 ) ( 1050590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4306540 ) ( 1046590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4315000 ) ( 1105000 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1016000 198540 ) ( 1050590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 194540 ) ( 1046590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 534540 ) ( 1098590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 530540 ) ( 1094590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 726540 ) ( 1109000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 722540 ) ( 1105000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2450540 ) ( 175000 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2454540 ) ( 538590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2402540 ) ( 534590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2406540 ) ( 730590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2391000 ) ( 726590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2395000 ) ( 785000 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 786590 5825000 ) ( 820000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 790590 5650540 ) ( 790590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 781000 5654540 ) ( 794590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4740000 ) ( 202590 4740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4722540 ) ( 198590 4744000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4726540 ) ( 218590 4726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4690540 ) ( 214590 4730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4694540 ) ( 538590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4642540 ) ( 534590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4646540 ) ( 730590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4631000 ) ( 726590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4635000 ) ( 785000 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2680000 ) ( 5825000 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2710540 ) ( 5829000 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2706540 ) ( 5654590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5658590 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3960000 ) ( 5825000 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3990540 ) ( 5829000 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3986540 ) ( 5654590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5658590 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1340000 ) ( 5825000 1340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1336000 ) ( 5798590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1366540 ) ( 5802590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1362540 ) ( 5526590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1430540 ) ( 5530590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1426540 ) ( 5334590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5338590 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5330590 5825000 ) ( 5360000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5522540 ) ( 5334590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5526540 ) ( 5338590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5330540 ) ( 5270590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5334540 ) ( 5274590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3580000 ) ( 5825000 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3576000 ) ( 5798590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3606540 ) ( 5802590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3602540 ) ( 5526590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3670540 ) ( 5530590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3666540 ) ( 5334590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5338590 3675000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5236000 198540 ) ( 5258590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5254590 194540 ) ( 5254590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5250590 406540 ) ( 5269000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 402540 ) ( 5265000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3060000 ) ( 5825000 3060000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3056000 ) ( 5798590 3066540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3062540 ) ( 5802590 3062540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3058540 ) ( 5782590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3094540 ) ( 5786590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3031000 ) ( 4950590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 4954590 3035000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1783270 ) ( 5829000 1783270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1782540 ) ( 5829000 1782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3094540 ) ( 5786590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3042540 ) ( 5206590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3046540 ) ( 5210590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3031000 ) ( 5014590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5018590 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1780000 ) ( 5825000 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1776000 ) ( 5798590 1786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1782540 ) ( 5802590 1782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1778540 ) ( 5782590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1814540 ) ( 5786590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1751000 ) ( 4950590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 4954590 1755000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4343270 ) ( 5829000 4343270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4342540 ) ( 5829000 4342540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1814540 ) ( 5786590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1762540 ) ( 5206590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1766540 ) ( 5210590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1751000 ) ( 5014590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5018590 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4340000 ) ( 5825000 4340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4336000 ) ( 5798590 4346540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4342540 ) ( 5802590 4342540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4338540 ) ( 5782590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4374540 ) ( 5786590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4311000 ) ( 4950590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 4954590 4315000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4597295 5821000 ) ( 4597295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4598590 5778540 ) ( 4598590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5782540 ) ( 4602590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5186540 ) ( 4566590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5190540 ) ( 4634590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4951000 ) ( 4630590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4634590 4955000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 2166270 ) ( 5829000 2166270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2166540 ) ( 5829000 2166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2146540 ) ( 5798590 2170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2150540 ) ( 5802590 2150540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2130540 ) ( 4934590 2154540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2134540 ) ( 4938590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2071000 ) ( 4310590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4314590 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4216000 182540 ) ( 4250590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 178540 ) ( 4246590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 550540 ) ( 4314590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 546540 ) ( 4310590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 790540 ) ( 4314590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 786540 ) ( 4305000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3850590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3846590 171000 ) ( 3846590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3842590 198540 ) ( 3882590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3878590 194540 ) ( 3878590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3874590 230540 ) ( 3914590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3910590 226540 ) ( 3910590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3906590 1414540 ) ( 3930590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 1410540 ) ( 3926590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 1430540 ) ( 3989000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1426540 ) ( 3985000 1435000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 4152295 5821000 ) ( 4152295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4150590 5794540 ) ( 4150590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4098590 5798540 ) ( 4154590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4102590 5762540 ) ( 4102590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4066590 5766540 ) ( 4106590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4070590 5490540 ) ( 4070590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5494540 ) ( 4074590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4866540 ) ( 4054590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 4870540 ) ( 4058590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4631000 ) ( 3990590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3994590 4635000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3703295 5821000 ) ( 3703295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3702590 5794540 ) ( 3702590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5798540 ) ( 3706590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5591000 ) ( 3670590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3674590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3380000 ) ( 186590 3380000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3346540 ) ( 182590 3384000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3350540 ) ( 469000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3346540 ) ( 465000 3355000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2100000 ) ( 186590 2100000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2066540 ) ( 182590 2104000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2070540 ) ( 469000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2066540 ) ( 465000 2075000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4374540 ) ( 5786590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4322540 ) ( 5206590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4326540 ) ( 5210590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4311000 ) ( 5014590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5018590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4562590 5825000 ) ( 4600000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5202540 ) ( 4566590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5206540 ) ( 4618590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 5010540 ) ( 4614590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 5014540 ) ( 4629000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2170000 ) ( 5825000 2170000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2146540 ) ( 5798590 2174000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2150540 ) ( 5802590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2130540 ) ( 5190590 2154540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2134540 ) ( 5194590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2082540 ) ( 4566590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2086540 ) ( 4570590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2071000 ) ( 4374590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4378590 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4216000 198540 ) ( 4250590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 194540 ) ( 4246590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 534540 ) ( 4298590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 530540 ) ( 4294590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 726540 ) ( 4309000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 722540 ) ( 4305000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3836000 198540 ) ( 3850590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3846590 194540 ) ( 3846590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3842590 214540 ) ( 3914590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 210540 ) ( 3910590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3906590 550540 ) ( 3930590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 546540 ) ( 3926590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 1174540 ) ( 3978590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 1170540 ) ( 3974590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 1366540 ) ( 3989000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1362540 ) ( 3985000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4150000 5794540 ) ( 4150000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4130590 5798540 ) ( 4154000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4134590 5778540 ) ( 4134590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4098590 5782540 ) ( 4138590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4102590 5746540 ) ( 4102590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4066590 5750540 ) ( 4106590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 5506540 ) ( 4070590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5510540 ) ( 4074590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4882540 ) ( 4054590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 4886540 ) ( 4058590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4690540 ) ( 3990590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 4694540 ) ( 3994590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3666590 5825000 ) ( 3700000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5650540 ) ( 3670590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5654540 ) ( 3674590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3380000 ) ( 202590 3380000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3362540 ) ( 198590 3384000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3366540 ) ( 410590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3351000 ) ( 406590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3355000 ) ( 465000 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2100000 ) ( 202590 2100000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2082540 ) ( 198590 2104000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2086540 ) ( 410590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2071000 ) ( 406590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2903,264 +2910,260 @@ SPECIALNETS 139 ; ( BUMP_10_14 PAD ) ( BUMP_12_12 PAD ) ( BUMP_13_16 PAD ) ( BUMP_16_16 PAD ) ( BUMP_16_12 PAD ) ( BUMP_15_11 PAD ) ( BUMP_13_10 PAD ) ( BUMP_16_8 PAD ) ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3383295 171000 ) ( 3383295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3382590 171000 ) ( 3382590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3380000 175000 ) ( 3380000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3376000 198540 ) ( 3386590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3382590 194540 ) ( 3382590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3378590 214540 ) ( 3418590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 210540 ) ( 3414590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 870540 ) ( 3418590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 866540 ) ( 3350590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1110540 ) ( 3354590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1106540 ) ( 3345000 1115000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2890590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2886590 171000 ) ( 2886590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2882590 198540 ) ( 2922590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2918590 194540 ) ( 2918590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2914590 230540 ) ( 2954590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2950590 226540 ) ( 2950590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2946590 1414540 ) ( 2970590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 1410540 ) ( 2966590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 1430540 ) ( 3029000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1426540 ) ( 3025000 1435000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3126295 5821000 ) ( 3126295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5794540 ) ( 3126590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5798540 ) ( 3130590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5506540 ) ( 3094590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5510540 ) ( 3098590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5271000 ) ( 3030590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3034590 5275000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2677295 5821000 ) ( 2677295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2678590 5778540 ) ( 2678590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 5782540 ) ( 2682590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5186540 ) ( 2646590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 5190540 ) ( 2714590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4951000 ) ( 2710590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2714590 4955000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2486295 171000 ) ( 2486295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2486590 171000 ) ( 2486590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2466590 198540 ) ( 2490590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2470590 194540 ) ( 2470590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 886540 ) ( 2474590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 882540 ) ( 2454590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 1510540 ) ( 2458590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 1506540 ) ( 2390590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1750540 ) ( 2394590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1746540 ) ( 2385000 1755000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2290590 5825000 ) ( 2300000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2294590 5794540 ) ( 2294590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2290590 5798540 ) ( 2314590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2310590 5170540 ) ( 2310590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2306590 5174540 ) ( 2330590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 4546540 ) ( 2326590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 4550540 ) ( 2394590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4311000 ) ( 2390590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2394590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1976000 182540 ) ( 2010590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 178540 ) ( 2006590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 550540 ) ( 2074590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 546540 ) ( 2070590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 790540 ) ( 2074590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 786540 ) ( 2065000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1610590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1606590 171000 ) ( 1606590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1602590 198540 ) ( 1642590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1638590 194540 ) ( 1638590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1634590 230540 ) ( 1674590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1670590 226540 ) ( 1670590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1666590 1414540 ) ( 1690590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 1410540 ) ( 1686590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 1430540 ) ( 1749000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1426540 ) ( 1745000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1890590 5825000 ) ( 1900000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1894590 5794540 ) ( 1894590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1858590 5798540 ) ( 1898590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1862590 5762540 ) ( 1862590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1826590 5766540 ) ( 1866590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1830590 5490540 ) ( 1830590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5494540 ) ( 1834590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 4866540 ) ( 1814590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 4870540 ) ( 1818590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4631000 ) ( 1750590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1754590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2550000 ) ( 186590 2550000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2514540 ) ( 182590 2554000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2518540 ) ( 202590 2518540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2498540 ) ( 198590 2522540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2502540 ) ( 234590 2502540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2466540 ) ( 230590 2506540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2470540 ) ( 570590 2470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 2450540 ) ( 566590 2474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 2454540 ) ( 1194590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2386540 ) ( 1190590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2390540 ) ( 1429000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2386540 ) ( 1425000 2395000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4800000 ) ( 186590 4800000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4770540 ) ( 182590 4804000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4774540 ) ( 234590 4774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4738540 ) ( 230590 4778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4742540 ) ( 266590 4742540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 262590 4706540 ) ( 262590 4746540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 258590 4710540 ) ( 570590 4710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4690540 ) ( 566590 4714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4694540 ) ( 1194590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 4626540 ) ( 1190590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 4630540 ) ( 1429000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4626540 ) ( 1425000 4635000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1143295 5821000 ) ( 1143295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1142590 5794540 ) ( 1142590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5798540 ) ( 1146590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5591000 ) ( 1110590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1114590 5595000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 3957270 ) ( 179000 3957270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3958540 ) ( 218590 3958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 3922540 ) ( 214590 3962540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 3926540 ) ( 874590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3922540 ) ( 870590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3990540 ) ( 1109000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3986540 ) ( 1105000 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3440000 ) ( 186590 3440000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3410540 ) ( 182590 3444000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3414540 ) ( 554590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3346540 ) ( 550590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3350540 ) ( 789000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3346540 ) ( 785000 3355000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2160000 ) ( 186590 2160000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2130540 ) ( 182590 2164000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2134540 ) ( 554590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2066540 ) ( 550590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2070540 ) ( 789000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2066540 ) ( 785000 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 756000 182540 ) ( 794590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 178540 ) ( 790590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 781000 470540 ) ( 794590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 466540 ) ( 785000 475000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2997270 ) ( 5829000 2997270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2998540 ) ( 5829000 2998540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2994540 ) ( 5798590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3030540 ) ( 5802590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3026540 ) ( 5590590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5594590 3035000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1717270 ) ( 5829000 1717270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1718540 ) ( 5829000 1718540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1714540 ) ( 5798590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1750540 ) ( 5802590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1746540 ) ( 5590590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5594590 1755000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 5623295 5821000 ) ( 5623295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5622590 5794540 ) ( 5622590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 5798540 ) ( 5626590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5591000 ) ( 5590590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5594590 5595000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 4277270 ) ( 5829000 4277270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4278540 ) ( 5829000 4278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4274540 ) ( 5798590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4310540 ) ( 5802590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4306540 ) ( 5590590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5594590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2610540 ) ( 5825000 2620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2614540 ) ( 5829000 2614540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2610540 ) ( 5798590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2646540 ) ( 5802590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2642540 ) ( 5510590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2710540 ) ( 5514590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2706540 ) ( 5270590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5274590 2715000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3890540 ) ( 5825000 3900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3894540 ) ( 5829000 3894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3890540 ) ( 5798590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3926540 ) ( 5802590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3922540 ) ( 5510590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3990540 ) ( 5514590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3986540 ) ( 5270590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5274590 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2110000 ) ( 5834590 2110000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5830590 2106000 ) ( 5830590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2134540 ) ( 5834590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2071000 ) ( 4950590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 4954590 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4916000 182540 ) ( 4954590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 178540 ) ( 4950590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4941000 470540 ) ( 4954590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 466540 ) ( 4945000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1280000 ) ( 5825000 1290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1286540 ) ( 5829000 1286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1282540 ) ( 5798590 1322540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1318540 ) ( 5802590 1318540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1314540 ) ( 5766590 1354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1350540 ) ( 5770590 1350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1346540 ) ( 5494590 1370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 1366540 ) ( 5498590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 1362540 ) ( 4870590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 1430540 ) ( 4874590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 1426540 ) ( 4630590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4634590 1435000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 4663295 5821000 ) ( 4663295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4662590 5794540 ) ( 4662590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5798540 ) ( 4666590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5591000 ) ( 4630590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4634590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3520000 ) ( 5825000 3530540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3526540 ) ( 5829000 3526540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3522540 ) ( 5798590 3562540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3558540 ) ( 5802590 3558540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3554540 ) ( 5766590 3594540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3590540 ) ( 5770590 3590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3586540 ) ( 5494590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3606540 ) ( 5498590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3602540 ) ( 4870590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3670540 ) ( 4874590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3666540 ) ( 4630590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4634590 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4170590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4166590 171000 ) ( 4166590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4162590 198540 ) ( 4202590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4198590 194540 ) ( 4198590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4194590 230540 ) ( 4234590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4230590 226540 ) ( 4230590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4226590 1414540 ) ( 4250590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 1410540 ) ( 4246590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 1430540 ) ( 4309000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1426540 ) ( 4305000 1435000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4197295 5821000 ) ( 4197295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4198590 5794540 ) ( 4198590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4194590 5798540 ) ( 4234590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4230590 5170540 ) ( 4230590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4226590 5174540 ) ( 4250590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 4546540 ) ( 4246590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 4550540 ) ( 4314590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4311000 ) ( 4310590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4314590 4315000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3766295 171000 ) ( 3766295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3766590 171000 ) ( 3766590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3746590 198540 ) ( 3770590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3750590 194540 ) ( 3750590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 886540 ) ( 3754590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 882540 ) ( 3734590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 1510540 ) ( 3738590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 1506540 ) ( 3670590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1750540 ) ( 3674590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1746540 ) ( 3665000 1755000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 3637295 5821000 ) ( 3637295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3638590 5778540 ) ( 3638590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 5782540 ) ( 3642590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5186540 ) ( 3606590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 5190540 ) ( 3674590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4951000 ) ( 3670590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3674590 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3060000 ) ( 186590 3060000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3026540 ) ( 182590 3064000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3030540 ) ( 469000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3026540 ) ( 465000 3035000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1460000 ) ( 186590 1460000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1426540 ) ( 182590 1464000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1430540 ) ( 469000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 1426540 ) ( 465000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4340000 ) ( 186590 4340000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4306540 ) ( 182590 4344000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4310540 ) ( 469000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4306540 ) ( 465000 4315000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 210540 ) ( 3414590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 854540 ) ( 3418590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 850540 ) ( 3350590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1046540 ) ( 3354590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1042540 ) ( 3345000 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2876000 198540 ) ( 2890590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2886590 194540 ) ( 2886590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2882590 214540 ) ( 2954590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2950590 210540 ) ( 2950590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2946590 550540 ) ( 2970590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 546540 ) ( 2966590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 1174540 ) ( 3018590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 1170540 ) ( 3014590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 1366540 ) ( 3029000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1362540 ) ( 3025000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3130000 5794540 ) ( 3130000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3122590 5798540 ) ( 3134000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5778540 ) ( 3126590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5782540 ) ( 3130590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5522540 ) ( 3094590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5526540 ) ( 3098590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5330540 ) ( 3030590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5334540 ) ( 3034590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2642590 5825000 ) ( 2680000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5202540 ) ( 2646590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 5206540 ) ( 2698590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 5010540 ) ( 2694590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 5014540 ) ( 2709000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2466590 198540 ) ( 2494000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 194540 ) ( 2470590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 870540 ) ( 2474590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 866540 ) ( 2454590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 1494540 ) ( 2458590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 1490540 ) ( 2390590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1686540 ) ( 2394590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1682540 ) ( 2385000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2300000 5794540 ) ( 2300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2296000 5798540 ) ( 2314590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 5186540 ) ( 2310590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2306590 5190540 ) ( 2330590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 4562540 ) ( 2326590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 4566540 ) ( 2378590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 4370540 ) ( 2374590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 4374540 ) ( 2389000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1976000 198540 ) ( 2010590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 194540 ) ( 2006590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 534540 ) ( 2058590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 530540 ) ( 2054590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 726540 ) ( 2069000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 722540 ) ( 2065000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1596000 198540 ) ( 1610590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1606590 194540 ) ( 1606590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1602590 214540 ) ( 1674590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1670590 210540 ) ( 1670590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1666590 550540 ) ( 1690590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 546540 ) ( 1686590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 1174540 ) ( 1738590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 1170540 ) ( 1734590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 1366540 ) ( 1749000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1362540 ) ( 1745000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1900000 5794540 ) ( 1900000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1890590 5798540 ) ( 1904000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1894590 5778540 ) ( 1894590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1826590 5782540 ) ( 1898590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 5506540 ) ( 1830590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5510540 ) ( 1834590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 4882540 ) ( 1814590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 4886540 ) ( 1818590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4690540 ) ( 1750590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 4694540 ) ( 1754590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2550000 ) ( 202590 2550000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2530540 ) ( 198590 2554000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2534540 ) ( 218590 2534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2498540 ) ( 214590 2538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2502540 ) ( 250590 2502540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2466540 ) ( 246590 2506540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 2470540 ) ( 554590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 2450540 ) ( 550590 2474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 2454540 ) ( 1178590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2402540 ) ( 1174590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2406540 ) ( 1370590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2391000 ) ( 1366590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2395000 ) ( 1425000 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4800000 ) ( 202590 4800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4786540 ) ( 198590 4804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4790540 ) ( 218590 4790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4738540 ) ( 214590 4794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4742540 ) ( 250590 4742540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4706540 ) ( 246590 4746540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 4710540 ) ( 554590 4710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4690540 ) ( 550590 4714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4694540 ) ( 1178590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4642540 ) ( 1174590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4646540 ) ( 1370590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4631000 ) ( 1366590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4635000 ) ( 1425000 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1106590 5825000 ) ( 1140000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5650540 ) ( 1110590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5654540 ) ( 1114590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3922540 ) ( 175000 3960000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3926540 ) ( 858590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3922540 ) ( 854590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3990540 ) ( 1050590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3986540 ) ( 1046590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3995000 ) ( 1105000 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3440000 ) ( 202590 3440000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3410540 ) ( 198590 3444000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3414540 ) ( 538590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3362540 ) ( 534590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3366540 ) ( 730590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3351000 ) ( 726590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3355000 ) ( 785000 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2160000 ) ( 202590 2160000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2130540 ) ( 198590 2164000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2134540 ) ( 538590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2082540 ) ( 534590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2086540 ) ( 730590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2071000 ) ( 726590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2075000 ) ( 785000 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 756000 198540 ) ( 778590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 194540 ) ( 774590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 406540 ) ( 789000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 402540 ) ( 785000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3000000 ) ( 5825000 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3030540 ) ( 5829000 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3026540 ) ( 5654590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5658590 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1720000 ) ( 5825000 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1750540 ) ( 5829000 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1746540 ) ( 5654590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5658590 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5586590 5825000 ) ( 5620000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5650540 ) ( 5590590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5581000 5654540 ) ( 5594590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4280000 ) ( 5825000 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4310540 ) ( 5829000 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4306540 ) ( 5654590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5658590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2620000 ) ( 5825000 2620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2616000 ) ( 5798590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2646540 ) ( 5802590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2642540 ) ( 5526590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2710540 ) ( 5530590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2706540 ) ( 5334590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5338590 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3900000 ) ( 5825000 3900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3896000 ) ( 5798590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3926540 ) ( 5802590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3922540 ) ( 5526590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3990540 ) ( 5530590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3986540 ) ( 5334590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5338590 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2110000 ) ( 5825000 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2134540 ) ( 5829000 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2082540 ) ( 5206590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2086540 ) ( 5210590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2071000 ) ( 5014590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 5018590 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4916000 198540 ) ( 4938590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 194540 ) ( 4934590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 406540 ) ( 4949000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 402540 ) ( 4945000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1280000 ) ( 5825000 1280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1276000 ) ( 5798590 1290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1286540 ) ( 5802590 1286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1282540 ) ( 5782590 1354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1350540 ) ( 5786590 1350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1346540 ) ( 5510590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 1366540 ) ( 5514590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1362540 ) ( 4886590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1430540 ) ( 4890590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1426540 ) ( 4694590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4698590 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4626590 5825000 ) ( 4660000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5650540 ) ( 4630590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5654540 ) ( 4634590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3520000 ) ( 5825000 3520000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3516000 ) ( 5798590 3530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3526540 ) ( 5802590 3526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3522540 ) ( 5782590 3594540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3590540 ) ( 5786590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3586540 ) ( 5510590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3606540 ) ( 5514590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3602540 ) ( 4886590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3670540 ) ( 4890590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3666540 ) ( 4694590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4698590 3675000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4156000 198540 ) ( 4170590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4166590 194540 ) ( 4166590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4162590 214540 ) ( 4234590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 210540 ) ( 4230590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4226590 550540 ) ( 4250590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 546540 ) ( 4246590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 1174540 ) ( 4298590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 1170540 ) ( 4294590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 1366540 ) ( 4309000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1362540 ) ( 4305000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4200000 5794540 ) ( 4200000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4196000 5798540 ) ( 4234590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 5186540 ) ( 4230590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4226590 5190540 ) ( 4250590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 4562540 ) ( 4246590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 4566540 ) ( 4298590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 4370540 ) ( 4294590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 4374540 ) ( 4309000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3746590 198540 ) ( 3774000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 194540 ) ( 3750590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 870540 ) ( 3754590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 866540 ) ( 3734590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 1494540 ) ( 3738590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 1490540 ) ( 3670590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1686540 ) ( 3674590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1682540 ) ( 3665000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3602590 5825000 ) ( 3640000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5202540 ) ( 3606590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 5206540 ) ( 3658590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 5010540 ) ( 3654590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 5014540 ) ( 3669000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3060000 ) ( 202590 3060000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3042540 ) ( 198590 3064000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3046540 ) ( 410590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3031000 ) ( 406590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3035000 ) ( 465000 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1460000 ) ( 202590 1460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1442540 ) ( 198590 1464000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1446540 ) ( 410590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1431000 ) ( 406590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1435000 ) ( 465000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4340000 ) ( 202590 4340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4322540 ) ( 198590 4344000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4326540 ) ( 410590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4311000 ) ( 406590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4315000 ) ( 465000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3311,128 +3314,119 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VDD ) ( IO_FILL_IO_WEST_0_485 VDD ) ( BUMP_2_4 PAD ) ( BUMP_1_7 PAD ) ( BUMP_1_10 PAD ) ( BUMP_0_14 PAD ) ( BUMP_4_14 PAD ) ( BUMP_7_15 PAD ) ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3210590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3206590 171000 ) ( 3206590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3202590 198540 ) ( 3242590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3238590 194540 ) ( 3238590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3234590 230540 ) ( 3274590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3270590 226540 ) ( 3270590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3266590 1414540 ) ( 3290590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 1410540 ) ( 3286590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 1430540 ) ( 3349000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1426540 ) ( 3345000 1435000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3286295 5821000 ) ( 3286295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5794540 ) ( 3286590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3266590 5798540 ) ( 3290590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3270590 5186540 ) ( 3270590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3266590 5190540 ) ( 3290590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 4546540 ) ( 3286590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 4550540 ) ( 3354590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4311000 ) ( 3350590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3354590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2800000 5825000 ) ( 2800000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5830540 ) ( 2804000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 5506540 ) ( 2774590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 5510540 ) ( 2778590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5271000 ) ( 2710590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2714590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2250590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2246590 171000 ) ( 2246590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2242590 198540 ) ( 2282590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2278590 194540 ) ( 2278590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2274590 230540 ) ( 2314590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2310590 226540 ) ( 2310590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2306590 1414540 ) ( 2330590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 1410540 ) ( 2326590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 1430540 ) ( 2389000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1426540 ) ( 2385000 1435000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 1717295 5821000 ) ( 1717295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1718590 5778540 ) ( 1718590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 5782540 ) ( 1722590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 5186540 ) ( 1686590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 5190540 ) ( 1754590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4951000 ) ( 1750590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1754590 4955000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 1717270 ) ( 179000 1717270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1718540 ) ( 218590 1718540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 1682540 ) ( 214590 1722540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 1686540 ) ( 874590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 1682540 ) ( 870590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 1750540 ) ( 1109000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1746540 ) ( 1105000 1755000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1143295 171000 ) ( 1143295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1142590 171000 ) ( 1142590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3196000 198540 ) ( 3210590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3206590 194540 ) ( 3206590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3202590 214540 ) ( 3274590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3270590 210540 ) ( 3270590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3266590 550540 ) ( 3290590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 546540 ) ( 3286590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 1174540 ) ( 3338590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 1170540 ) ( 3334590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 1366540 ) ( 3349000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1362540 ) ( 3345000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3985000 4242540 ) ( 3985000 4315000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4246540 ) ( 3989000 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4242540 ) ( 3606590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 4310540 ) ( 3610590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4306540 ) ( 3414590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3418590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2770590 5825000 ) ( 2800000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 5522540 ) ( 2774590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 5526540 ) ( 2778590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5330540 ) ( 2710590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 5334540 ) ( 2714590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2236000 198540 ) ( 2250590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2246590 194540 ) ( 2246590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2242590 214540 ) ( 2314590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 210540 ) ( 2310590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2306590 550540 ) ( 2330590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 546540 ) ( 2326590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 1174540 ) ( 2378590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 1170540 ) ( 2374590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 1366540 ) ( 2389000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1362540 ) ( 2385000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1682590 5825000 ) ( 1720000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 5202540 ) ( 1686590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 5206540 ) ( 1738590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 5010540 ) ( 1734590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 5014540 ) ( 1749000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1682540 ) ( 175000 1720000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1686540 ) ( 858590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1682540 ) ( 854590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 1750540 ) ( 1050590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1746540 ) ( 1046590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1755000 ) ( 1105000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1136000 198540 ) ( 1146590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1142590 194540 ) ( 1142590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 1138590 214540 ) ( 1178590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1174590 210540 ) ( 1174590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 870540 ) ( 1178590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 866540 ) ( 1110590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 1110540 ) ( 1114590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1106540 ) ( 1105000 1115000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 2806270 ) ( 179000 2806270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2806540 ) ( 186590 2806540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2770540 ) ( 182590 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2774540 ) ( 554590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2706540 ) ( 550590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2710540 ) ( 789000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2706540 ) ( 785000 2715000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 3766270 ) ( 179000 3766270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3766540 ) ( 186590 3766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3730540 ) ( 182590 3770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3734540 ) ( 554590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3666540 ) ( 550590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3670540 ) ( 789000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3666540 ) ( 785000 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3330000 ) ( 5825000 3338540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3334540 ) ( 5829000 3334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3330540 ) ( 5798590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3350540 ) ( 5802590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3346540 ) ( 5590590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5594590 3355000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 4597270 ) ( 5829000 4597270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4598540 ) ( 5829000 4598540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4594540 ) ( 5798590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4630540 ) ( 5802590 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4626540 ) ( 5590590 4639000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5594590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2290540 ) ( 5825000 2300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2294540 ) ( 5829000 2294540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2290540 ) ( 5798590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2326540 ) ( 5802590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2322540 ) ( 5510590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2390540 ) ( 5514590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2386540 ) ( 5270590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5274590 2395000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1010540 ) ( 5825000 1020000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1014540 ) ( 5829000 1014540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1010540 ) ( 5798590 1050540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1046540 ) ( 5802590 1046540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1042540 ) ( 5510590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1110540 ) ( 5514590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1106540 ) ( 5270590 1119000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5274590 1115000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 4983295 5821000 ) ( 4983295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4982590 5794540 ) ( 4982590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5798540 ) ( 4986590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5591000 ) ( 4950590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4954590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4276000 182540 ) ( 4314590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 178540 ) ( 4310590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 470540 ) ( 4314590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 466540 ) ( 4305000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3890590 5825000 ) ( 3900000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3894590 5794540 ) ( 3894590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3890590 5798540 ) ( 3914590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3910590 5170540 ) ( 3910590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3906590 5174540 ) ( 3930590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 4546540 ) ( 3926590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 4550540 ) ( 3994590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4311000 ) ( 3990590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3994590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4980000 ) ( 186590 4980000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4946540 ) ( 182590 4984000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4950540 ) ( 469000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4946540 ) ( 465000 4955000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 210540 ) ( 1174590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1106590 854540 ) ( 1178590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 850540 ) ( 1110590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 1046540 ) ( 1114590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1042540 ) ( 1105000 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2770540 ) ( 175000 2810000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2774540 ) ( 538590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2722540 ) ( 534590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2726540 ) ( 730590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2711000 ) ( 726590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2715000 ) ( 785000 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3730540 ) ( 175000 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3734540 ) ( 538590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3682540 ) ( 534590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3686540 ) ( 730590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3671000 ) ( 726590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3675000 ) ( 785000 3675000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3330000 ) ( 5825000 3330000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3326000 ) ( 5798590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3350540 ) ( 5802590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3346540 ) ( 5654590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5658590 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4600000 ) ( 5825000 4634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4630540 ) ( 5829000 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4626540 ) ( 5654590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5658590 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2300000 ) ( 5825000 2300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2296000 ) ( 5798590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2326540 ) ( 5802590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2322540 ) ( 5526590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2390540 ) ( 5530590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2386540 ) ( 5334590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5338590 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1020000 ) ( 5825000 1020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1016000 ) ( 5798590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1046540 ) ( 5802590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1042540 ) ( 5526590 1114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1110540 ) ( 5530590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1106540 ) ( 5334590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5338590 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4946590 5825000 ) ( 4980000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5650540 ) ( 4950590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5654540 ) ( 4954590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4276000 198540 ) ( 4298590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 194540 ) ( 4294590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 406540 ) ( 4309000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 402540 ) ( 4305000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 5794540 ) ( 3900000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3896000 5798540 ) ( 3914590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 5186540 ) ( 3910590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3906590 5190540 ) ( 3930590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 4562540 ) ( 3926590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 4566540 ) ( 3978590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 4370540 ) ( 3974590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 4374540 ) ( 3989000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4980000 ) ( 202590 4980000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4962540 ) ( 198590 4984000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4966540 ) ( 410590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4951000 ) ( 406590 4970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4955000 ) ( 465000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3583,1278 +3577,1307 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) ( BUMP_1_3 PAD ) ( BUMP_0_7 PAD ) ( BUMP_0_10 PAD ) ( BUMP_2_14 PAD ) ( BUMP_3_15 PAD ) ( BUMP_7_16 PAD ) ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3378590 5825000 ) ( 3390000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3382590 5794540 ) ( 3382590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5798540 ) ( 3386590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5591000 ) ( 3350590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3354590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3256000 182540 ) ( 3290590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 178540 ) ( 3286590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 550540 ) ( 3354590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 546540 ) ( 3350590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 790540 ) ( 3354590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 786540 ) ( 3345000 795000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2743295 5821000 ) ( 2743295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2742590 5794540 ) ( 2742590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 5798540 ) ( 2746590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5591000 ) ( 2710590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2714590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2296000 182540 ) ( 2330590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 178540 ) ( 2326590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 550540 ) ( 2394590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 546540 ) ( 2390590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 790540 ) ( 2394590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 786540 ) ( 2385000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1290590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1286590 171000 ) ( 1286590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1282590 198540 ) ( 1322590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1318590 194540 ) ( 1318590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1314590 230540 ) ( 1354590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1350590 226540 ) ( 1350590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1346590 1414540 ) ( 1370590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1410540 ) ( 1366590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1430540 ) ( 1429000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1426540 ) ( 1425000 1435000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 1526295 5821000 ) ( 1526295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1526590 5794540 ) ( 1526590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1490590 5798540 ) ( 1530590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1494590 5506540 ) ( 1494590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5510540 ) ( 1498590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5271000 ) ( 1430590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1434590 5275000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4917270 ) ( 179000 4917270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4918540 ) ( 218590 4918540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 4882540 ) ( 214590 4922540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 4886540 ) ( 874590 4886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 4882540 ) ( 870590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 4950540 ) ( 1109000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4946540 ) ( 1105000 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1520000 ) ( 186590 1520000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1490540 ) ( 182590 1524000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1494540 ) ( 554590 1494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 1426540 ) ( 550590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 1430540 ) ( 789000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 1426540 ) ( 785000 1435000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2357270 ) ( 5829000 2357270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2358540 ) ( 5829000 2358540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2354540 ) ( 5798590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2390540 ) ( 5802590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2386540 ) ( 5590590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5594590 2395000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1077270 ) ( 5829000 1077270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1078540 ) ( 5829000 1078540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1074540 ) ( 5798590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1110540 ) ( 5802590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1106540 ) ( 5590590 1119000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5594590 1115000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3390000 ) ( 5834590 3390000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5830590 3386000 ) ( 5830590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3414540 ) ( 5834590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3351000 ) ( 4950590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 4954590 3355000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4917295 5821000 ) ( 4917295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4918590 5778540 ) ( 4918590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 5782540 ) ( 4922590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4886590 5186540 ) ( 4886590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 5190540 ) ( 4954590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4951000 ) ( 4950590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4954590 4955000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4663270 ) ( 5829000 4663270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4662540 ) ( 5829000 4662540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3362590 5825000 ) ( 3390000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3366590 5794540 ) ( 3366590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5798540 ) ( 3370590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5650540 ) ( 3350590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5654540 ) ( 3354590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3256000 198540 ) ( 3290590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 194540 ) ( 3286590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 534540 ) ( 3338590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 530540 ) ( 3334590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 726540 ) ( 3349000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 722540 ) ( 3345000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2706590 5825000 ) ( 2740000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5650540 ) ( 2710590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 5654540 ) ( 2714590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2296000 198540 ) ( 2330590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 194540 ) ( 2326590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 534540 ) ( 2378590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 530540 ) ( 2374590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 726540 ) ( 2389000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 722540 ) ( 2385000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1276000 198540 ) ( 1290590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1286590 194540 ) ( 1286590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1282590 214540 ) ( 1354590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1350590 210540 ) ( 1350590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1346590 550540 ) ( 1370590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 546540 ) ( 1366590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1174540 ) ( 1418590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 1170540 ) ( 1414590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 1366540 ) ( 1429000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1362540 ) ( 1425000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1530000 5794540 ) ( 1530000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1522590 5798540 ) ( 1534000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1526590 5778540 ) ( 1526590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 5782540 ) ( 1530590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 5522540 ) ( 1494590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5526540 ) ( 1498590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5330540 ) ( 1430590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5334540 ) ( 1434590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4882540 ) ( 175000 4920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4886540 ) ( 858590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4882540 ) ( 854590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4950540 ) ( 1050590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4946540 ) ( 1046590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4955000 ) ( 1105000 4955000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1520000 ) ( 202590 1520000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1490540 ) ( 198590 1524000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1494540 ) ( 538590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 1442540 ) ( 534590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 1446540 ) ( 730590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1431000 ) ( 726590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1435000 ) ( 785000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2360000 ) ( 5825000 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2390540 ) ( 5829000 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2386540 ) ( 5654590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5658590 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1080000 ) ( 5825000 1114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1110540 ) ( 5829000 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1106540 ) ( 5654590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5658590 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3390000 ) ( 5825000 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3414540 ) ( 5829000 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3362540 ) ( 5206590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3366540 ) ( 5210590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3351000 ) ( 5014590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 5018590 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4882590 5825000 ) ( 4920000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 5202540 ) ( 4886590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 5206540 ) ( 4938590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 5010540 ) ( 4934590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 5014540 ) ( 4949000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4660000 ) ( 5825000 4660000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4656000 ) ( 5798590 4666540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4662540 ) ( 5802590 4662540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4658540 ) ( 5782590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4694540 ) ( 5786590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4631000 ) ( 4950590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 4954590 4635000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 4343295 171000 ) ( 4343295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4342590 171000 ) ( 4342590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4694540 ) ( 5786590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4642540 ) ( 5206590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4646540 ) ( 5210590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4631000 ) ( 5014590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 5018590 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4336000 198540 ) ( 4346590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4342590 194540 ) ( 4342590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 4338590 214540 ) ( 4378590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 210540 ) ( 4374590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 870540 ) ( 4378590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 866540 ) ( 4310590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 1110540 ) ( 4314590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1106540 ) ( 4305000 1115000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3832295 5821000 ) ( 3832295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3830590 5794540 ) ( 3830590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3778590 5798540 ) ( 3834590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3782590 5762540 ) ( 3782590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3746590 5766540 ) ( 3786590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3750590 5490540 ) ( 3750590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5494540 ) ( 3754590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 4866540 ) ( 3734590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 4870540 ) ( 3738590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4631000 ) ( 3670590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3674590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2738540 ) ( 175000 2750000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2742540 ) ( 202590 2742540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2706540 ) ( 198590 2746540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2710540 ) ( 469000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2706540 ) ( 465000 2715000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3698540 ) ( 175000 3710000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3702540 ) ( 202590 3702540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3666540 ) ( 198590 3706540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3670540 ) ( 469000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3666540 ) ( 465000 3675000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 210540 ) ( 4374590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 854540 ) ( 4378590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 850540 ) ( 4310590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 1046540 ) ( 4314590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1042540 ) ( 4305000 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3830000 5794540 ) ( 3830000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3810590 5798540 ) ( 3834000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3814590 5778540 ) ( 3814590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3778590 5782540 ) ( 3818590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3782590 5746540 ) ( 3782590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3746590 5750540 ) ( 3786590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 5506540 ) ( 3750590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5510540 ) ( 3754590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 4882540 ) ( 3734590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 4886540 ) ( 3738590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4690540 ) ( 3670590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 4694540 ) ( 3674590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2722540 ) ( 175000 2750000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2726540 ) ( 410590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2711000 ) ( 406590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2715000 ) ( 465000 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3710000 ) ( 202590 3710000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3682540 ) ( 198590 3714000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3686540 ) ( 410590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3671000 ) ( 406590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3675000 ) ( 465000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2930540 ) ( 5825000 2940000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2934540 ) ( 5829000 2934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2930540 ) ( 5798590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2966540 ) ( 5802590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2962540 ) ( 5510590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3030540 ) ( 5514590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3026540 ) ( 5270590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5274590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2940000 ) ( 5825000 2940000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2936000 ) ( 5798590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2966540 ) ( 5802590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2962540 ) ( 5526590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3030540 ) ( 5530590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3026540 ) ( 5334590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5338590 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3830000 ) ( 186590 3830000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3794540 ) ( 182590 3834000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3798540 ) ( 202590 3798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3778540 ) ( 198590 3802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3782540 ) ( 234590 3782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3746540 ) ( 230590 3786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3750540 ) ( 570590 3750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3730540 ) ( 566590 3754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3734540 ) ( 1194590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3666540 ) ( 1190590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3670540 ) ( 1429000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3666540 ) ( 1425000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3830000 ) ( 202590 3830000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3810540 ) ( 198590 3834000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3814540 ) ( 218590 3814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3778540 ) ( 214590 3818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3782540 ) ( 250590 3782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3746540 ) ( 246590 3786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 3750540 ) ( 554590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3730540 ) ( 550590 3754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3734540 ) ( 1178590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3682540 ) ( 1174590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3686540 ) ( 1370590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3671000 ) ( 1366590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3120000 ) ( 5825000 3130540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3126540 ) ( 5829000 3126540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3106540 ) ( 5798590 3130540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3110540 ) ( 5802590 3110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3090540 ) ( 4934590 3114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3094540 ) ( 4938590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3031000 ) ( 4310590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4314590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3120000 ) ( 5825000 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3106540 ) ( 5798590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3110540 ) ( 5802590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3090540 ) ( 5190590 3114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3094540 ) ( 5194590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3042540 ) ( 4566590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3046540 ) ( 4570590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3031000 ) ( 4374590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4378590 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3650000 ) ( 186590 3650000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3602540 ) ( 182590 3654000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3606540 ) ( 874590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3602540 ) ( 870590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3670540 ) ( 1109000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3666540 ) ( 1105000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3618540 ) ( 175000 3650000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3622540 ) ( 186590 3622540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 3602540 ) ( 182590 3626540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 3606540 ) ( 858590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3602540 ) ( 854590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3670540 ) ( 1050590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3666540 ) ( 1046590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3200000 ) ( 5825000 3210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3206540 ) ( 5829000 3206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3202540 ) ( 5798590 3242540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3238540 ) ( 5802590 3238540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3234540 ) ( 5766590 3274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3270540 ) ( 5770590 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3266540 ) ( 5494590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3286540 ) ( 5498590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3282540 ) ( 4870590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3350540 ) ( 4874590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3346540 ) ( 4630590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4634590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3200000 ) ( 5825000 3200000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3196000 ) ( 5798590 3210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3206540 ) ( 5802590 3206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3202540 ) ( 5782590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3270540 ) ( 5786590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3266540 ) ( 5510590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3286540 ) ( 5514590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3282540 ) ( 4886590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3350540 ) ( 4890590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3346540 ) ( 4694590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4698590 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4018590 5825000 ) ( 4030000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5794540 ) ( 4022590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5798540 ) ( 4026590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5591000 ) ( 3990590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3994590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 5794540 ) ( 4030000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4018590 5798540 ) ( 4034000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5778540 ) ( 4022590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5782540 ) ( 4026590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5650540 ) ( 3990590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5654540 ) ( 3994590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5658540 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4086295 5821000 ) ( 4086295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5794540 ) ( 4086590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5798540 ) ( 4090590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5506540 ) ( 4054590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5510540 ) ( 4058590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5271000 ) ( 3990590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3994590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4090000 5794540 ) ( 4090000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4082590 5798540 ) ( 4094000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5778540 ) ( 4086590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5782540 ) ( 4090590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5522540 ) ( 4054590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5526540 ) ( 4058590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5330540 ) ( 3990590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5334540 ) ( 3994590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5338540 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4246295 5821000 ) ( 4246295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5186540 ) ( 4246590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5190540 ) ( 4314590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4951000 ) ( 4310590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4314590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4250000 5794540 ) ( 4250000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5798540 ) ( 4254000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5202540 ) ( 4246590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5206540 ) ( 4298590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5010540 ) ( 4294590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5014540 ) ( 4309000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5018540 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4290590 5825000 ) ( 4300000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5794540 ) ( 4294590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4314590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5591000 ) ( 4310590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4314590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4300000 5794540 ) ( 4300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4304000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5650540 ) ( 4294590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5654540 ) ( 4309000 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5658540 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4406295 5821000 ) ( 4406295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5794540 ) ( 4406590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5798540 ) ( 4410590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5506540 ) ( 4374590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 5510540 ) ( 4378590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5271000 ) ( 4310590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4314590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4410000 5794540 ) ( 4410000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4402590 5798540 ) ( 4414000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5778540 ) ( 4406590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5782540 ) ( 4410590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5522540 ) ( 4374590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 5526540 ) ( 4378590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5330540 ) ( 4310590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 5334540 ) ( 4314590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5338540 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5040000 5825000 ) ( 5040000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5010590 5830540 ) ( 5044000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5506540 ) ( 5014590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5510540 ) ( 5018590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5271000 ) ( 4950590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4954590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5010590 5825000 ) ( 5040000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5522540 ) ( 5014590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5526540 ) ( 5018590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5330540 ) ( 4950590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5334540 ) ( 4954590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5338540 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 5303295 5821000 ) ( 5303295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5302590 5794540 ) ( 5302590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5798540 ) ( 5306590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5591000 ) ( 5270590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5274590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5266590 5825000 ) ( 5300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5650540 ) ( 5270590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5654540 ) ( 5274590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5658540 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 5237270 ) ( 5829000 5237270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 5238540 ) ( 5829000 5238540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 5234540 ) ( 5798590 5274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 5270540 ) ( 5802590 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5266540 ) ( 5590590 5279000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5594590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 5240000 ) ( 5825000 5274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 5270540 ) ( 5829000 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 5266540 ) ( 5654590 5279000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5658590 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4930000 ) ( 5825000 4938540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4934540 ) ( 5829000 4934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4930540 ) ( 5798590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4950540 ) ( 5802590 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4946540 ) ( 5590590 4959000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5594590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4930000 ) ( 5825000 4930000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4926000 ) ( 5798590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4950540 ) ( 5802590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4946540 ) ( 5654590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5658590 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 4472295 5821000 ) ( 4472295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4470590 5794540 ) ( 4470590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4418590 5798540 ) ( 4474590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4422590 5762540 ) ( 4422590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4386590 5766540 ) ( 4426590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5490540 ) ( 4390590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5494540 ) ( 4394590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4866540 ) ( 4374590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4870540 ) ( 4378590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4631000 ) ( 4310590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4314590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4470000 5794540 ) ( 4470000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4450590 5798540 ) ( 4474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4454590 5778540 ) ( 4454590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4418590 5782540 ) ( 4458590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4422590 5746540 ) ( 4422590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4386590 5750540 ) ( 4426590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5506540 ) ( 4390590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5510540 ) ( 4394590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4882540 ) ( 4374590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4886540 ) ( 4378590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4690540 ) ( 4310590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 4694540 ) ( 4314590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4698540 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4720000 5825000 ) ( 4720000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5830540 ) ( 4724000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5506540 ) ( 4694590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5510540 ) ( 4698590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5271000 ) ( 4630590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4634590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4690590 5825000 ) ( 4720000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5522540 ) ( 4694590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5526540 ) ( 4698590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5330540 ) ( 4630590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5334540 ) ( 4634590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5338540 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4770590 5825000 ) ( 4780000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4774590 5794540 ) ( 4774590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4738590 5798540 ) ( 4778590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4742590 5762540 ) ( 4742590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4706590 5766540 ) ( 4746590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5490540 ) ( 4710590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5494540 ) ( 4714590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4866540 ) ( 4694590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4870540 ) ( 4698590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4631000 ) ( 4630590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4634590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4780000 5794540 ) ( 4780000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4770590 5798540 ) ( 4784000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4774590 5778540 ) ( 4774590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4706590 5782540 ) ( 4778590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5506540 ) ( 4710590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5510540 ) ( 4714590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4882540 ) ( 4694590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4886540 ) ( 4698590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4690540 ) ( 4630590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 4694540 ) ( 4634590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4698540 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4850540 ) ( 5825000 4860000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4854540 ) ( 5829000 4854540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4850540 ) ( 5798590 4890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4886540 ) ( 5802590 4886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4882540 ) ( 5510590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4950540 ) ( 5514590 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4946540 ) ( 5270590 4959000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5274590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4860000 ) ( 5825000 4860000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4856000 ) ( 5798590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4886540 ) ( 5802590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4882540 ) ( 5526590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4950540 ) ( 5530590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4946540 ) ( 5334590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5338590 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4530540 ) ( 5825000 4540000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4534540 ) ( 5829000 4534540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4530540 ) ( 5798590 4570540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4566540 ) ( 5802590 4566540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4562540 ) ( 5510590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4630540 ) ( 5514590 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4626540 ) ( 5270590 4639000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5274590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4540000 ) ( 5825000 4540000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4536000 ) ( 5798590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4566540 ) ( 5802590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4562540 ) ( 5526590 4634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4630540 ) ( 5530590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4626540 ) ( 5334590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5338590 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4210540 ) ( 5825000 4220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4214540 ) ( 5829000 4214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4210540 ) ( 5798590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4246540 ) ( 5802590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4242540 ) ( 5510590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4310540 ) ( 5514590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4306540 ) ( 5270590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5274590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4220000 ) ( 5825000 4220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4216000 ) ( 5798590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4246540 ) ( 5802590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4242540 ) ( 5526590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4310540 ) ( 5530590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4306540 ) ( 5334590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5338590 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4160000 ) ( 5825000 4170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4166540 ) ( 5829000 4166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4162540 ) ( 5798590 4202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 4198540 ) ( 5802590 4198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 4194540 ) ( 5766590 4234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 4230540 ) ( 5770590 4230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 4226540 ) ( 5494590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 4246540 ) ( 5498590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 4242540 ) ( 4870590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4310540 ) ( 4874590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4306540 ) ( 4630590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4634590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4160000 ) ( 5825000 4160000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4156000 ) ( 5798590 4170540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4166540 ) ( 5802590 4166540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4162540 ) ( 5782590 4234540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4230540 ) ( 5786590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4226540 ) ( 5510590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 4246540 ) ( 5514590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 4242540 ) ( 4886590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 4310540 ) ( 4890590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4306540 ) ( 4694590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4698590 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4080000 ) ( 5825000 4090540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4086540 ) ( 5829000 4086540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4090540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 4070540 ) ( 5802590 4070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 4050540 ) ( 4934590 4074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4054540 ) ( 4938590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3991000 ) ( 4310590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4314590 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4080000 ) ( 5825000 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 4070540 ) ( 5802590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 4050540 ) ( 5190590 4074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 4054540 ) ( 5194590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 4002540 ) ( 4566590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 4006540 ) ( 4570590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3991000 ) ( 4374590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4378590 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 3703270 ) ( 5829000 3703270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3702540 ) ( 5829000 3702540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3700000 ) ( 5825000 3700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3696000 ) ( 5798590 3706540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3702540 ) ( 5802590 3702540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3698540 ) ( 5782590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3734540 ) ( 5786590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3671000 ) ( 4950590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 4954590 3675000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3734540 ) ( 5786590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3682540 ) ( 5206590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3686540 ) ( 5210590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3671000 ) ( 5014590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5018590 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 3637270 ) ( 5829000 3637270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3638540 ) ( 5829000 3638540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3634540 ) ( 5798590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3670540 ) ( 5802590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3666540 ) ( 5590590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5594590 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3640000 ) ( 5825000 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3670540 ) ( 5829000 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3666540 ) ( 5654590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5658590 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 3446270 ) ( 5829000 3446270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3446540 ) ( 5829000 3446540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3426540 ) ( 5798590 3450540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3430540 ) ( 5802590 3430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3410540 ) ( 4934590 3434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3414540 ) ( 4938590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3351000 ) ( 4310590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4314590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3450000 ) ( 5825000 3450000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3426540 ) ( 5798590 3454000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3430540 ) ( 5802590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3410540 ) ( 5190590 3434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3414540 ) ( 5194590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3362540 ) ( 4566590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3366540 ) ( 4570590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3351000 ) ( 4374590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4378590 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4540 + SHAPE IOWIRE ( 5821000 3272270 ) ( 5829000 3272270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3270540 ) ( 5829000 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3266540 ) ( 5798590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3286540 ) ( 5802590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3282540 ) ( 5510590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3350540 ) ( 5514590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3346540 ) ( 5270590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5274590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3270000 ) ( 5825000 3270000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3266000 ) ( 5798590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3286540 ) ( 5802590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3282540 ) ( 5526590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3350540 ) ( 5530590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3346540 ) ( 5334590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5338590 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4023270 ) ( 5829000 4023270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4022540 ) ( 5829000 4022540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4020000 ) ( 5825000 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4016000 ) ( 5798590 4026540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4022540 ) ( 5802590 4022540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4018540 ) ( 5782590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4054540 ) ( 5786590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3991000 ) ( 4950590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 4954590 3995000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4054540 ) ( 5786590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4002540 ) ( 5206590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4006540 ) ( 5210590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3991000 ) ( 5014590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5018590 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3840000 ) ( 5825000 3850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3846540 ) ( 5829000 3846540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3842540 ) ( 5798590 3882540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3878540 ) ( 5802590 3878540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3874540 ) ( 5766590 3914540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3910540 ) ( 5770590 3910540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3906540 ) ( 5494590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3926540 ) ( 5498590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3922540 ) ( 4870590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3990540 ) ( 4874590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3986540 ) ( 4630590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4634590 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3840000 ) ( 5825000 3840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3836000 ) ( 5798590 3850540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3846540 ) ( 5802590 3846540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3842540 ) ( 5782590 3914540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3910540 ) ( 5786590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3906540 ) ( 5510590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3926540 ) ( 5514590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3922540 ) ( 4886590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3990540 ) ( 4890590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3986540 ) ( 4694590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4698590 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 3766270 ) ( 5829000 3766270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3766540 ) ( 5829000 3766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3746540 ) ( 5798590 3770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3750540 ) ( 5802590 3750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3730540 ) ( 4934590 3754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3734540 ) ( 4938590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3671000 ) ( 4310590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4314590 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3770000 ) ( 5825000 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3746540 ) ( 5798590 3774000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3750540 ) ( 5802590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3730540 ) ( 5190590 3754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3734540 ) ( 5194590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3682540 ) ( 4566590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3686540 ) ( 4570590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3671000 ) ( 4374590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4378590 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2850590 5825000 ) ( 2860000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5794540 ) ( 2854590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2818590 5798540 ) ( 2858590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2822590 5762540 ) ( 2822590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2786590 5766540 ) ( 2826590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2790590 5490540 ) ( 2790590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5494540 ) ( 2794590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4866540 ) ( 2774590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 4870540 ) ( 2778590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4631000 ) ( 2710590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2714590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2860000 5794540 ) ( 2860000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2850590 5798540 ) ( 2864000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5778540 ) ( 2854590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2786590 5782540 ) ( 2858590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 5506540 ) ( 2790590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5510540 ) ( 2794590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4882540 ) ( 2774590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 4886540 ) ( 2778590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4690540 ) ( 2710590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 4694540 ) ( 2714590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4698540 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2930590 5825000 ) ( 2940000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2934590 5794540 ) ( 2934590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2930590 5798540 ) ( 2954590 5798540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 5794540 ) ( 2940000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2936000 5798540 ) ( 2954590 5798540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2950590 5186540 ) ( 2950590 5802540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2946590 5190540 ) ( 2970590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4546540 ) ( 2966590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 4550540 ) ( 3034590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4311000 ) ( 3030590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3034590 4315000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4562540 ) ( 2966590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 4566540 ) ( 3018590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 4370540 ) ( 3014590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 4374540 ) ( 3029000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4378540 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3058590 5825000 ) ( 3070000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3062590 5794540 ) ( 3062590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5798540 ) ( 3066590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5591000 ) ( 3030590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3034590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3042590 5825000 ) ( 3070000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3046590 5794540 ) ( 3046590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5798540 ) ( 3050590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5650540 ) ( 3030590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5654540 ) ( 3034590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5658540 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3330590 5825000 ) ( 3340000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5778540 ) ( 3334590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5782540 ) ( 3338590 5782540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3340000 5825000 ) ( 3340000 5850540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3250590 5846540 ) ( 3344000 5846540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3254590 5778540 ) ( 3254590 5850540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3250590 5782540 ) ( 3290590 5782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5202540 ) ( 3286590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5206540 ) ( 3354590 5206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4951000 ) ( 3350590 5210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3354590 4955000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5206540 ) ( 3338590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5010540 ) ( 3334590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 5014540 ) ( 3349000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5018540 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3192295 5821000 ) ( 3192295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3190590 5794540 ) ( 3190590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3138590 5798540 ) ( 3194590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3142590 5762540 ) ( 3142590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3106590 5766540 ) ( 3146590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3110590 5490540 ) ( 3110590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5494540 ) ( 3114590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4866540 ) ( 3094590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 4870540 ) ( 3098590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4631000 ) ( 3030590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3034590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3190000 5794540 ) ( 3190000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3170590 5798540 ) ( 3194000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3174590 5778540 ) ( 3174590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3138590 5782540 ) ( 3178590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3142590 5746540 ) ( 3142590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3106590 5750540 ) ( 3146590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 5506540 ) ( 3110590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5510540 ) ( 3114590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4882540 ) ( 3094590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 4886540 ) ( 3098590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4690540 ) ( 3030590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 4694540 ) ( 3034590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4698540 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4020000 ) ( 186590 4020000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3986540 ) ( 182590 4024000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3990540 ) ( 469000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3986540 ) ( 465000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4020000 ) ( 202590 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4002540 ) ( 198590 4024000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4006540 ) ( 410590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3991000 ) ( 406590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4080000 ) ( 186590 4080000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4050540 ) ( 182590 4084000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4054540 ) ( 554590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3986540 ) ( 550590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3990540 ) ( 789000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3986540 ) ( 785000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4080000 ) ( 202590 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4050540 ) ( 198590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4054540 ) ( 538590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4002540 ) ( 534590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4006540 ) ( 730590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3991000 ) ( 726590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4150000 ) ( 186590 4150000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4098540 ) ( 182590 4154000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4102540 ) ( 234590 4102540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4066540 ) ( 230590 4106540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4070540 ) ( 570590 4070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4050540 ) ( 566590 4074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4054540 ) ( 1194590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3986540 ) ( 1190590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3990540 ) ( 1429000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3986540 ) ( 1425000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4114540 ) ( 175000 4150000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4118540 ) ( 186590 4118540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 4098540 ) ( 182590 4122540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 4102540 ) ( 250590 4102540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4066540 ) ( 246590 4106540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 4070540 ) ( 554590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4050540 ) ( 550590 4074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4054540 ) ( 1178590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4002540 ) ( 1174590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4006540 ) ( 1370590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3991000 ) ( 1366590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4210540 ) ( 175000 4220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4214540 ) ( 202590 4214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4210540 ) ( 198590 4234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4230540 ) ( 890590 4230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 4226540 ) ( 886590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 4246540 ) ( 1514590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 4242540 ) ( 1510590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 4310540 ) ( 1749000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4306540 ) ( 1745000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4220000 ) ( 202590 4220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4216000 ) ( 198590 4234540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4230540 ) ( 874590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 4226540 ) ( 870590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 4246540 ) ( 1498590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 4242540 ) ( 1494590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 4310540 ) ( 1690590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 4306540 ) ( 1686590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4400000 ) ( 186590 4400000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4370540 ) ( 182590 4404000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4374540 ) ( 554590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4306540 ) ( 550590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4310540 ) ( 789000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4306540 ) ( 785000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4400000 ) ( 202590 4400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4370540 ) ( 198590 4404000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4374540 ) ( 538590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4322540 ) ( 534590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4326540 ) ( 730590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4311000 ) ( 726590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5040000 ) ( 186590 5040000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5010540 ) ( 182590 5044000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5014540 ) ( 554590 5014540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4946540 ) ( 550590 5018540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4950540 ) ( 789000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4946540 ) ( 785000 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5040000 ) ( 202590 5040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5010540 ) ( 198590 5044000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5014540 ) ( 538590 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4962540 ) ( 534590 5018540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4966540 ) ( 730590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4951000 ) ( 726590 4970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5300000 ) ( 186590 5300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5266540 ) ( 182590 5304000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5270540 ) ( 469000 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 5266540 ) ( 465000 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5300000 ) ( 202590 5300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5282540 ) ( 198590 5304000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5286540 ) ( 410590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5271000 ) ( 406590 5290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 5366270 ) ( 179000 5366270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 5366540 ) ( 186590 5366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5330540 ) ( 182590 5370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5334540 ) ( 554590 5334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 5266540 ) ( 550590 5338540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 5270540 ) ( 789000 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 5266540 ) ( 785000 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5330540 ) ( 175000 5370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 5334540 ) ( 538590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 5282540 ) ( 534590 5338540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 5286540 ) ( 730590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 5271000 ) ( 726590 5290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5620000 ) ( 186590 5620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5586540 ) ( 182590 5624000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5590540 ) ( 469000 5590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 5586540 ) ( 465000 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5620000 ) ( 202590 5620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5602540 ) ( 198590 5624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5606540 ) ( 410590 5606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5591000 ) ( 406590 5610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4450540 ) ( 175000 4460000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4454540 ) ( 202590 4454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4418540 ) ( 198590 4458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4422540 ) ( 234590 4422540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4386540 ) ( 230590 4426540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4390540 ) ( 570590 4390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4370540 ) ( 566590 4394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4374540 ) ( 1194590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 4306540 ) ( 1190590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 4310540 ) ( 1429000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4306540 ) ( 1425000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4418540 ) ( 175000 4460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4422540 ) ( 218590 4422540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4386540 ) ( 214590 4426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4390540 ) ( 554590 4390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4370540 ) ( 550590 4394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4374540 ) ( 1178590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4322540 ) ( 1174590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4326540 ) ( 1370590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4311000 ) ( 1366590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4610540 ) ( 175000 4620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4614540 ) ( 218590 4614540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4620000 ) ( 202590 4620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4610540 ) ( 198590 4624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4614540 ) ( 218590 4614540 ) NEW metal10 8000 + SHAPE IOWIRE ( 214590 4562540 ) ( 214590 4618540 ) NEW metal10 8000 + SHAPE IOWIRE ( 210590 4566540 ) ( 858590 4566540 ) NEW metal10 8000 + SHAPE IOWIRE ( 854590 4562540 ) ( 854590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 850590 4630540 ) ( 1109000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4626540 ) ( 1105000 4635000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4630540 ) ( 1050590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4626540 ) ( 1046590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4677270 ) ( 179000 4677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4678540 ) ( 202590 4678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4626540 ) ( 198590 4682540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4630540 ) ( 469000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4626540 ) ( 465000 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4642540 ) ( 175000 4680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4646540 ) ( 410590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4631000 ) ( 406590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1200000 5825000 ) ( 1200000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1170590 5830540 ) ( 1204000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5506540 ) ( 1174590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5510540 ) ( 1178590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5271000 ) ( 1110590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1114590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1170590 5825000 ) ( 1200000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5522540 ) ( 1174590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5526540 ) ( 1178590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5330540 ) ( 1110590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5334540 ) ( 1114590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5338540 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 1397295 5821000 ) ( 1397295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1398590 5778540 ) ( 1398590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5782540 ) ( 1402590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5186540 ) ( 1366590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5190540 ) ( 1434590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 4951000 ) ( 1430590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1434590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1362590 5825000 ) ( 1400000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5202540 ) ( 1366590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5206540 ) ( 1418590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 5010540 ) ( 1414590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 5014540 ) ( 1429000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5018540 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1458590 5825000 ) ( 1470000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5794540 ) ( 1462590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5798540 ) ( 1466590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5591000 ) ( 1430590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1434590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 5794540 ) ( 1470000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1458590 5798540 ) ( 1474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5778540 ) ( 1462590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5782540 ) ( 1466590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5650540 ) ( 1430590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5654540 ) ( 1434590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5658540 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1783295 5821000 ) ( 1783295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1782590 5794540 ) ( 1782590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5798540 ) ( 1786590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5591000 ) ( 1750590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1754590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1746590 5825000 ) ( 1780000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5650540 ) ( 1750590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5654540 ) ( 1754590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5658540 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1970590 5825000 ) ( 1980000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1974590 5794540 ) ( 1974590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1970590 5798540 ) ( 1994590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5170540 ) ( 1990590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1986590 5174540 ) ( 2010590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4546540 ) ( 2006590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 4550540 ) ( 2074590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4311000 ) ( 2070590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2074590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 5794540 ) ( 1980000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1976000 5798540 ) ( 1994590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5186540 ) ( 1990590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1986590 5190540 ) ( 2010590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4562540 ) ( 2006590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 4566540 ) ( 2058590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 4370540 ) ( 2054590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 4374540 ) ( 2069000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4378540 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2357295 5821000 ) ( 2357295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2358590 5778540 ) ( 2358590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5782540 ) ( 2362590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5186540 ) ( 2326590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5190540 ) ( 2394590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4951000 ) ( 2390590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2394590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2322590 5825000 ) ( 2360000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5202540 ) ( 2326590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5206540 ) ( 2378590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 5010540 ) ( 2374590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 5014540 ) ( 2389000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5018540 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2423295 5821000 ) ( 2423295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2422590 5794540 ) ( 2422590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5798540 ) ( 2426590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5591000 ) ( 2390590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2394590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2386590 5825000 ) ( 2420000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5650540 ) ( 2390590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5654540 ) ( 2394590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5658540 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2486295 5821000 ) ( 2486295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5794540 ) ( 2486590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5798540 ) ( 2490590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5506540 ) ( 2454590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5510540 ) ( 2458590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5271000 ) ( 2390590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2394590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2490000 5794540 ) ( 2490000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2482590 5798540 ) ( 2494000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5778540 ) ( 2486590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5782540 ) ( 2490590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5522540 ) ( 2454590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5526540 ) ( 2458590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5330540 ) ( 2390590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5334540 ) ( 2394590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5338540 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 2552295 5821000 ) ( 2552295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2550590 5794540 ) ( 2550590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2498590 5798540 ) ( 2554590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2502590 5762540 ) ( 2502590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2466590 5766540 ) ( 2506590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5490540 ) ( 2470590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5494540 ) ( 2474590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4866540 ) ( 2454590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 4870540 ) ( 2458590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4631000 ) ( 2390590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2394590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2550000 5794540 ) ( 2550000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2530590 5798540 ) ( 2554000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2534590 5778540 ) ( 2534590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2498590 5782540 ) ( 2538590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2502590 5746540 ) ( 2502590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2466590 5750540 ) ( 2506590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5506540 ) ( 2470590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5510540 ) ( 2474590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4882540 ) ( 2454590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 4886540 ) ( 2458590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4690540 ) ( 2390590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 4694540 ) ( 2394590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4698540 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2037295 5821000 ) ( 2037295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2038590 5778540 ) ( 2038590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5782540 ) ( 2042590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5186540 ) ( 2006590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5190540 ) ( 2074590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4951000 ) ( 2070590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2074590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2002590 5825000 ) ( 2040000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5202540 ) ( 2006590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5206540 ) ( 2058590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 5010540 ) ( 2054590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 5014540 ) ( 2069000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5018540 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2098590 5825000 ) ( 2110000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5794540 ) ( 2102590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5798540 ) ( 2106590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5591000 ) ( 2070590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2074590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2110000 5794540 ) ( 2110000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2098590 5798540 ) ( 2114000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5778540 ) ( 2102590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5782540 ) ( 2106590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5650540 ) ( 2070590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5654540 ) ( 2074590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5658540 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2166295 5821000 ) ( 2166295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5794540 ) ( 2166590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5798540 ) ( 2170590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5506540 ) ( 2134590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5510540 ) ( 2138590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5271000 ) ( 2070590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2074590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2170000 5794540 ) ( 2170000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2162590 5798540 ) ( 2174000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5778540 ) ( 2166590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5782540 ) ( 2170590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5522540 ) ( 2134590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5526540 ) ( 2138590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5330540 ) ( 2070590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5334540 ) ( 2074590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5338540 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 3957295 5821000 ) ( 3957295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3958590 5778540 ) ( 3958590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5782540 ) ( 3962590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5186540 ) ( 3926590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5190540 ) ( 3994590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4951000 ) ( 3990590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3994590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3922590 5825000 ) ( 3960000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5202540 ) ( 3926590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5206540 ) ( 3978590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 5010540 ) ( 3974590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 5014540 ) ( 3989000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5018540 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3316000 182540 ) ( 3354590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 178540 ) ( 3350590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 470540 ) ( 3354590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 466540 ) ( 3345000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3316000 198540 ) ( 3338590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 194540 ) ( 3334590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 406540 ) ( 3349000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 402540 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2170590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 171000 ) ( 2166590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2170590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 886540 ) ( 2154590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 882540 ) ( 2134590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 1510540 ) ( 2138590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1506540 ) ( 2070590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1750540 ) ( 2074590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1746540 ) ( 2065000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2164000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 870540 ) ( 2154590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 866540 ) ( 2134590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 1494540 ) ( 2138590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1490540 ) ( 2070590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1686540 ) ( 2074590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1682540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2103295 171000 ) ( 2103295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2102590 171000 ) ( 2102590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2100000 175000 ) ( 2100000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2096000 198540 ) ( 2106590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 194540 ) ( 2102590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2098590 214540 ) ( 2138590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 210540 ) ( 2134590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 870540 ) ( 2138590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 866540 ) ( 2070590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1110540 ) ( 2074590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1106540 ) ( 2065000 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 210540 ) ( 2134590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 854540 ) ( 2138590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 850540 ) ( 2070590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1046540 ) ( 2074590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1042540 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1930590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1926590 171000 ) ( 1926590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1922590 198540 ) ( 1962590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1958590 194540 ) ( 1958590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1954590 230540 ) ( 1994590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1990590 226540 ) ( 1990590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1986590 1414540 ) ( 2010590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 1410540 ) ( 2006590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 1430540 ) ( 2069000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1426540 ) ( 2065000 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1916000 198540 ) ( 1930590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1926590 194540 ) ( 1926590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1922590 214540 ) ( 1994590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 210540 ) ( 1990590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1986590 550540 ) ( 2010590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 546540 ) ( 2006590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 1174540 ) ( 2058590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 1170540 ) ( 2054590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 1366540 ) ( 2069000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1362540 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 1846295 171000 ) ( 1846295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1846590 171000 ) ( 1846590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1826590 198540 ) ( 1850590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1830590 194540 ) ( 1830590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 886540 ) ( 1834590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 882540 ) ( 1814590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 1510540 ) ( 1818590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1506540 ) ( 1750590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1750540 ) ( 1754590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1746540 ) ( 1745000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1826590 198540 ) ( 1854000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 194540 ) ( 1830590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1810590 870540 ) ( 1834590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 866540 ) ( 1814590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 1494540 ) ( 1818590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1490540 ) ( 1750590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1686540 ) ( 1754590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1682540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1783295 171000 ) ( 1783295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1782590 171000 ) ( 1782590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1776000 198540 ) ( 1786590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1782590 194540 ) ( 1782590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 1778590 214540 ) ( 1818590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 210540 ) ( 1814590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 870540 ) ( 1818590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 866540 ) ( 1750590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1110540 ) ( 1754590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1106540 ) ( 1745000 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 210540 ) ( 1814590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 854540 ) ( 1818590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 850540 ) ( 1750590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1046540 ) ( 1754590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1042540 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1716000 182540 ) ( 1754590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 178540 ) ( 1750590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 470540 ) ( 1754590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 466540 ) ( 1745000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1716000 198540 ) ( 1738590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 194540 ) ( 1734590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 406540 ) ( 1749000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 402540 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3126295 171000 ) ( 3126295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3126590 171000 ) ( 3126590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3106590 198540 ) ( 3130590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3110590 194540 ) ( 3110590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 886540 ) ( 3114590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 882540 ) ( 3094590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 1510540 ) ( 3098590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1506540 ) ( 3030590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1750540 ) ( 3034590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1746540 ) ( 3025000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3106590 198540 ) ( 3134000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 194540 ) ( 3110590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 870540 ) ( 3114590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 866540 ) ( 3094590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 1494540 ) ( 3098590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1490540 ) ( 3030590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1686540 ) ( 3034590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1682540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3063295 171000 ) ( 3063295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3062590 171000 ) ( 3062590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3056000 198540 ) ( 3066590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3062590 194540 ) ( 3062590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3058590 214540 ) ( 3098590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 210540 ) ( 3094590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 870540 ) ( 3098590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 866540 ) ( 3030590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1110540 ) ( 3034590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1106540 ) ( 3025000 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 210540 ) ( 3094590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 854540 ) ( 3098590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 850540 ) ( 3030590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1046540 ) ( 3034590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1042540 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2996000 182540 ) ( 3034590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 178540 ) ( 3030590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 470540 ) ( 3034590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 466540 ) ( 3025000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2996000 198540 ) ( 3018590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 194540 ) ( 3014590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 406540 ) ( 3029000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 402540 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2806295 171000 ) ( 2806295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2806590 171000 ) ( 2806590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2786590 198540 ) ( 2810590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2790590 194540 ) ( 2790590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 886540 ) ( 2794590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 882540 ) ( 2774590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 1510540 ) ( 2778590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1506540 ) ( 2710590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1750540 ) ( 2714590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1746540 ) ( 2705000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2786590 198540 ) ( 2814000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 194540 ) ( 2790590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 870540 ) ( 2794590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 866540 ) ( 2774590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 1494540 ) ( 2778590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1490540 ) ( 2710590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1686540 ) ( 2714590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1682540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2746000 182540 ) ( 2778590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 178540 ) ( 2774590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 870540 ) ( 2778590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 866540 ) ( 2710590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1110540 ) ( 2714590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1106540 ) ( 2705000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2746000 198540 ) ( 2778590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 194540 ) ( 2774590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 854540 ) ( 2778590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 850540 ) ( 2710590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1046540 ) ( 2714590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1042540 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2676000 182540 ) ( 2714590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 178540 ) ( 2710590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 470540 ) ( 2714590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 466540 ) ( 2705000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2676000 198540 ) ( 2698590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 194540 ) ( 2694590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 406540 ) ( 2709000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 402540 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2616000 182540 ) ( 2650590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 178540 ) ( 2646590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 550540 ) ( 2714590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 546540 ) ( 2710590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 790540 ) ( 2714590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 786540 ) ( 2705000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2616000 198540 ) ( 2650590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 194540 ) ( 2646590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 534540 ) ( 2698590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 530540 ) ( 2694590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 726540 ) ( 2709000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 722540 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2426000 182540 ) ( 2458590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 178540 ) ( 2454590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 870540 ) ( 2458590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 866540 ) ( 2390590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1110540 ) ( 2394590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1106540 ) ( 2385000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2426000 198540 ) ( 2458590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 194540 ) ( 2454590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 854540 ) ( 2458590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 850540 ) ( 2390590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1046540 ) ( 2394590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1042540 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2378590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2374590 171000 ) ( 2374590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2370590 198540 ) ( 2394590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 194540 ) ( 2390590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 470540 ) ( 2394590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 466540 ) ( 2385000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2366000 198540 ) ( 2378590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 194540 ) ( 2374590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 406540 ) ( 2389000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 402540 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1466000 182540 ) ( 1498590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1494590 178540 ) ( 1494590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 870540 ) ( 1498590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 866540 ) ( 1430590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1110540 ) ( 1434590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1106540 ) ( 1425000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1466000 198540 ) ( 1498590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 194540 ) ( 1494590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 854540 ) ( 1498590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 850540 ) ( 1430590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1046540 ) ( 1434590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1042540 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1418590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1414590 171000 ) ( 1414590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1410590 198540 ) ( 1434590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 194540 ) ( 1430590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 470540 ) ( 1434590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 466540 ) ( 1425000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1406000 198540 ) ( 1418590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 194540 ) ( 1414590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 406540 ) ( 1429000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 402540 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1336000 182540 ) ( 1370590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 178540 ) ( 1366590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 550540 ) ( 1434590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 546540 ) ( 1430590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 790540 ) ( 1434590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 786540 ) ( 1425000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1336000 198540 ) ( 1370590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 194540 ) ( 1366590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 534540 ) ( 1418590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 530540 ) ( 1414590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 726540 ) ( 1429000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 722540 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3706000 182540 ) ( 3738590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 178540 ) ( 3734590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 870540 ) ( 3738590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 866540 ) ( 3670590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1110540 ) ( 3674590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1106540 ) ( 3665000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3706000 198540 ) ( 3738590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 194540 ) ( 3734590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 854540 ) ( 3738590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 850540 ) ( 3670590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1046540 ) ( 3674590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1042540 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4086295 171000 ) ( 4086295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 171000 ) ( 4086590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4066590 198540 ) ( 4090590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4070590 194540 ) ( 4070590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 886540 ) ( 4074590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 882540 ) ( 4054590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 1510540 ) ( 4058590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1506540 ) ( 3990590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1750540 ) ( 3994590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1746540 ) ( 3985000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4066590 198540 ) ( 4094000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 194540 ) ( 4070590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 870540 ) ( 4074590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 866540 ) ( 4054590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 1494540 ) ( 4058590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1490540 ) ( 3990590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1686540 ) ( 3994590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1682540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4536000 182540 ) ( 4570590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4566590 178540 ) ( 4566590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 550540 ) ( 4634590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 546540 ) ( 4630590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 790540 ) ( 4634590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 786540 ) ( 4625000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4536000 198540 ) ( 4570590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 194540 ) ( 4566590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 534540 ) ( 4618590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 530540 ) ( 4614590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 726540 ) ( 4629000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 722540 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4026000 182540 ) ( 4058590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 178540 ) ( 4054590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 870540 ) ( 4058590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 866540 ) ( 3990590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1110540 ) ( 3994590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1106540 ) ( 3985000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4026000 198540 ) ( 4058590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 194540 ) ( 4054590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 854540 ) ( 4058590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 850540 ) ( 3990590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1046540 ) ( 3994590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1042540 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3956000 182540 ) ( 3994590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 178540 ) ( 3990590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 470540 ) ( 3994590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 466540 ) ( 3985000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3956000 198540 ) ( 3978590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 194540 ) ( 3974590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 406540 ) ( 3989000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 402540 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2677270 ) ( 179000 2677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2678540 ) ( 218590 2678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2642540 ) ( 214590 2682540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2646540 ) ( 874590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2642540 ) ( 870590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2710540 ) ( 1109000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2706540 ) ( 1105000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2642540 ) ( 175000 2680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2646540 ) ( 858590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2642540 ) ( 854590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2710540 ) ( 1050590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2706540 ) ( 1046590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 436000 182540 ) ( 474590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 470590 178540 ) ( 470590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 461000 470540 ) ( 474590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 466540 ) ( 465000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 436000 198540 ) ( 458590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 454590 194540 ) ( 454590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 450590 406540 ) ( 469000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 465000 402540 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4856000 182540 ) ( 4890590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4886590 178540 ) ( 4886590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 550540 ) ( 4954590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 546540 ) ( 4950590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4941000 790540 ) ( 4954590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 786540 ) ( 4945000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4856000 198540 ) ( 4890590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 194540 ) ( 4886590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 534540 ) ( 4938590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 530540 ) ( 4934590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 726540 ) ( 4949000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 722540 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2880000 ) ( 5825000 2890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2886540 ) ( 5829000 2886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2882540 ) ( 5798590 2922540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2918540 ) ( 5802590 2918540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2914540 ) ( 5766590 2954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2950540 ) ( 5770590 2950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2946540 ) ( 5494590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2966540 ) ( 5498590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2962540 ) ( 4870590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3030540 ) ( 4874590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3026540 ) ( 4630590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4634590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2880000 ) ( 5825000 2880000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2876000 ) ( 5798590 2890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2886540 ) ( 5802590 2886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2882540 ) ( 5782590 2954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2950540 ) ( 5786590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2946540 ) ( 5510590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2966540 ) ( 5514590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2962540 ) ( 4886590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3030540 ) ( 4890590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3026540 ) ( 4694590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4698590 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2870000 ) ( 186590 2870000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2834540 ) ( 182590 2874000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2838540 ) ( 202590 2838540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2818540 ) ( 198590 2842540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2822540 ) ( 234590 2822540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2786540 ) ( 230590 2826540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2790540 ) ( 570590 2790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 2770540 ) ( 566590 2794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 2774540 ) ( 1194590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2706540 ) ( 1190590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2710540 ) ( 1429000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2706540 ) ( 1425000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2870000 ) ( 202590 2870000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2850540 ) ( 198590 2874000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2854540 ) ( 218590 2854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2818540 ) ( 214590 2858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2822540 ) ( 250590 2822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2786540 ) ( 246590 2826540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 2790540 ) ( 554590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 2770540 ) ( 550590 2794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 2774540 ) ( 1178590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2722540 ) ( 1174590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2726540 ) ( 1370590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2711000 ) ( 1366590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1890540 ) ( 175000 1900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1894540 ) ( 202590 1894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 1858540 ) ( 198590 1898540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 1862540 ) ( 234590 1862540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 1826540 ) ( 230590 1866540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 1830540 ) ( 570590 1830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 1810540 ) ( 566590 1834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 1814540 ) ( 1194590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 1746540 ) ( 1190590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 1750540 ) ( 1429000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1746540 ) ( 1425000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1858540 ) ( 175000 1900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1862540 ) ( 218590 1862540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1826540 ) ( 214590 1866540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 1830540 ) ( 554590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 1810540 ) ( 550590 1834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 1814540 ) ( 1178590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 1762540 ) ( 1174590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 1766540 ) ( 1370590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1751000 ) ( 1366590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1970540 ) ( 175000 1980000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1974540 ) ( 202590 1974540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 1970540 ) ( 198590 1994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 890590 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 1986540 ) ( 886590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2006540 ) ( 1514590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2002540 ) ( 1510590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2070540 ) ( 1749000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2066540 ) ( 1745000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1980000 ) ( 202590 1980000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1976000 ) ( 198590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 874590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 1986540 ) ( 870590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2006540 ) ( 1498590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2002540 ) ( 1494590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2070540 ) ( 1690590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2066540 ) ( 1686590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2037270 ) ( 179000 2037270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2038540 ) ( 218590 2038540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2002540 ) ( 214590 2042540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2006540 ) ( 874590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2002540 ) ( 870590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2070540 ) ( 1109000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2066540 ) ( 1105000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2002540 ) ( 175000 2040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2006540 ) ( 858590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2002540 ) ( 854590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2070540 ) ( 1050590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2066540 ) ( 1046590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2210540 ) ( 175000 2220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2214540 ) ( 202590 2214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2178540 ) ( 198590 2218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2182540 ) ( 234590 2182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2146540 ) ( 230590 2186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2150540 ) ( 570590 2150540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 2130540 ) ( 566590 2154540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 2134540 ) ( 1194590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2066540 ) ( 1190590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2070540 ) ( 1429000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2066540 ) ( 1425000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2178540 ) ( 175000 2220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2182540 ) ( 218590 2182540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2146540 ) ( 214590 2186540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2150540 ) ( 554590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 2130540 ) ( 550590 2154540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 2134540 ) ( 1178590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2082540 ) ( 1174590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2086540 ) ( 1370590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2071000 ) ( 1366590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2290540 ) ( 175000 2300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2294540 ) ( 202590 2294540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2290540 ) ( 198590 2314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 890590 2310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2306540 ) ( 886590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2326540 ) ( 1514590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2322540 ) ( 1510590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2390540 ) ( 1749000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2386540 ) ( 1745000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2300000 ) ( 202590 2300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2296000 ) ( 198590 2314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 874590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2306540 ) ( 870590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2326540 ) ( 1498590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2322540 ) ( 1494590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2390540 ) ( 1690590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2386540 ) ( 1686590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2370000 ) ( 186590 2370000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2322540 ) ( 182590 2374000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2326540 ) ( 874590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2322540 ) ( 870590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2390540 ) ( 1109000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2386540 ) ( 1105000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2338540 ) ( 175000 2370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2342540 ) ( 186590 2342540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 2322540 ) ( 182590 2346540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 2326540 ) ( 858590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2322540 ) ( 854590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2390540 ) ( 1050590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2386540 ) ( 1046590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1650540 ) ( 5825000 1660000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1654540 ) ( 5829000 1654540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1650540 ) ( 5798590 1690540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1686540 ) ( 5802590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1682540 ) ( 5510590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1750540 ) ( 5514590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1746540 ) ( 5270590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5274590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1660000 ) ( 5825000 1660000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1656000 ) ( 5798590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1686540 ) ( 5802590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1682540 ) ( 5526590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1750540 ) ( 5530590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1746540 ) ( 5334590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5338590 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1600000 ) ( 5825000 1610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1606540 ) ( 5829000 1606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1602540 ) ( 5798590 1642540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1638540 ) ( 5802590 1638540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1634540 ) ( 5766590 1674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1670540 ) ( 5770590 1670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1666540 ) ( 5494590 1690540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 1686540 ) ( 5498590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 1682540 ) ( 4870590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 1750540 ) ( 4874590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 1746540 ) ( 4630590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4634590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1600000 ) ( 5825000 1600000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1596000 ) ( 5798590 1610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1606540 ) ( 5802590 1606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1602540 ) ( 5782590 1674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1670540 ) ( 5786590 1670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1666540 ) ( 5510590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 1686540 ) ( 5514590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1682540 ) ( 4886590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1750540 ) ( 4890590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1746540 ) ( 4694590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4698590 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1463270 ) ( 5829000 1463270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1462540 ) ( 5829000 1462540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1460000 ) ( 5825000 1460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1456000 ) ( 5798590 1466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1462540 ) ( 5802590 1462540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1458540 ) ( 5782590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1494540 ) ( 5786590 1494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1431000 ) ( 4950590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 4954590 1435000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1494540 ) ( 5786590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1442540 ) ( 5206590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1446540 ) ( 5210590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1431000 ) ( 5014590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5018590 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1397270 ) ( 5829000 1397270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1398540 ) ( 5829000 1398540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1394540 ) ( 5798590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1430540 ) ( 5802590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1426540 ) ( 5590590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5594590 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1400000 ) ( 5825000 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1430540 ) ( 5829000 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1426540 ) ( 5654590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5658590 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2930540 ) ( 175000 2940000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2934540 ) ( 202590 2934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2930540 ) ( 198590 2954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2950540 ) ( 890590 2950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2946540 ) ( 886590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2966540 ) ( 1514590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2962540 ) ( 1510590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3030540 ) ( 1749000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3026540 ) ( 1745000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2940000 ) ( 202590 2940000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2936000 ) ( 198590 2954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2950540 ) ( 874590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2946540 ) ( 870590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2966540 ) ( 1498590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2962540 ) ( 1494590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3030540 ) ( 1690590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3026540 ) ( 1686590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1143270 ) ( 5829000 1143270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1142540 ) ( 5829000 1142540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1140000 ) ( 5825000 1140000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1136000 ) ( 5798590 1146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1142540 ) ( 5802590 1142540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1138540 ) ( 5782590 1178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1174540 ) ( 5786590 1174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1111000 ) ( 4950590 1178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 4954590 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1174540 ) ( 5786590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1122540 ) ( 5206590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1126540 ) ( 5210590 1126540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1111000 ) ( 5014590 1130540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5018590 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 770000 ) ( 5825000 778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 774540 ) ( 5829000 774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 770540 ) ( 5798590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 790540 ) ( 5802590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 786540 ) ( 5590590 799000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5594590 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 770000 ) ( 5825000 770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 766000 ) ( 5798590 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 790540 ) ( 5802590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 786540 ) ( 5654590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5658590 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 690540 ) ( 5825000 700000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 694540 ) ( 5829000 694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 690540 ) ( 5798590 730540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 726540 ) ( 5802590 726540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 722540 ) ( 5510590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 790540 ) ( 5514590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 786540 ) ( 5270590 799000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5274590 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 700000 ) ( 5825000 700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 696000 ) ( 5798590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 726540 ) ( 5802590 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 722540 ) ( 5526590 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 790540 ) ( 5530590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 786540 ) ( 5334590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5338590 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 437270 ) ( 5829000 437270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 438540 ) ( 5829000 438540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 434540 ) ( 5798590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 470540 ) ( 5802590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 466540 ) ( 5590590 479000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5594590 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 440000 ) ( 5825000 474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 470540 ) ( 5829000 470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 466540 ) ( 5654590 479000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5658590 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2560000 ) ( 5825000 2570540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2566540 ) ( 5829000 2566540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2562540 ) ( 5798590 2602540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2598540 ) ( 5802590 2598540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2594540 ) ( 5766590 2634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2630540 ) ( 5770590 2630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2626540 ) ( 5494590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2646540 ) ( 5498590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2642540 ) ( 4870590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2710540 ) ( 4874590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2706540 ) ( 4630590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4634590 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2560000 ) ( 5825000 2560000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2556000 ) ( 5798590 2570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2566540 ) ( 5802590 2566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2562540 ) ( 5782590 2634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2630540 ) ( 5786590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2626540 ) ( 5510590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2646540 ) ( 5514590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2642540 ) ( 4886590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2710540 ) ( 4890590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2706540 ) ( 4694590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4698590 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 2486270 ) ( 5829000 2486270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2486540 ) ( 5829000 2486540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2466540 ) ( 5798590 2490540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2470540 ) ( 5802590 2470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2450540 ) ( 4934590 2474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2454540 ) ( 4938590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2391000 ) ( 4310590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4314590 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2490000 ) ( 5825000 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2466540 ) ( 5798590 2494000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2470540 ) ( 5802590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2450540 ) ( 5190590 2474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2454540 ) ( 5194590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2402540 ) ( 4566590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2406540 ) ( 4570590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2391000 ) ( 4374590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4378590 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 2423270 ) ( 5829000 2423270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2422540 ) ( 5829000 2422540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2420000 ) ( 5825000 2420000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2416000 ) ( 5798590 2426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2422540 ) ( 5802590 2422540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2418540 ) ( 5782590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2454540 ) ( 5786590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2391000 ) ( 4950590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 4954590 2395000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2454540 ) ( 5786590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2402540 ) ( 5206590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2406540 ) ( 5210590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2391000 ) ( 5014590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5018590 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2240000 ) ( 5825000 2250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2246540 ) ( 5829000 2246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2242540 ) ( 5798590 2282540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2278540 ) ( 5802590 2278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2274540 ) ( 5766590 2314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2310540 ) ( 5770590 2310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2306540 ) ( 5494590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2326540 ) ( 5498590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2322540 ) ( 4870590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2390540 ) ( 4874590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2386540 ) ( 4630590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4634590 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2240000 ) ( 5825000 2240000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2236000 ) ( 5798590 2250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2246540 ) ( 5802590 2246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2242540 ) ( 5782590 2314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2310540 ) ( 5786590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2306540 ) ( 5510590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2326540 ) ( 5514590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2322540 ) ( 4886590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2390540 ) ( 4890590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2386540 ) ( 4694590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4698590 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2050000 ) ( 5825000 2058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2054540 ) ( 5829000 2054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2050540 ) ( 5798590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2070540 ) ( 5802590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2066540 ) ( 5590590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5594590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2050000 ) ( 5825000 2050000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2046000 ) ( 5798590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2070540 ) ( 5802590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2066540 ) ( 5654590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5658590 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 4540 + SHAPE IOWIRE ( 5821000 1992270 ) ( 5829000 1992270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1990540 ) ( 5829000 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1986540 ) ( 5798590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2006540 ) ( 5802590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2002540 ) ( 5510590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2070540 ) ( 5514590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2066540 ) ( 5270590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5274590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1990000 ) ( 5825000 1990000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1986000 ) ( 5798590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2006540 ) ( 5802590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2002540 ) ( 5526590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2070540 ) ( 5530590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2066540 ) ( 5334590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5338590 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3120000 ) ( 186590 3120000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3090540 ) ( 182590 3124000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3094540 ) ( 554590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3026540 ) ( 550590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3030540 ) ( 789000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3026540 ) ( 785000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3120000 ) ( 202590 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3090540 ) ( 198590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3094540 ) ( 538590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3042540 ) ( 534590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3046540 ) ( 730590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3031000 ) ( 726590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1920000 ) ( 5825000 1930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1926540 ) ( 5829000 1926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1922540 ) ( 5798590 1962540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1958540 ) ( 5802590 1958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1954540 ) ( 5766590 1994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1990540 ) ( 5770590 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1986540 ) ( 5494590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2006540 ) ( 5498590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2002540 ) ( 4870590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2070540 ) ( 4874590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2066540 ) ( 4630590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4634590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1920000 ) ( 5825000 1920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1916000 ) ( 5798590 1930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1926540 ) ( 5802590 1926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1922540 ) ( 5782590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1990540 ) ( 5786590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1986540 ) ( 5510590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2006540 ) ( 5514590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2002540 ) ( 4886590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2070540 ) ( 4890590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2066540 ) ( 4694590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4698590 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1840000 ) ( 5825000 1850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1846540 ) ( 5829000 1846540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1826540 ) ( 5798590 1850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 1830540 ) ( 5802590 1830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 1810540 ) ( 4934590 1834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 1814540 ) ( 4938590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 1751000 ) ( 4310590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4314590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1840000 ) ( 5825000 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1826540 ) ( 5798590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 1830540 ) ( 5802590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 1810540 ) ( 5190590 1834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 1814540 ) ( 5194590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 1762540 ) ( 4566590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 1766540 ) ( 4570590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 1751000 ) ( 4374590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4378590 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3170540 ) ( 175000 3180000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3174540 ) ( 202590 3174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3138540 ) ( 198590 3178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3142540 ) ( 234590 3142540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3106540 ) ( 230590 3146540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3110540 ) ( 570590 3110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3090540 ) ( 566590 3114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3094540 ) ( 1194590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3026540 ) ( 1190590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3030540 ) ( 1429000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3026540 ) ( 1425000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3138540 ) ( 175000 3180000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3142540 ) ( 218590 3142540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3106540 ) ( 214590 3146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3110540 ) ( 554590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3090540 ) ( 550590 3114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3094540 ) ( 1178590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3042540 ) ( 1174590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3046540 ) ( 1370590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3031000 ) ( 1366590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3250540 ) ( 175000 3260000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3254540 ) ( 202590 3254540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3250540 ) ( 198590 3274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 890590 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3266540 ) ( 886590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3286540 ) ( 1514590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3282540 ) ( 1510590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3350540 ) ( 1749000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3346540 ) ( 1745000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3260000 ) ( 202590 3260000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3256000 ) ( 198590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 874590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3266540 ) ( 870590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3286540 ) ( 1498590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3282540 ) ( 1494590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3350540 ) ( 1690590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3346540 ) ( 1686590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 3317270 ) ( 179000 3317270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3318540 ) ( 218590 3318540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 3282540 ) ( 214590 3322540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 3286540 ) ( 874590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3282540 ) ( 870590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3350540 ) ( 1109000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3346540 ) ( 1105000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3282540 ) ( 175000 3320000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3286540 ) ( 858590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3282540 ) ( 854590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3350540 ) ( 1050590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3346540 ) ( 1046590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3490540 ) ( 175000 3500000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3494540 ) ( 202590 3494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3458540 ) ( 198590 3498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3462540 ) ( 234590 3462540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3426540 ) ( 230590 3466540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3430540 ) ( 570590 3430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3410540 ) ( 566590 3434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3414540 ) ( 1194590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3346540 ) ( 1190590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3350540 ) ( 1429000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3346540 ) ( 1425000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3458540 ) ( 175000 3500000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3462540 ) ( 218590 3462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3426540 ) ( 214590 3466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3430540 ) ( 554590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3410540 ) ( 550590 3434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3414540 ) ( 1178590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3362540 ) ( 1174590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3366540 ) ( 1370590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3351000 ) ( 1366590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3570540 ) ( 175000 3580000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3574540 ) ( 202590 3574540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3570540 ) ( 198590 3594540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 890590 3590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3586540 ) ( 886590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3606540 ) ( 1514590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3602540 ) ( 1510590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3670540 ) ( 1749000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3666540 ) ( 1745000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3580000 ) ( 202590 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3576000 ) ( 198590 3594540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 874590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3586540 ) ( 870590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3606540 ) ( 1498590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3602540 ) ( 1494590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3670540 ) ( 1690590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3666540 ) ( 1686590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1780000 ) ( 186590 1780000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1746540 ) ( 182590 1784000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1750540 ) ( 469000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 1746540 ) ( 465000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1780000 ) ( 202590 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1762540 ) ( 198590 1784000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1766540 ) ( 410590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1751000 ) ( 406590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1840000 ) ( 186590 1840000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1810540 ) ( 182590 1844000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1814540 ) ( 554590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 1746540 ) ( 550590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 1750540 ) ( 789000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 1746540 ) ( 785000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1840000 ) ( 202590 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1810540 ) ( 198590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1814540 ) ( 538590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 1762540 ) ( 534590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 1766540 ) ( 730590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1751000 ) ( 726590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2610540 ) ( 175000 2620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2614540 ) ( 202590 2614540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2610540 ) ( 198590 2634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 890590 2630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2626540 ) ( 886590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2646540 ) ( 1514590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2642540 ) ( 1510590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2710540 ) ( 1749000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2706540 ) ( 1745000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2620000 ) ( 202590 2620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2616000 ) ( 198590 2634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 874590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2626540 ) ( 870590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2646540 ) ( 1498590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2642540 ) ( 1494590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2710540 ) ( 1690590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2706540 ) ( 1686590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 696000 182540 ) ( 730590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 726590 178540 ) ( 726590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 722590 550540 ) ( 794590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 546540 ) ( 790590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 781000 790540 ) ( 794590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 786540 ) ( 785000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 696000 198540 ) ( 730590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 194540 ) ( 726590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 534540 ) ( 778590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 530540 ) ( 774590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 726540 ) ( 789000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 722540 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4596000 182540 ) ( 4634590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 178540 ) ( 4630590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 470540 ) ( 4634590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 466540 ) ( 4625000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4596000 198540 ) ( 4618590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 194540 ) ( 4614590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 406540 ) ( 4629000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 402540 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 2743270 ) ( 5829000 2743270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2742540 ) ( 5829000 2742540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2740000 ) ( 5825000 2740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2736000 ) ( 5798590 2746540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2742540 ) ( 5802590 2742540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2738540 ) ( 5782590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2774540 ) ( 5786590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2711000 ) ( 4950590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 4954590 2715000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2774540 ) ( 5786590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2722540 ) ( 5206590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2726540 ) ( 5210590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2711000 ) ( 5014590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5018590 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2418540 ) ( 175000 2430000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2422540 ) ( 202590 2422540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2386540 ) ( 198590 2426540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2390540 ) ( 469000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2386540 ) ( 465000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2430000 ) ( 202590 2430000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2402540 ) ( 198590 2434000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2406540 ) ( 410590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2391000 ) ( 406590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1076000 182540 ) ( 1114590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 178540 ) ( 1110590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 470540 ) ( 1114590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 466540 ) ( 1105000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1076000 198540 ) ( 1098590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 194540 ) ( 1094590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 406540 ) ( 1109000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 402540 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4666000 182540 ) ( 4698590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 178540 ) ( 4694590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 870540 ) ( 4698590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 866540 ) ( 4630590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1110540 ) ( 4634590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1106540 ) ( 4625000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4666000 198540 ) ( 4698590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 194540 ) ( 4694590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 854540 ) ( 4698590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 850540 ) ( 4630590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1046540 ) ( 4634590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1042540 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2800000 ) ( 5825000 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2806540 ) ( 5829000 2806540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2790540 ) ( 5802590 2790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2770540 ) ( 4934590 2794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2774540 ) ( 4938590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2711000 ) ( 4310590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4314590 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2800000 ) ( 5825000 2800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2790540 ) ( 5802590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2770540 ) ( 5190590 2794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2774540 ) ( 5194590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2722540 ) ( 4566590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2726540 ) ( 4570590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2711000 ) ( 4374590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4378590 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3530590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3526590 171000 ) ( 3526590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3522590 198540 ) ( 3562590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3558590 194540 ) ( 3558590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3554590 230540 ) ( 3594590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3590590 226540 ) ( 3590590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3586590 1414540 ) ( 3610590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 1410540 ) ( 3606590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 1430540 ) ( 3669000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1426540 ) ( 3665000 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3516000 198540 ) ( 3530590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3526590 194540 ) ( 3526590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3522590 214540 ) ( 3594590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 210540 ) ( 3590590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3586590 550540 ) ( 3610590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 546540 ) ( 3606590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 1174540 ) ( 3658590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 1170540 ) ( 3654590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 1366540 ) ( 3669000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1362540 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3896000 182540 ) ( 3930590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 178540 ) ( 3926590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 550540 ) ( 3994590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 546540 ) ( 3990590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 790540 ) ( 3994590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 786540 ) ( 3985000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3896000 198540 ) ( 3930590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 194540 ) ( 3926590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 534540 ) ( 3978590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 530540 ) ( 3974590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 726540 ) ( 3989000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 722540 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3576000 182540 ) ( 3610590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 178540 ) ( 3606590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 550540 ) ( 3674590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 546540 ) ( 3670590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 790540 ) ( 3674590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 786540 ) ( 3665000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3576000 198540 ) ( 3610590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 194540 ) ( 3606590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 534540 ) ( 3658590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 530540 ) ( 3654590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 726540 ) ( 3669000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 722540 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3658590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3654590 171000 ) ( 3654590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3650590 198540 ) ( 3674590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 194540 ) ( 3670590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 470540 ) ( 3674590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 466540 ) ( 3665000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3646000 198540 ) ( 3658590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 194540 ) ( 3654590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 406540 ) ( 3669000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 402540 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 5825000 ) ( 3440000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5830540 ) ( 3444000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5506540 ) ( 3414590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5510540 ) ( 3418590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5271000 ) ( 3350590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3354590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3410590 5825000 ) ( 3440000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5522540 ) ( 3414590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5526540 ) ( 3418590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5330540 ) ( 3350590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5334540 ) ( 3354590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5338540 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3512295 5821000 ) ( 3512295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3510590 5794540 ) ( 3510590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3458590 5798540 ) ( 3514590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3462590 5762540 ) ( 3462590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3426590 5766540 ) ( 3466590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5490540 ) ( 3430590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5494540 ) ( 3434590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4866540 ) ( 3414590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 4870540 ) ( 3418590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4631000 ) ( 3350590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3354590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3474590 5825000 ) ( 3510000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3478590 5810540 ) ( 3478590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3458590 5814540 ) ( 3482590 5814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3462590 5746540 ) ( 3462590 5818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3426590 5750540 ) ( 3466590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5506540 ) ( 3430590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5510540 ) ( 3434590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4882540 ) ( 3414590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 4886540 ) ( 3418590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4690540 ) ( 3350590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 4694540 ) ( 3354590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4698540 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3570590 5825000 ) ( 3580000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3574590 5794540 ) ( 3574590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3570590 5798540 ) ( 3594590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3590590 5170540 ) ( 3590590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3586590 5174540 ) ( 3610590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4546540 ) ( 3606590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4550540 ) ( 3674590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4311000 ) ( 3670590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3674590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 5794540 ) ( 3580000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3576000 5798540 ) ( 3594590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 5186540 ) ( 3590590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3586590 5190540 ) ( 3610590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4562540 ) ( 3606590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4566540 ) ( 3658590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 4370540 ) ( 3654590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 4374540 ) ( 3669000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4378540 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3766295 5821000 ) ( 3766295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5794540 ) ( 3766590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5798540 ) ( 3770590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5506540 ) ( 3734590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5510540 ) ( 3738590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5271000 ) ( 3670590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3674590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3770000 5794540 ) ( 3770000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3762590 5798540 ) ( 3774000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5778540 ) ( 3766590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5782540 ) ( 3770590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5522540 ) ( 3734590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5526540 ) ( 3738590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5330540 ) ( 3670590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5334540 ) ( 3674590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5338540 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_45.defok b/src/pad/test/rdl_route_45.defok index 8c5f53063c3..202aa04d725 100644 --- a/src/pad/test/rdl_route_45.defok +++ b/src/pad/test/rdl_route_45.defok @@ -2509,253 +2509,275 @@ SPECIALNETS 139 ; ( BUMP_10_16 PAD ) ( BUMP_11_13 PAD ) ( BUMP_13_14 PAD ) ( BUMP_15_15 PAD ) ( BUMP_14_12 PAD ) ( BUMP_16_11 PAD ) ( BUMP_15_10 PAD ) ( BUMP_14_8 PAD ) ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 175000 ) ( 3450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 169000 ) ( 3436990 928140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3430990 211340 ) ( 3446000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3436990 205340 ) ( 3436990 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 928140 ) ( 3411390 953740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 953740 ) ( 3411390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1516940 ) ( 3334590 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1593740 ) ( 3334590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1747340 ) ( 3351000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1741340 ) ( 3345000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5811740 ) ( 3010000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5817740 ) ( 3016000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1516940 ) ( 3360190 1568140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 1568140 ) ( 3360190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1670540 ) ( 3366190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1664540 ) ( 3345000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5786140 ) ( 3010000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5792140 ) ( 3016000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5715340 ) ( 3001790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5203340 ) ( 2950590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5126540 ) ( 2950590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4949000 ) ( 3027390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 4949000 ) ( 3029195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2930000 175000 ) ( 2956590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 169000 ) ( 2950590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5018140 ) ( 3027390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5024140 ) ( 3033390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2934000 211340 ) ( 2956590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2950590 205340 ) ( 2950590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 544140 ) ( 3027390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 620940 ) ( 3027390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 789000 ) ( 3029195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 175000 ) ( 2572590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2566590 169000 ) ( 2566590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 620940 ) ( 3027390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 723340 ) ( 3033390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 717340 ) ( 3025000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2554000 211340 ) ( 2566590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2566590 211340 ) ( 2592190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 236940 ) ( 2592190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 544140 ) ( 2617790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 569740 ) ( 2617790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 1184140 ) ( 2694590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1260940 ) ( 2694590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1435000 ) ( 2705000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 5825000 ) ( 2630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5792140 ) ( 2617790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1260940 ) ( 2694590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1363340 ) ( 2711000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1357340 ) ( 2705000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 5786140 ) ( 2620000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 2621895 5786140 ) ( 2621895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5766540 ) ( 2617790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5203340 ) ( 2592190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5177740 ) ( 2592190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 4563340 ) ( 2617790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4486540 ) ( 2617790 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4309000 ) ( 2694590 4486540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4315000 ) ( 2705000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2220000 5825000 ) ( 2240000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4378140 ) ( 2694590 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4384140 ) ( 2711000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2230000 5786140 ) ( 2230000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2224000 5792140 ) ( 2239790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5689740 ) ( 2233790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5484940 ) ( 2156990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5459340 ) ( 2156990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 4844940 ) ( 2131390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4768140 ) ( 2131390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4634140 ) ( 2054590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4640140 ) ( 2071000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2059000 4640570 ) ( 2071000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 185740 ) ( 2046000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 179740 ) ( 2028990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 236940 ) ( 2054590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 262540 ) ( 2054590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 467340 ) ( 2071000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 461340 ) ( 2065000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5811740 ) ( 1840000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1834000 5817740 ) ( 1855790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5792140 ) ( 1849790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5766540 ) ( 1849790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1824190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4793740 ) ( 2131390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4710940 ) ( 2080190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 4716940 ) ( 2086190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2034000 211340 ) ( 2060590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 205340 ) ( 2054590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 390540 ) ( 2071000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 384540 ) ( 2065000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5786140 ) ( 1840000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1818190 5792140 ) ( 1846000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1824190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5433740 ) ( 1824190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5274140 ) ( 1747390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5280140 ) ( 1753390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 5280570 ) ( 1751000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3890000 ) ( 175000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3897740 ) ( 876990 3897740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5350940 ) ( 1747390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5356940 ) ( 1753390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3900000 ) ( 217390 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3891740 ) ( 211390 3906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3897740 ) ( 876990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3897740 ) ( 902590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3923340 ) ( 1516990 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 3923340 ) ( 1593790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4000140 ) ( 1751000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 4000570 ) ( 1751000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1650000 175000 ) ( 1676590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1670590 169000 ) ( 1670590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4000140 ) ( 1676590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3989000 ) ( 1670590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3995000 ) ( 1745000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1654000 211340 ) ( 1676590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 205340 ) ( 1670590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 544140 ) ( 1747390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 620940 ) ( 1747390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 789000 ) ( 1749195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2990000 ) ( 175000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3001740 ) ( 236990 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 620940 ) ( 1747390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 723340 ) ( 1753390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 717340 ) ( 1745000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3000000 ) ( 217390 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2994000 ) ( 211390 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3001740 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 851390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2950540 ) ( 928190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 3027340 ) ( 1111000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 3021340 ) ( 1105000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1400000 ) ( 191790 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1382940 ) ( 185790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1388940 ) ( 211390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 211390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 851390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 3027340 ) ( 1036590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3021340 ) ( 1030590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3035000 ) ( 1105000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1357340 ) ( 175000 1410000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 1363340 ) ( 851390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1363340 ) ( 928190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1111000 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 1440570 ) ( 1111000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4270000 ) ( 175000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4281740 ) ( 236990 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1036590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1429000 ) ( 1030590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1435000 ) ( 1105000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4280000 ) ( 217390 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4274000 ) ( 211390 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4281740 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 851390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 4230540 ) ( 928190 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4307340 ) ( 1111000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 4301340 ) ( 1105000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1010000 175000 ) ( 1036590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1030590 169000 ) ( 1030590 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4307340 ) ( 1036590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4301340 ) ( 1030590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4315000 ) ( 1105000 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014000 211340 ) ( 1036590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 205340 ) ( 1030590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1030590 569740 ) ( 1107390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 646540 ) ( 1107390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1109195 789000 ) ( 1109195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2480000 ) ( 175000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2489740 ) ( 236990 2489740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 646540 ) ( 1107390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 723340 ) ( 1113390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 717340 ) ( 1105000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2490000 ) ( 217390 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2483740 ) ( 211390 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2489740 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 569790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 2387340 ) ( 569790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 2387340 ) ( 780590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2381340 ) ( 774590 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2395000 ) ( 785000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 810000 5825000 ) ( 831790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 5792140 ) ( 825790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5740940 ) ( 825790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5589000 ) ( 774590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5595000 ) ( 785000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4730000 ) ( 175000 4750000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4742540 ) ( 236990 4742540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2412940 ) ( 569790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2412940 ) ( 703790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2389000 ) ( 697790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2395000 ) ( 785000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 820000 5786140 ) ( 820000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 794190 5792140 ) ( 826000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 800190 5658140 ) ( 800190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 779000 5664140 ) ( 806190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4740000 ) ( 217390 4740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4734000 ) ( 211390 4748540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4742540 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 518590 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 518590 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 780590 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4629000 ) ( 774590 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4635000 ) ( 785000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2680000 ) ( 5825000 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2662940 ) ( 5817790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5823790 2668940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 2720140 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 2709000 ) ( 5587390 2726140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 2709000 ) ( 5589195 2721000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3960000 ) ( 5825000 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3942940 ) ( 5817790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5823790 3948940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4000140 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3989000 ) ( 5587390 4006140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3989000 ) ( 5589195 4001000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1330000 ) ( 5825000 1350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5831000 1337740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5766590 1363340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5766590 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 703790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4629000 ) ( 697790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4635000 ) ( 785000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2680000 ) ( 5825000 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2674000 ) ( 5792190 2700540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5798190 2694540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2720140 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2709000 ) ( 5664190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5670190 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3960000 ) ( 5825000 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3954000 ) ( 5792190 3980540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5798190 3974540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4000140 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3989000 ) ( 5664190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5670190 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1340000 ) ( 5825000 1340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1334000 ) ( 5792190 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5798190 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5433790 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 1440140 ) ( 5433790 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 1440570 ) ( 5271000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5350000 5825000 ) ( 5370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1440140 ) ( 5433790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1429000 ) ( 5331390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5337390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5360000 5786140 ) ( 5360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5350990 5792140 ) ( 5366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5740940 ) ( 5356990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5484940 ) ( 5331390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5408140 ) ( 5331390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5274140 ) ( 5254590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5280140 ) ( 5271000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 5280570 ) ( 5271000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3580000 ) ( 5825000 3580000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3574000 ) ( 5817790 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5823790 3590540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5433740 ) ( 5331390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5350940 ) ( 5280190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5356940 ) ( 5286190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3580000 ) ( 5825000 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3574000 ) ( 5792190 3596540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5798190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 3667340 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3661340 ) ( 5265000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5222990 185740 ) ( 5246000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 179740 ) ( 5228990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 211340 ) ( 5254590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 236940 ) ( 5254590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 467340 ) ( 5271000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 461340 ) ( 5265000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3046940 ) ( 5825000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5831000 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3667340 ) ( 5459390 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3661340 ) ( 5331390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5337390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5234000 211340 ) ( 5260590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5254590 205340 ) ( 5254590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5248590 390540 ) ( 5271000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 384540 ) ( 5265000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3060000 ) ( 5825000 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3046940 ) ( 5792190 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5798190 3052940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5715390 3104140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3104140 ) ( 5715390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3027340 ) ( 5203390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 3027340 ) ( 5126590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3021340 ) ( 4947390 3041000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3029000 ) ( 4949195 3041000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1766940 ) ( 5825000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5831000 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3052940 ) ( 5203390 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3052940 ) ( 5152190 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3029000 ) ( 5024190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5030190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1780000 ) ( 5825000 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1766940 ) ( 5792190 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5798190 1772940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5715390 1824140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1824140 ) ( 5715390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1747340 ) ( 5203390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 1747340 ) ( 5126590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 1741340 ) ( 4947390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 1749000 ) ( 4949195 1761000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4326940 ) ( 5825000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5831000 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1772940 ) ( 5203390 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1772940 ) ( 5152190 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1749000 ) ( 5024190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5030190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4340000 ) ( 5825000 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4326940 ) ( 5792190 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5798190 4332940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5715390 4384140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4384140 ) ( 5715390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4307340 ) ( 5203390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 4307340 ) ( 5126590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4301340 ) ( 4947390 4321000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4309000 ) ( 4949195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5811740 ) ( 4600000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5817740 ) ( 4606000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4332940 ) ( 5203390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4332940 ) ( 5152190 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4309000 ) ( 5024190 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5030190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5786140 ) ( 4600000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5792140 ) ( 4606000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5715340 ) ( 4588990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5203340 ) ( 4537790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5126540 ) ( 4537790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4949000 ) ( 4614590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4955000 ) ( 4625000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2170000 ) ( 5825000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2164000 ) ( 5817790 2188540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2182540 ) ( 5823790 2182540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5018140 ) ( 4614590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5024140 ) ( 4631000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2170000 ) ( 5825000 2170000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2164000 ) ( 5792190 2188540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2182540 ) ( 5798190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2156940 ) ( 5152190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2156940 ) ( 5126590 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2080140 ) ( 4563390 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 2080140 ) ( 4486590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 2069000 ) ( 4307390 2086140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 2069000 ) ( 4309195 2081000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4210000 175000 ) ( 4236590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 169000 ) ( 4230590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2080140 ) ( 4486590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2069000 ) ( 4384190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4390190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4214000 211340 ) ( 4236590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 205340 ) ( 4230590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 544140 ) ( 4307390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 620940 ) ( 4307390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 789000 ) ( 4309195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 175000 ) ( 3852590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3846590 169000 ) ( 3846590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 620940 ) ( 4307390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 723340 ) ( 4313390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 717340 ) ( 4305000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3834000 211340 ) ( 3846590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3846590 211340 ) ( 3872190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 236940 ) ( 3872190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 544140 ) ( 3897790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 569740 ) ( 3897790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 1184140 ) ( 3974590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1260940 ) ( 3974590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1435000 ) ( 3985000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4140000 5825000 ) ( 4160000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5792140 ) ( 4153790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5715340 ) ( 4153790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1260940 ) ( 3974590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1363340 ) ( 3991000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1357340 ) ( 3985000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4150000 5786140 ) ( 4150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4122190 5792140 ) ( 4156000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4128190 5766540 ) ( 4128190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5715340 ) ( 4128190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5459340 ) ( 4076990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5433740 ) ( 4076990 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 4870540 ) ( 4051390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4793740 ) ( 4051390 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4634140 ) ( 3974590 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4640140 ) ( 3991000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3979000 4640570 ) ( 3991000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3686990 5825000 ) ( 3710000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3692990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4819340 ) ( 4051390 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4710940 ) ( 4000190 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 4716940 ) ( 4006190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 5786140 ) ( 3700000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3706000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5766540 ) ( 3692990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5589000 ) ( 3667390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 5589000 ) ( 3669195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3370000 ) ( 175000 3391740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3385740 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 471000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 3360570 ) ( 471000 3360570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2090000 ) ( 175000 2111740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2105740 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 471000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 2080570 ) ( 471000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5658140 ) ( 3667390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5664140 ) ( 3673390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3380000 ) ( 217390 3380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3354140 ) ( 211390 3386000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3360140 ) ( 396590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3349000 ) ( 390590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3355000 ) ( 465000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2100000 ) ( 217390 2100000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2074140 ) ( 211390 2106000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2080140 ) ( 396590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2069000 ) ( 390590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2908,253 +2930,276 @@ SPECIALNETS 139 ; ( BUMP_10_14 PAD ) ( BUMP_12_12 PAD ) ( BUMP_13_16 PAD ) ( BUMP_16_16 PAD ) ( BUMP_16_12 PAD ) ( BUMP_15_11 PAD ) ( BUMP_13_10 PAD ) ( BUMP_16_8 PAD ) ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3391790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 169000 ) ( 3385790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 211340 ) ( 3411390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 236940 ) ( 3411390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3334590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 979340 ) ( 3334590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1107340 ) ( 3351000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1101340 ) ( 3345000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2867790 175000 ) ( 2890000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 169000 ) ( 2873790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 211340 ) ( 2924990 262540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3417390 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 169000 ) ( 3411390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3360190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 953740 ) ( 3360190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1030540 ) ( 3366190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1024540 ) ( 3345000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2874000 211340 ) ( 2905390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2899390 205340 ) ( 2899390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2899390 236940 ) ( 2924990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 262540 ) ( 2924990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 569740 ) ( 2950590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 595340 ) ( 2950590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 1209740 ) ( 3027390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1286540 ) ( 3027390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 1429000 ) ( 3029195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 5825000 ) ( 3140000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5792140 ) ( 3129790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1286540 ) ( 3027390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1363340 ) ( 3033390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1357340 ) ( 3025000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 5786140 ) ( 3130000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 3132895 5786140 ) ( 3132895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5766540 ) ( 3129790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5510540 ) ( 3104190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5433740 ) ( 3104190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5274140 ) ( 3027390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5280140 ) ( 3033390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3019000 5280570 ) ( 3031000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5811740 ) ( 2680000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 5817740 ) ( 2686000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2668990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5350940 ) ( 3027390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5356940 ) ( 3033390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5786140 ) ( 2680000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2686000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5740940 ) ( 2668990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5228940 ) ( 2617790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5152140 ) ( 2617790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4949000 ) ( 2694590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4955000 ) ( 2705000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 175000 ) ( 2500000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 169000 ) ( 2489790 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5018140 ) ( 2694590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5024140 ) ( 2711000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 211340 ) ( 2496000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 205340 ) ( 2489790 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 902540 ) ( 2464190 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 928140 ) ( 2464190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 1491340 ) ( 2387390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1747340 ) ( 2393390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1741340 ) ( 2385000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5811740 ) ( 2300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2294000 5817740 ) ( 2316590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5792140 ) ( 2310590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5766540 ) ( 2310590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5152140 ) ( 2284990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1670540 ) ( 2393390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1664540 ) ( 2385000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5786140 ) ( 2300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2278990 5792140 ) ( 2306000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5152140 ) ( 2284990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5126540 ) ( 2284990 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 4563340 ) ( 2310590 5126540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4486540 ) ( 2310590 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4309000 ) ( 2387390 4486540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 4309000 ) ( 2389195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 175000 ) ( 1990000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 169000 ) ( 1977790 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4378140 ) ( 2387390 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4384140 ) ( 2393390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 211340 ) ( 1986000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 205340 ) ( 1977790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 544140 ) ( 2054590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 620940 ) ( 2054590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 795000 ) ( 2065000 795000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1587790 175000 ) ( 1610000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 169000 ) ( 1593790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 211340 ) ( 1644990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 620940 ) ( 2054590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 723340 ) ( 2071000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 717340 ) ( 2065000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1594000 211340 ) ( 1625390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 205340 ) ( 1619390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 236940 ) ( 1644990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 262540 ) ( 1644990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 569740 ) ( 1670590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 595340 ) ( 1670590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 1209740 ) ( 1747390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1286540 ) ( 1747390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 1429000 ) ( 1749195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1890000 5825000 ) ( 1910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1900990 5792140 ) ( 1900990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1900990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1286540 ) ( 1747390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1363340 ) ( 1753390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1357340 ) ( 1745000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1900000 5786140 ) ( 1900000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1869390 5792140 ) ( 1906000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1875390 5766540 ) ( 1875390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1875390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5484940 ) ( 1849790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5459340 ) ( 1849790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 4896140 ) ( 1824190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4819340 ) ( 1824190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4634140 ) ( 1747390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4640140 ) ( 1753390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 4640570 ) ( 1751000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2534940 ) ( 175000 2560000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2540940 ) ( 236990 2540940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4710940 ) ( 1747390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4716940 ) ( 1753390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2550000 ) ( 217390 2550000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2534940 ) ( 211390 2556000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2540940 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 595390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2464140 ) ( 595390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2464140 ) ( 1184190 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2387340 ) ( 1184190 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2387340 ) ( 1420590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2381340 ) ( 1414590 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2395000 ) ( 1425000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4787740 ) ( 175000 4810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4793740 ) ( 236990 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 236990 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2412940 ) ( 1184190 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2412940 ) ( 1343790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2389000 ) ( 1337790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2395000 ) ( 1425000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4762140 ) ( 175000 4810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 4768140 ) ( 262590 4768140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 262590 4768140 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 544190 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 544190 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 1184190 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1184190 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1420590 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4629000 ) ( 1414590 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4635000 ) ( 1425000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 5825000 ) ( 1150000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 5792140 ) ( 1132990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5766540 ) ( 1132990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5589000 ) ( 1107390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1109195 5589000 ) ( 1109195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 191790 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3942940 ) ( 185790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3948940 ) ( 211390 3948940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1343790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4629000 ) ( 1337790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4635000 ) ( 1425000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1101390 5825000 ) ( 1150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5658140 ) ( 1107390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5664140 ) ( 1113390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 217390 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3948940 ) ( 211390 3966000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 211390 3948940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 851390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 3923340 ) ( 928190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1111000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 4000570 ) ( 1111000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3430000 ) ( 175000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3436940 ) ( 518590 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1036590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3989000 ) ( 1030590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3995000 ) ( 1105000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3440000 ) ( 217390 3440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3430940 ) ( 211390 3446000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3436940 ) ( 518590 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 518590 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 780590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3349000 ) ( 774590 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3355000 ) ( 785000 3355000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2150000 ) ( 175000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2156940 ) ( 518590 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 703790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3349000 ) ( 697790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3355000 ) ( 785000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2160000 ) ( 217390 2160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2150940 ) ( 211390 2166000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2156940 ) ( 518590 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 518590 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 780590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2069000 ) ( 774590 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2075000 ) ( 785000 2075000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 742990 185740 ) ( 766000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 179740 ) ( 748990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 236940 ) ( 774590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 262540 ) ( 774590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 467340 ) ( 791000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 785000 461340 ) ( 785000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2990000 ) ( 5825000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5831000 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 703790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2069000 ) ( 697790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2075000 ) ( 785000 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 754000 211340 ) ( 780590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 774590 205340 ) ( 774590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 390540 ) ( 791000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 384540 ) ( 785000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3000000 ) ( 5825000 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2994000 ) ( 5792190 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5798190 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 3027340 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3021340 ) ( 5587390 3041000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3029000 ) ( 5589195 3041000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1710000 ) ( 5825000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5831000 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3027340 ) ( 5740990 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3021340 ) ( 5664190 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5670190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1720000 ) ( 5825000 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1714000 ) ( 5792190 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5798190 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 1747340 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 1741340 ) ( 5587390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 1749000 ) ( 5589195 1761000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5606990 5825000 ) ( 5630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1747340 ) ( 5740990 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1741340 ) ( 5664190 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5670190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5620000 5786140 ) ( 5620000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5606990 5792140 ) ( 5626000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5740940 ) ( 5612990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5589000 ) ( 5587390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 5589000 ) ( 5589195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4270000 ) ( 5825000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5831000 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5658140 ) ( 5587390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5579000 5664140 ) ( 5593390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4280000 ) ( 5825000 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4274000 ) ( 5792190 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5798190 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4307340 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 4301340 ) ( 5587390 4321000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 4309000 ) ( 5589195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2610000 ) ( 5825000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5831000 2617740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5766590 2643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5766590 2643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4307340 ) ( 5740990 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4301340 ) ( 5664190 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5670190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2620000 ) ( 5825000 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2614000 ) ( 5792190 2649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5798190 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5433790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 2720140 ) ( 5433790 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 2720570 ) ( 5271000 2720570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3890000 ) ( 5825000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5831000 3897740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5766590 3923340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5766590 3923340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2720140 ) ( 5433790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2709000 ) ( 5331390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5337390 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3900000 ) ( 5825000 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3894000 ) ( 5792190 3929340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5798190 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5433790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4000140 ) ( 5433790 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 4000570 ) ( 5271000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2099740 ) ( 5825000 2120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5831000 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5740990 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4000140 ) ( 5433790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3989000 ) ( 5331390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5337390 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2110000 ) ( 5825000 2110000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2104000 ) ( 5792190 2137340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2131340 ) ( 5798190 2131340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2131340 ) ( 5740990 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2156940 ) ( 5740990 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 2080140 ) ( 5177790 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 2080140 ) ( 5100990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 2069000 ) ( 4947390 2086140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 2069000 ) ( 4949195 2081000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 175000 ) ( 4930000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 169000 ) ( 4921790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2080140 ) ( 5100990 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2069000 ) ( 5024190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 5030190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 4917895 205340 ) ( 4917895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 211340 ) ( 4947390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 467340 ) ( 4953390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 461340 ) ( 4945000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1270000 ) ( 5825000 1292540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5831000 1286540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 390540 ) ( 4953390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 384540 ) ( 4945000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1280000 ) ( 5825000 1280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1274000 ) ( 5792190 1286540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5740990 1337740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1337740 ) ( 5740990 1337740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1337740 ) ( 5459390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 1363340 ) ( 5459390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 1363340 ) ( 4768190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1440140 ) ( 4768190 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 1440570 ) ( 4631000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4650000 5825000 ) ( 4671790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 5792140 ) ( 4665790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5740940 ) ( 4665790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5589000 ) ( 4614590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5595000 ) ( 4625000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3507740 ) ( 5825000 3530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5831000 3513740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1440140 ) ( 4768190 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1429000 ) ( 4691390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4697390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4660000 5786140 ) ( 4660000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4634190 5792140 ) ( 4666000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5658140 ) ( 4640190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5664140 ) ( 4646190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3520000 ) ( 5825000 3520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3507740 ) ( 5792190 3526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5798190 3513740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3590540 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3590540 ) ( 4793790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3667340 ) ( 4793790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3661340 ) ( 4625000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4147790 175000 ) ( 4170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 169000 ) ( 4153790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 211340 ) ( 4204990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3667340 ) ( 4793790 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3661340 ) ( 4691390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4697390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4154000 211340 ) ( 4185390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4179390 205340 ) ( 4179390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4179390 236940 ) ( 4204990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 262540 ) ( 4204990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 569740 ) ( 4230590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 595340 ) ( 4230590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 1209740 ) ( 4307390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1286540 ) ( 4307390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 1429000 ) ( 4309195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4190000 5825000 ) ( 4210990 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5177740 ) ( 4204990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1286540 ) ( 4307390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1363340 ) ( 4313390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1357340 ) ( 4305000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4200000 5786140 ) ( 4200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4194000 5792140 ) ( 4210990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5177740 ) ( 4204990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5152140 ) ( 4204990 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 4537740 ) ( 4230590 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4460940 ) ( 4230590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4309000 ) ( 4307390 4460940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 4309000 ) ( 4309195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 175000 ) ( 3780000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 169000 ) ( 3769790 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4378140 ) ( 4307390 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4384140 ) ( 4313390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 211340 ) ( 3776000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 205340 ) ( 3769790 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 902540 ) ( 3744190 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 928140 ) ( 3744190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 1491340 ) ( 3667390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1747340 ) ( 3673390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1741340 ) ( 3665000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3630000 5825000 ) ( 3650000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 5792140 ) ( 3641790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3641790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1670540 ) ( 3673390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1664540 ) ( 3665000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3640000 5786140 ) ( 3640000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3610190 5792140 ) ( 3646000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3616190 5766540 ) ( 3616190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3616190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5177740 ) ( 3590590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5100940 ) ( 3590590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4949000 ) ( 3667390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 4949000 ) ( 3669195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3046940 ) ( 175000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3052940 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 471000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 3021340 ) ( 465000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1450000 ) ( 175000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1465740 ) ( 236990 1465740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5018140 ) ( 3667390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5024140 ) ( 3673390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3060000 ) ( 217390 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3046940 ) ( 211390 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3052940 ) ( 396590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3029000 ) ( 390590 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3035000 ) ( 465000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1460000 ) ( 217390 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1454000 ) ( 211390 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1465740 ) ( 236990 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 236990 1465740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 471000 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 1440570 ) ( 471000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4326940 ) ( 175000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4332940 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 471000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 4301340 ) ( 465000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 396590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1429000 ) ( 390590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1435000 ) ( 465000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4340000 ) ( 217390 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4326940 ) ( 211390 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4332940 ) ( 396590 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4309000 ) ( 390590 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4315000 ) ( 465000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3305,130 +3350,142 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VDD ) ( IO_FILL_IO_WEST_0_485 VDD ) ( BUMP_2_4 PAD ) ( BUMP_1_7 PAD ) ( BUMP_1_10 PAD ) ( BUMP_0_14 PAD ) ( BUMP_4_14 PAD ) ( BUMP_7_15 PAD ) ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 175000 ) ( 3212590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3206590 169000 ) ( 3206590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3194000 211340 ) ( 3212590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3206590 205340 ) ( 3206590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3206590 236940 ) ( 3232190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 262540 ) ( 3232190 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 569740 ) ( 3257790 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 595340 ) ( 3257790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 1158540 ) ( 3334590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1435000 ) ( 3345000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3277390 5825000 ) ( 3300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3283390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1363340 ) ( 3351000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1357340 ) ( 3345000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3290000 5786140 ) ( 3290000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3296000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5740940 ) ( 3283390 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5177740 ) ( 3232190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5152140 ) ( 3232190 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 4588940 ) ( 3257790 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4512140 ) ( 3257790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4309000 ) ( 3334590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4315000 ) ( 3345000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2790000 5825000 ) ( 2810000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4378140 ) ( 3334590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4384140 ) ( 3351000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3345000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2800000 5786140 ) ( 2800000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 5792140 ) ( 2806000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5740940 ) ( 2796990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5484940 ) ( 2771390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5408140 ) ( 2771390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5274140 ) ( 2694590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5280140 ) ( 2711000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2699000 5280570 ) ( 2711000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2227790 175000 ) ( 2250000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 169000 ) ( 2233790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5433740 ) ( 2771390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5350940 ) ( 2720190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5356940 ) ( 2726190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2227790 211340 ) ( 2246000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 205340 ) ( 2233790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2233790 236940 ) ( 2284990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 288140 ) ( 2284990 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 595340 ) ( 2310590 620940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 620940 ) ( 2310590 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 1184140 ) ( 2387390 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1260940 ) ( 2387390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 1429000 ) ( 2389195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 5825000 ) ( 1730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1260940 ) ( 2387390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1363340 ) ( 2393390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1357340 ) ( 2385000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 5786140 ) ( 1720000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 5792140 ) ( 1727790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5715340 ) ( 1721790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5203340 ) ( 1670590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5126540 ) ( 1670590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4949000 ) ( 1747390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 4949000 ) ( 1749195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1710000 ) ( 175000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1721740 ) ( 236990 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5018140 ) ( 1747390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5024140 ) ( 1753390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1720000 ) ( 217390 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1714000 ) ( 211390 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1721740 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 851390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1670540 ) ( 928190 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1747340 ) ( 1111000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1741340 ) ( 1105000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 175000 ) ( 1150000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 169000 ) ( 1132990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 211340 ) ( 1184190 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1747340 ) ( 1036590 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1741340 ) ( 1030590 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1755000 ) ( 1105000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1134000 211340 ) ( 1164590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 205340 ) ( 1158590 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 236940 ) ( 1184190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 262540 ) ( 1184190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 876940 ) ( 1107390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 953740 ) ( 1107390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1107340 ) ( 1113390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1101340 ) ( 1105000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 191790 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2804000 ) ( 185790 2828540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2822540 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 544190 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 953740 ) ( 1107390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1030540 ) ( 1113390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1024540 ) ( 1105000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 217390 2810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2790940 ) ( 211390 2816000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2796940 ) ( 544190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 544190 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 780590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2709000 ) ( 774590 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2715000 ) ( 785000 2715000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3760000 ) ( 175000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3769740 ) ( 236990 3769740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 703790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2709000 ) ( 697790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2715000 ) ( 785000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3770000 ) ( 217390 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3763740 ) ( 211390 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3769740 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 569790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 3667340 ) ( 569790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 3667340 ) ( 780590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3661340 ) ( 774590 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3675000 ) ( 785000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3340540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5831000 3334540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 3360140 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3349000 ) ( 5587390 3366140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3349000 ) ( 5589195 3361000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4600000 ) ( 5825000 4600000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4582940 ) ( 5817790 4606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5823790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4640140 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 4629000 ) ( 5587390 4646140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 4629000 ) ( 5589195 4641000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2300000 ) ( 5825000 2300000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2294000 ) ( 5817790 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5823790 2310540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 3692940 ) ( 569790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 3692940 ) ( 703790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3669000 ) ( 697790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3675000 ) ( 785000 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3360140 ) ( 5831000 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3349000 ) ( 5664190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5670190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4600000 ) ( 5825000 4600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4594000 ) ( 5792190 4614540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4614540 ) ( 5766590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4640140 ) ( 5766590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4629000 ) ( 5664190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5670190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2300000 ) ( 5825000 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2294000 ) ( 5792190 2316540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5798190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 2387340 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2381340 ) ( 5265000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1020000 ) ( 5825000 1020000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1014000 ) ( 5817790 1036540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5823790 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2387340 ) ( 5459390 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2381340 ) ( 5331390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5337390 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1020000 ) ( 5825000 1020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1014000 ) ( 5792190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5798190 1030540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 1107340 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1101340 ) ( 5265000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4966990 5825000 ) ( 4990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4972990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1107340 ) ( 5459390 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1101340 ) ( 5331390 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5337390 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4980000 5786140 ) ( 4980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4986000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5766540 ) ( 4972990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5589000 ) ( 4947390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 5589000 ) ( 4949195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4270000 175000 ) ( 4290000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4281790 169000 ) ( 4281790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5658140 ) ( 4947390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5664140 ) ( 4953390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4274000 211340 ) ( 4287790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4281790 205340 ) ( 4281790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4281790 236940 ) ( 4307390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 467340 ) ( 4313390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 461340 ) ( 4305000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 5825000 ) ( 3910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5792140 ) ( 3897790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 390540 ) ( 4313390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 384540 ) ( 4305000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 5786140 ) ( 3900000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 3901895 5786140 ) ( 3901895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5766540 ) ( 3897790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5203340 ) ( 3872190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5177740 ) ( 3872190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 4563340 ) ( 3897790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4486540 ) ( 3897790 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4309000 ) ( 3974590 4486540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4315000 ) ( 3985000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4966940 ) ( 175000 4990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4972940 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 471000 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 4941340 ) ( 465000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4378140 ) ( 3974590 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4384140 ) ( 3991000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4980000 ) ( 217390 4980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4966940 ) ( 211390 4986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4972940 ) ( 396590 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4949000 ) ( 390590 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4955000 ) ( 465000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3579,1299 +3636,1372 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) ( BUMP_1_3 PAD ) ( BUMP_0_7 PAD ) ( BUMP_0_10 PAD ) ( BUMP_2_14 PAD ) ( BUMP_3_15 PAD ) ( BUMP_7_16 PAD ) ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3379790 5825000 ) ( 3400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 5792140 ) ( 3385790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5740940 ) ( 3385790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5589000 ) ( 3334590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5595000 ) ( 3345000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3250000 175000 ) ( 3270000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3257790 169000 ) ( 3257790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3390000 5786140 ) ( 3390000 5825000 ) + NEW metal10 10210 + SHAPE IOWIRE ( 3390895 5786140 ) ( 3390895 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5766540 ) ( 3385790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5658140 ) ( 3360190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5664140 ) ( 3366190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3251790 211340 ) ( 3266000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3257790 205340 ) ( 3257790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 544140 ) ( 3334590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 620940 ) ( 3334590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 795000 ) ( 3345000 795000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2730000 5825000 ) ( 2751790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5715340 ) ( 2745790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5589000 ) ( 2694590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5595000 ) ( 2705000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2290000 175000 ) ( 2316590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 169000 ) ( 2310590 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 620940 ) ( 3334590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 723340 ) ( 3351000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 717340 ) ( 3345000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2740000 5786140 ) ( 2740000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2734000 5792140 ) ( 2751790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5740940 ) ( 2745790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5658140 ) ( 2720190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5664140 ) ( 2726190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2294000 211340 ) ( 2316590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 205340 ) ( 2310590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 569740 ) ( 2387390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 646540 ) ( 2387390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 789000 ) ( 2389195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1270000 175000 ) ( 1292590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 169000 ) ( 1286590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 646540 ) ( 2387390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 723340 ) ( 2393390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 717340 ) ( 2385000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1274000 211340 ) ( 1286590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1286590 211340 ) ( 1312190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 236940 ) ( 1312190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 544140 ) ( 1337790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 569740 ) ( 1337790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1184140 ) ( 1414590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1260940 ) ( 1414590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1435000 ) ( 1425000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5811740 ) ( 1530000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1524000 5817740 ) ( 1548590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 5792140 ) ( 1542590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1542590 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1260940 ) ( 1414590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1363340 ) ( 1431000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1357340 ) ( 1425000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5786140 ) ( 1530000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1510990 5792140 ) ( 1536000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1516990 5766540 ) ( 1516990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1516990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5484940 ) ( 1491390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5408140 ) ( 1491390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5274140 ) ( 1414590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5280140 ) ( 1431000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1419000 5280570 ) ( 1431000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4910000 ) ( 175000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4921740 ) ( 211390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 211390 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5433740 ) ( 1491390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5350940 ) ( 1440190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5356940 ) ( 1446190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4920000 ) ( 217390 4920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4890140 ) ( 211390 4926000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4896140 ) ( 236990 4896140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 236990 4896140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 876990 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4870540 ) ( 953790 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 4947340 ) ( 1111000 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 4941340 ) ( 1105000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1510000 ) ( 175000 1530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1516940 ) ( 544190 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 953790 4947340 ) ( 1036590 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4941340 ) ( 1030590 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4955000 ) ( 1105000 4955000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1520000 ) ( 217390 1520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1510940 ) ( 211390 1526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1516940 ) ( 544190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 544190 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 780590 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 1429000 ) ( 774590 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 1435000 ) ( 785000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2350000 ) ( 5825000 2370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5831000 2361740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 703790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1429000 ) ( 697790 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1435000 ) ( 785000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2360000 ) ( 5825000 2360000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 2357870 ) ( 5798190 2357870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 2387340 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 2381340 ) ( 5587390 2401000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 2389000 ) ( 5589195 2401000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1070000 ) ( 5825000 1090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5831000 1081740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2387340 ) ( 5766590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2381340 ) ( 5664190 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5670190 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1080000 ) ( 5825000 1080000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 1077870 ) ( 5798190 1077870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 1107340 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 1101340 ) ( 5587390 1121000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 1109000 ) ( 5589195 1121000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3379740 ) ( 5825000 3400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5831000 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5740990 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1107340 ) ( 5766590 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1101340 ) ( 5664190 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5670190 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3390000 ) ( 5825000 3390000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3384000 ) ( 5792190 3417340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3411340 ) ( 5798190 3411340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3411340 ) ( 5740990 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3436940 ) ( 5740990 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 3360140 ) ( 5177790 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 3360140 ) ( 5100990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3349000 ) ( 4947390 3366140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3349000 ) ( 4949195 3361000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 5825000 ) ( 4930000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 5792140 ) ( 4921790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4921790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3360140 ) ( 5100990 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3349000 ) ( 5024190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 5030190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 5786140 ) ( 4920000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4890190 5792140 ) ( 4926000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4896190 5766540 ) ( 4896190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4896190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5177740 ) ( 4870590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5100940 ) ( 4870590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4949000 ) ( 4947390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4949000 ) ( 4949195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4650000 ) ( 5825000 4671740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5831000 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5018140 ) ( 4947390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5024140 ) ( 4953390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4660000 ) ( 5825000 4660000 ) + NEW metal10 11740 + SHAPE IOWIRE ( 5786190 4659870 ) ( 5798190 4659870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5740990 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4716940 ) ( 5740990 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 4640140 ) ( 5177790 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 4640140 ) ( 5100990 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4629000 ) ( 4947390 4646140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4629000 ) ( 4949195 4641000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4326990 175000 ) ( 4350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 169000 ) ( 4332990 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4640140 ) ( 5100990 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4629000 ) ( 5024190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 5030190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4326990 211340 ) ( 4346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4332990 205340 ) ( 4332990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4332990 236940 ) ( 4384190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 288140 ) ( 4384190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 851340 ) ( 4307390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1107340 ) ( 4313390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1101340 ) ( 4305000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5811740 ) ( 3830000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5817740 ) ( 3836000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1030540 ) ( 4313390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1024540 ) ( 4305000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5786140 ) ( 3830000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5792140 ) ( 3836000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5715340 ) ( 3820990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5510540 ) ( 3769790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5484940 ) ( 3769790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 4870540 ) ( 3744190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4793740 ) ( 3744190 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4634140 ) ( 3667390 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4640140 ) ( 3673390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3659000 4640570 ) ( 3671000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2739740 ) ( 175000 2760000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2745740 ) ( 236990 2745740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4710940 ) ( 3667390 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4716940 ) ( 3673390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2750000 ) ( 217390 2750000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2739740 ) ( 211390 2756000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2745740 ) ( 236990 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 236990 2745740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 471000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 2720570 ) ( 471000 2720570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3700000 ) ( 175000 3724540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3718540 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 471000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 3661340 ) ( 465000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 396590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2709000 ) ( 390590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2715000 ) ( 465000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3710000 ) ( 217390 3710000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3686940 ) ( 211390 3716000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3692940 ) ( 396590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3669000 ) ( 390590 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3675000 ) ( 465000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2940000 ) ( 5825000 2940000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2934000 ) ( 5817790 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5823790 2950540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2940000 ) ( 5825000 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2934000 ) ( 5792190 2956540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5798190 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5433790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 3027340 ) ( 5433790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3021340 ) ( 5265000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3027340 ) ( 5433790 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3021340 ) ( 5331390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5337390 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3814940 ) ( 175000 3840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3820940 ) ( 236990 3820940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3830000 ) ( 217390 3830000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3814940 ) ( 211390 3836000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3820940 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 595390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 3744140 ) ( 595390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 3744140 ) ( 1184190 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3667340 ) ( 1184190 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3667340 ) ( 1420590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3661340 ) ( 1414590 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3675000 ) ( 1425000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 3692940 ) ( 1184190 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 3692940 ) ( 1343790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3669000 ) ( 1337790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3120000 ) ( 5825000 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3114000 ) ( 5817790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5823790 3129740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3120000 ) ( 5825000 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3114000 ) ( 5792190 3135740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5798190 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3104140 ) ( 5177790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 3104140 ) ( 5152190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 3027340 ) ( 4537790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 3027340 ) ( 4460990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3021340 ) ( 4307390 3041000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3029000 ) ( 4309195 3041000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3052940 ) ( 4537790 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3052940 ) ( 4486590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3029000 ) ( 4384190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4390190 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3635740 ) ( 175000 3660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3641740 ) ( 211390 3641740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 211390 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3610140 ) ( 175000 3660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 3616140 ) ( 236990 3616140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 236990 3616140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 876990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3590540 ) ( 953790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 3667340 ) ( 1111000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 3661340 ) ( 1105000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 3667340 ) ( 1036590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3661340 ) ( 1030590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3190000 ) ( 5825000 3212540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5831000 3206540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3200000 ) ( 5825000 3200000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3194000 ) ( 5792190 3212540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5798190 3206540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 3257740 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 3257740 ) ( 5433790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3283340 ) ( 5433790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3283340 ) ( 4793790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3360140 ) ( 4793790 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 3360570 ) ( 4631000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3360140 ) ( 4793790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3349000 ) ( 4691390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4697390 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 5825000 ) ( 4040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5715340 ) ( 4025790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5589000 ) ( 3974590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5595000 ) ( 3985000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 5786140 ) ( 4030000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 5792140 ) ( 4036000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5740940 ) ( 4025790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5658140 ) ( 4000190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5664140 ) ( 4006190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5670140 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5811740 ) ( 4090000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 5817740 ) ( 4108590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 5792140 ) ( 4102590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4102590 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5786140 ) ( 4090000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 5792140 ) ( 4096000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5766540 ) ( 4076990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4076990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5484940 ) ( 4051390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5408140 ) ( 4051390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5274140 ) ( 3974590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5280140 ) ( 3991000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3979000 5280570 ) ( 3991000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5433740 ) ( 4051390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5350940 ) ( 4000190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5356940 ) ( 4006190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5362940 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4240000 5825000 ) ( 4262190 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4256190 5792140 ) ( 4256190 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5766540 ) ( 4256190 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4230590 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4250000 5786140 ) ( 4250000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4224590 5792140 ) ( 4256000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4230590 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5126540 ) ( 4230590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4949000 ) ( 4307390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 4949000 ) ( 4309195 4961000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5018140 ) ( 4307390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5024140 ) ( 4313390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5030140 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5811740 ) ( 4300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5817740 ) ( 4313390 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5589000 ) ( 4307390 5823740 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 5589000 ) ( 4309195 5601000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5786140 ) ( 4300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5792140 ) ( 4313390 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5658140 ) ( 4307390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5664140 ) ( 4313390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5670140 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4400000 5825000 ) ( 4420000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5792140 ) ( 4409790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4410000 5786140 ) ( 4410000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 4412895 5786140 ) ( 4412895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5766540 ) ( 4409790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5510540 ) ( 4384190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5433740 ) ( 4384190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5274140 ) ( 4307390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5280140 ) ( 4313390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4299000 5280570 ) ( 4311000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5350940 ) ( 4307390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5356940 ) ( 4313390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5362940 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5811740 ) ( 5040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5034000 5817740 ) ( 5055790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5049790 5766540 ) ( 5049790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5740940 ) ( 5049790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5786140 ) ( 5040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 5792140 ) ( 5046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5459340 ) ( 5024190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5274140 ) ( 4947390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5280140 ) ( 4953390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4939000 5280570 ) ( 4951000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5350940 ) ( 4947390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5356940 ) ( 4953390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5362940 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5290000 5825000 ) ( 5311790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5715340 ) ( 5305790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5589000 ) ( 5254590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5595000 ) ( 5265000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5300000 5786140 ) ( 5300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5294000 5792140 ) ( 5311790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5740940 ) ( 5305790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5658140 ) ( 5280190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5664140 ) ( 5286190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5670140 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 5240000 ) ( 5825000 5240000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 5222940 ) ( 5817790 5246000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5823790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 5280140 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5269000 ) ( 5587390 5286140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 5269000 ) ( 5589195 5281000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 5240000 ) ( 5825000 5240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5234000 ) ( 5792190 5260540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5798190 5254540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 5280140 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 5269000 ) ( 5664190 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5670190 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4930000 ) ( 5825000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4915740 ) ( 5817790 4936000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5823790 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4947340 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 4941340 ) ( 5587390 4961000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 4949000 ) ( 5589195 4961000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4930000 ) ( 5825000 4930000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4924000 ) ( 5792190 4953340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4947340 ) ( 5798190 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4941340 ) ( 5664190 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5670190 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5811740 ) ( 4470000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4454990 5817740 ) ( 4476000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4460990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5786140 ) ( 4470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4476000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5740940 ) ( 4460990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5484940 ) ( 4409790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5459340 ) ( 4409790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 4896140 ) ( 4384190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4819340 ) ( 4384190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4634140 ) ( 4307390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4640140 ) ( 4313390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4299000 4640570 ) ( 4311000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4710940 ) ( 4307390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4716940 ) ( 4313390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4722940 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4710000 5825000 ) ( 4730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5792140 ) ( 4716990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5766540 ) ( 4716990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5510540 ) ( 4691390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5433740 ) ( 4691390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5274140 ) ( 4614590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5280140 ) ( 4631000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 5280570 ) ( 4631000 5280570 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4685390 5825000 ) ( 4730000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5510540 ) ( 4691390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5459340 ) ( 4691390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5350940 ) ( 4640190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5356940 ) ( 4646190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5362940 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5811740 ) ( 4780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4762190 5817740 ) ( 4786000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4768190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5786140 ) ( 4780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4786000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5740940 ) ( 4768190 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5484940 ) ( 4716990 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5459340 ) ( 4716990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4844940 ) ( 4691390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4768140 ) ( 4691390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4634140 ) ( 4614590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4640140 ) ( 4631000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 4640570 ) ( 4631000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4793740 ) ( 4691390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4710940 ) ( 4640190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4716940 ) ( 4646190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4722940 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4860000 ) ( 5825000 4860000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4854000 ) ( 5817790 4876540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5823790 4870540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4860000 ) ( 5825000 4860000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4854000 ) ( 5792190 4876540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5798190 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4947340 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4941340 ) ( 5265000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4947340 ) ( 5459390 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4941340 ) ( 5331390 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5337390 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4530000 ) ( 5825000 4550000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5831000 4537740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4540000 ) ( 5825000 4540000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4531740 ) ( 5792190 4546000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5798190 4537740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4563340 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4563340 ) ( 5408190 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4640140 ) ( 5408190 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 4640570 ) ( 5271000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4640140 ) ( 5408190 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4629000 ) ( 5331390 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5337390 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4220000 ) ( 5825000 4220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4214000 ) ( 5817790 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5823790 4230540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4220000 ) ( 5825000 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4214000 ) ( 5792190 4236540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5798190 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5433790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4307340 ) ( 5433790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4301340 ) ( 5265000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4307340 ) ( 5433790 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4301340 ) ( 5331390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5337390 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4147740 ) ( 5825000 4170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5831000 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5740990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4160000 ) ( 5825000 4160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4154000 ) ( 5792190 4185340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4179340 ) ( 5798190 4179340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4179340 ) ( 5740990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5740990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5459390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 5459390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4307340 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4301340 ) ( 4625000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4307340 ) ( 4819390 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4301340 ) ( 4691390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4697390 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4070000 ) ( 5825000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5831000 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4080000 ) ( 5825000 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4070940 ) ( 5792190 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5798190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4102540 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4076940 ) ( 5177790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 4076940 ) ( 5152190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4460990 4000140 ) ( 4537790 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 4000140 ) ( 4460990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3989000 ) ( 4307390 4006140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3989000 ) ( 4309195 4001000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 4000140 ) ( 4460990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3989000 ) ( 4384190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4390190 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3686940 ) ( 5825000 3710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5831000 3692940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5740990 3744140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3700000 ) ( 5825000 3700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3694000 ) ( 5792190 3724540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3718540 ) ( 5798190 3718540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3718540 ) ( 5740990 3744140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3744140 ) ( 5740990 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 3667340 ) ( 5177790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 3667340 ) ( 5100990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3661340 ) ( 4947390 3681000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3669000 ) ( 4949195 3681000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3692940 ) ( 5177790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3692940 ) ( 5126590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3669000 ) ( 5024190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5030190 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3630000 ) ( 5825000 3650000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5831000 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3640000 ) ( 5825000 3640000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 3637870 ) ( 5798190 3637870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 3667340 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3661340 ) ( 5587390 3681000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3669000 ) ( 5589195 3681000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3667340 ) ( 5766590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3661340 ) ( 5664190 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5670190 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3450000 ) ( 5825000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3444000 ) ( 5817790 3468540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3462540 ) ( 5823790 3462540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3450000 ) ( 5825000 3450000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3444000 ) ( 5792190 3468540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3462540 ) ( 5798190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3436940 ) ( 5152190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3436940 ) ( 5126590 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3360140 ) ( 4563390 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 3360140 ) ( 4486590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3349000 ) ( 4307390 3366140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3349000 ) ( 4309195 3361000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3360140 ) ( 4486590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3349000 ) ( 4384190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4390190 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3270000 ) ( 5825000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3251740 ) ( 5817790 3276000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5823790 3257740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5740990 3283340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5740990 3283340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3270000 ) ( 5825000 3270000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3264000 ) ( 5792190 3289340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5798190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5408190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 3360140 ) ( 5408190 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 3360570 ) ( 5271000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3360140 ) ( 5408190 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3349000 ) ( 5331390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5337390 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4010000 ) ( 5825000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5831000 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4020000 ) ( 5825000 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4014000 ) ( 5792190 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5798190 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4076940 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4000140 ) ( 5203390 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 4000140 ) ( 5126590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3989000 ) ( 4947390 4006140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3989000 ) ( 4949195 4001000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4000140 ) ( 5126590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3989000 ) ( 5024190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5030190 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3830000 ) ( 5825000 3852540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5831000 3846540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3840000 ) ( 5825000 3840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3834000 ) ( 5792190 3846540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5740990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3897740 ) ( 5740990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3897740 ) ( 5459390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 3923340 ) ( 5459390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 3923340 ) ( 4768190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4000140 ) ( 4768190 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 4000570 ) ( 4631000 4000570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4000140 ) ( 4768190 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3989000 ) ( 4691390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4697390 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3760000 ) ( 5825000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3769740 ) ( 5831000 3769740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3770000 ) ( 5825000 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3763740 ) ( 5792190 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3769740 ) ( 5798190 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3744140 ) ( 5152190 3769740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3744140 ) ( 5126590 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3667340 ) ( 4563390 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 3667340 ) ( 4486590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3661340 ) ( 4307390 3681000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3669000 ) ( 4309195 3681000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 3744140 ) ( 5126590 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4409790 3692940 ) ( 4460990 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3692940 ) ( 4409790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3669000 ) ( 4384190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4390190 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5811740 ) ( 2860000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5817740 ) ( 2866000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5786140 ) ( 2860000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5792140 ) ( 2866000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5715340 ) ( 2848190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5459340 ) ( 2796990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5433740 ) ( 2796990 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 4870540 ) ( 2771390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4793740 ) ( 2771390 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4634140 ) ( 2694590 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4640140 ) ( 2711000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2699000 4640570 ) ( 2711000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4819340 ) ( 2771390 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4710940 ) ( 2720190 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 4716940 ) ( 2726190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4722940 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5811740 ) ( 2940000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2934000 5817740 ) ( 2956590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5766540 ) ( 2950590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5740940 ) ( 2950590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5177740 ) ( 2924990 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5786140 ) ( 2940000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2918990 5792140 ) ( 2946000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5177740 ) ( 2924990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5152140 ) ( 2924990 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 4537740 ) ( 2950590 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4460940 ) ( 2950590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4309000 ) ( 3027390 4460940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 4309000 ) ( 3029195 4321000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4378140 ) ( 3027390 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4384140 ) ( 3033390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4390140 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5811740 ) ( 3070000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3064000 5817740 ) ( 3084590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3078590 5792140 ) ( 3078590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3078590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5589000 ) ( 3027390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 5589000 ) ( 3029195 5601000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5786140 ) ( 3070000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 5792140 ) ( 3076000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 5766540 ) ( 3052990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3052990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5658140 ) ( 3027390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5664140 ) ( 3033390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5670140 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3328590 5825000 ) ( 3350000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5792140 ) ( 3334590 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3340000 5786140 ) ( 3340000 5825000 ) + NEW metal10 11410 + SHAPE IOWIRE ( 3340295 5786140 ) ( 3340295 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5715340 ) ( 3334590 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5203340 ) ( 3257790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5126540 ) ( 3257790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4949000 ) ( 3334590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4955000 ) ( 3345000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5018140 ) ( 3334590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5024140 ) ( 3351000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5030140 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5811740 ) ( 3190000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3174990 5817740 ) ( 3196000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3180990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5786140 ) ( 3190000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3196000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5740940 ) ( 3180990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5484940 ) ( 3129790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5459340 ) ( 3129790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 4896140 ) ( 3104190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4819340 ) ( 3104190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4634140 ) ( 3027390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4640140 ) ( 3033390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3019000 4640570 ) ( 3031000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4710940 ) ( 3027390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4716940 ) ( 3033390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4722940 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4010000 ) ( 175000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4025740 ) ( 236990 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4020000 ) ( 217390 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4014000 ) ( 211390 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4025740 ) ( 236990 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 236990 4025740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 471000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 4000570 ) ( 471000 4000570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 396590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3989000 ) ( 390590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4070000 ) ( 175000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4076940 ) ( 544190 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4080000 ) ( 217390 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4070940 ) ( 211390 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4076940 ) ( 544190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 544190 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 780590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3989000 ) ( 774590 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3995000 ) ( 785000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 703790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3989000 ) ( 697790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4140000 ) ( 175000 4160000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4153740 ) ( 211390 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 211390 4153740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4150000 ) ( 217390 4150000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4122140 ) ( 211390 4156000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4128140 ) ( 236990 4128140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 236990 4128140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 569790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4076940 ) ( 569790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4076940 ) ( 1158590 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1158590 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1420590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3989000 ) ( 1414590 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3995000 ) ( 1425000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1343790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3989000 ) ( 1337790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4210000 ) ( 175000 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4230540 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 876990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4220000 ) ( 217390 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4198940 ) ( 211390 4226000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4204940 ) ( 876990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4204940 ) ( 902590 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 4230540 ) ( 1516990 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 4230540 ) ( 1593790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4307340 ) ( 1751000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4301340 ) ( 1745000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4307340 ) ( 1676590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 4301340 ) ( 1670590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 191790 4400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4394000 ) ( 185790 4415740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4409740 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 544190 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4307340 ) ( 544190 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4307340 ) ( 780590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4301340 ) ( 774590 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4315000 ) ( 785000 4315000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 217390 4400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4378140 ) ( 211390 4406000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4384140 ) ( 544190 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4332940 ) ( 544190 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4332940 ) ( 703790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4309000 ) ( 697790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 191790 5040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5034000 ) ( 185790 5055740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5049740 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 569790 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 4947340 ) ( 569790 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 4947340 ) ( 780590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4941340 ) ( 774590 4961000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4955000 ) ( 785000 4955000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 217390 5040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5018140 ) ( 211390 5046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5024140 ) ( 569790 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4972940 ) ( 569790 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4972940 ) ( 703790 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4949000 ) ( 697790 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5290000 ) ( 175000 5311740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5305740 ) ( 236990 5305740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5300000 ) ( 217390 5300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5294000 ) ( 211390 5311740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5305740 ) ( 236990 5305740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 236990 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 471000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 5280570 ) ( 471000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 396590 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5269000 ) ( 390590 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 191790 5370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5364000 ) ( 185790 5388540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5382540 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 544190 5356940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 217390 5370000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5350940 ) ( 211390 5376000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5356940 ) ( 544190 5356940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 544190 5356940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 780590 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5269000 ) ( 774590 5286140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5275000 ) ( 785000 5275000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 703790 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 5269000 ) ( 697790 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5606940 ) ( 175000 5630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5612940 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 471000 5587340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 5581340 ) ( 465000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5620000 ) ( 217390 5620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5606940 ) ( 211390 5626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5612940 ) ( 396590 5612940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5589000 ) ( 390590 5618940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4450000 ) ( 175000 4470000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4460940 ) ( 211390 4460940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 211390 4460940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4460000 ) ( 217390 4460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4429340 ) ( 211390 4466000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4435340 ) ( 236990 4435340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 236990 4435340 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 569790 4409740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4384140 ) ( 569790 4409740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4384140 ) ( 1209790 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 4307340 ) ( 1209790 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 4307340 ) ( 1420590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4301340 ) ( 1414590 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4315000 ) ( 1425000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4332940 ) ( 1209790 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4332940 ) ( 1343790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4309000 ) ( 1337790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4608540 ) ( 175000 4630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4614540 ) ( 211390 4614540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4620000 ) ( 217390 4620000 ) + NEW metal10 11460 + SHAPE IOWIRE ( 205390 4620270 ) ( 217390 4620270 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4563340 ) ( 211390 4614540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4563340 ) ( 876990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4563340 ) ( 953790 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 4640140 ) ( 1111000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 4640570 ) ( 1111000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 4640140 ) ( 1036590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4629000 ) ( 1030590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 191790 4680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4674000 ) ( 185790 4697340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4691340 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 471000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 4640570 ) ( 471000 4640570 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 217390 4680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4665740 ) ( 211390 4686000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 211390 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 396590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4629000 ) ( 390590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5811740 ) ( 1200000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1194000 5817740 ) ( 1215790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1209790 5766540 ) ( 1209790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5740940 ) ( 1209790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5786140 ) ( 1200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1178190 5792140 ) ( 1206000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5459340 ) ( 1184190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5274140 ) ( 1107390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5280140 ) ( 1113390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 5280570 ) ( 1111000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5350940 ) ( 1107390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5356940 ) ( 1113390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5362940 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5811740 ) ( 1400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1382990 5817740 ) ( 1406000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1388990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5786140 ) ( 1400000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1406000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5740940 ) ( 1388990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5228940 ) ( 1337790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5152140 ) ( 1337790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4949000 ) ( 1414590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4955000 ) ( 1425000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5018140 ) ( 1414590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5024140 ) ( 1431000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5030140 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 5825000 ) ( 1480000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5715340 ) ( 1465790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5589000 ) ( 1414590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5595000 ) ( 1425000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 5786140 ) ( 1470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 5792140 ) ( 1476000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5740940 ) ( 1465790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5658140 ) ( 1440190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5664140 ) ( 1446190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5670140 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 5825000 ) ( 1790000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 5786140 ) ( 1780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 5792140 ) ( 1786000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5740940 ) ( 1772990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5589000 ) ( 1747390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 5589000 ) ( 1749195 5601000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5658140 ) ( 1747390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5664140 ) ( 1753390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5670140 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 5825000 ) ( 1990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 5786140 ) ( 1980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 5792140 ) ( 1986000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5740940 ) ( 1977790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5177740 ) ( 1952190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5152140 ) ( 1952190 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 4588940 ) ( 1977790 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4512140 ) ( 1977790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4309000 ) ( 2054590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4315000 ) ( 2065000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4378140 ) ( 2054590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4384140 ) ( 2071000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4390140 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2350000 5825000 ) ( 2370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 5792140 ) ( 2361790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2361790 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2360000 5786140 ) ( 2360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2330190 5792140 ) ( 2366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2336190 5766540 ) ( 2336190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2336190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5177740 ) ( 2310590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5100940 ) ( 2310590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4949000 ) ( 2387390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 4949000 ) ( 2389195 4961000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5018140 ) ( 2387390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5024140 ) ( 2393390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5030140 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2406990 5825000 ) ( 2430000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2412990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 5786140 ) ( 2420000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2426000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5766540 ) ( 2412990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5589000 ) ( 2387390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 5589000 ) ( 2389195 5601000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5658140 ) ( 2387390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5664140 ) ( 2393390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5670140 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 5825000 ) ( 2500000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 5786140 ) ( 2490000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 5792140 ) ( 2496000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5740940 ) ( 2489790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5536140 ) ( 2464190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5459340 ) ( 2464190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5274140 ) ( 2387390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5280140 ) ( 2393390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2379000 5280570 ) ( 2391000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5350940 ) ( 2387390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5356940 ) ( 2393390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5362940 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5811740 ) ( 2550000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5817740 ) ( 2556000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5786140 ) ( 2550000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5792140 ) ( 2556000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5715340 ) ( 2540990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5510540 ) ( 2489790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5484940 ) ( 2489790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 4870540 ) ( 2464190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4793740 ) ( 2464190 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4634140 ) ( 2387390 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4640140 ) ( 2393390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2379000 4640570 ) ( 2391000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4710940 ) ( 2387390 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4716940 ) ( 2393390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4722940 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5811740 ) ( 2040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5817740 ) ( 2046000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5786140 ) ( 2040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5792140 ) ( 2046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5715340 ) ( 2028990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5203340 ) ( 1977790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5126540 ) ( 1977790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4949000 ) ( 2054590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4955000 ) ( 2065000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5018140 ) ( 2054590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5024140 ) ( 2071000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5030140 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2099790 5825000 ) ( 2120000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 5792140 ) ( 2105790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5740940 ) ( 2105790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5589000 ) ( 2054590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5595000 ) ( 2065000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2074190 5825000 ) ( 2120000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5658140 ) ( 2080190 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5664140 ) ( 2086190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5670140 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5811740 ) ( 2170000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2164000 5817740 ) ( 2188590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2182590 5766540 ) ( 2182590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5715340 ) ( 2182590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2131390 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5433740 ) ( 2131390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5274140 ) ( 2054590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5280140 ) ( 2071000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2059000 5280570 ) ( 2071000 5280570 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5786140 ) ( 2170000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5792140 ) ( 2176000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5766540 ) ( 2156990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2131390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5459340 ) ( 2131390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5350940 ) ( 2080190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5356940 ) ( 2086190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5362940 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5811740 ) ( 3960000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 5817740 ) ( 3966000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3948990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5786140 ) ( 3960000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3966000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5740940 ) ( 3948990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5228940 ) ( 3897790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5152140 ) ( 3897790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4949000 ) ( 3974590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4955000 ) ( 3985000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5018140 ) ( 3974590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5024140 ) ( 3991000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5030140 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3302990 185740 ) ( 3326000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 179740 ) ( 3308990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 236940 ) ( 3334590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 262540 ) ( 3334590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 467340 ) ( 3351000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 461340 ) ( 3345000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3314000 211340 ) ( 3340590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 205340 ) ( 3334590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 390540 ) ( 3351000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 384540 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2150000 175000 ) ( 2170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2156990 169000 ) ( 2156990 928140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2150990 211340 ) ( 2166000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 205340 ) ( 2156990 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 928140 ) ( 2131390 953740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 953740 ) ( 2131390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1516940 ) ( 2054590 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1593740 ) ( 2054590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1747340 ) ( 2071000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1741340 ) ( 2065000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1516940 ) ( 2080190 1568140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 1568140 ) ( 2080190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1670540 ) ( 2086190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1664540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2111790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 169000 ) ( 2105790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 211340 ) ( 2131390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 236940 ) ( 2131390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2054590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 979340 ) ( 2054590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1107340 ) ( 2071000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1101340 ) ( 2065000 1115000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2137390 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 169000 ) ( 2131390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2080190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 953740 ) ( 2080190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1030540 ) ( 2086190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1024540 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1910000 175000 ) ( 1932590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1926590 169000 ) ( 1926590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1914000 211340 ) ( 1932590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1926590 205340 ) ( 1926590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1926590 236940 ) ( 1952190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 262540 ) ( 1952190 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 569740 ) ( 1977790 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 595340 ) ( 1977790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 1158540 ) ( 2054590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1435000 ) ( 2065000 1435000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1363340 ) ( 2071000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1357340 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 175000 ) ( 1860000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 169000 ) ( 1849790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1843790 211340 ) ( 1856000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 205340 ) ( 1849790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 876940 ) ( 1824190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 902540 ) ( 1824190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 1516940 ) ( 1747390 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1593740 ) ( 1747390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1747340 ) ( 1753390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1741340 ) ( 1745000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1593740 ) ( 1747390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1670540 ) ( 1753390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1664540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 175000 ) ( 1790000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 169000 ) ( 1772990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 211340 ) ( 1786000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 205340 ) ( 1772990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1772990 236940 ) ( 1824190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 288140 ) ( 1824190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 851340 ) ( 1747390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1107340 ) ( 1753390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1101340 ) ( 1745000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1030540 ) ( 1753390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1024540 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 175000 ) ( 1730000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 169000 ) ( 1721790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 211340 ) ( 1727790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 205340 ) ( 1721790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1721790 236940 ) ( 1747390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 467340 ) ( 1753390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 461340 ) ( 1745000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 390540 ) ( 1753390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 384540 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 175000 ) ( 3140000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 169000 ) ( 3129790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3123790 211340 ) ( 3136000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3129790 205340 ) ( 3129790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 876940 ) ( 3104190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 902540 ) ( 3104190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 1516940 ) ( 3027390 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1593740 ) ( 3027390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1747340 ) ( 3033390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1741340 ) ( 3025000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1593740 ) ( 3027390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1670540 ) ( 3033390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1664540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3046990 175000 ) ( 3070000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3052990 169000 ) ( 3052990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 211340 ) ( 3066000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 205340 ) ( 3052990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3052990 236940 ) ( 3104190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 288140 ) ( 3104190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 851340 ) ( 3027390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1107340 ) ( 3033390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1101340 ) ( 3025000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1030540 ) ( 3033390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1024540 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2990000 175000 ) ( 3010000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 169000 ) ( 3001790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2994000 211340 ) ( 3007790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 205340 ) ( 3001790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3001790 236940 ) ( 3027390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 467340 ) ( 3033390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 461340 ) ( 3025000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 390540 ) ( 3033390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 384540 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2804000 185740 ) ( 2828590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 179740 ) ( 2822590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 211340 ) ( 2796990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 236940 ) ( 2796990 902540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 211340 ) ( 2816000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 205340 ) ( 2796990 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 902540 ) ( 2771390 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 928140 ) ( 2771390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1542540 ) ( 2694590 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1619340 ) ( 2694590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1747340 ) ( 2711000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1741340 ) ( 2705000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1542540 ) ( 2720190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 1593740 ) ( 2720190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1670540 ) ( 2726190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1664540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2739790 175000 ) ( 2760000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 169000 ) ( 2745790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2739790 211340 ) ( 2756000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 205340 ) ( 2745790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2745790 236940 ) ( 2771390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 262540 ) ( 2771390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2694590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 953740 ) ( 2694590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1107340 ) ( 2711000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1101340 ) ( 2705000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2720190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 928140 ) ( 2720190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1030540 ) ( 2726190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1024540 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 185740 ) ( 2686000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 179740 ) ( 2668990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 211340 ) ( 2694590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 236940 ) ( 2694590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 467340 ) ( 2711000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 461340 ) ( 2705000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2674000 211340 ) ( 2700590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 205340 ) ( 2694590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 390540 ) ( 2711000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 384540 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 175000 ) ( 2630000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 169000 ) ( 2617790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2611790 211340 ) ( 2626000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2617790 205340 ) ( 2617790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 518540 ) ( 2694590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 795000 ) ( 2705000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 723340 ) ( 2711000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 717340 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 175000 ) ( 2444590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2438590 169000 ) ( 2438590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2424000 211340 ) ( 2444590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2438590 205340 ) ( 2438590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2438590 236940 ) ( 2464190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 262540 ) ( 2464190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 876940 ) ( 2387390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 953740 ) ( 2387390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1107340 ) ( 2393390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1101340 ) ( 2385000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 953740 ) ( 2387390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1030540 ) ( 2393390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1024540 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2355790 175000 ) ( 2380000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 169000 ) ( 2361790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 211340 ) ( 2387390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 236940 ) ( 2387390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 467340 ) ( 2393390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 461340 ) ( 2385000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2364000 211340 ) ( 2393390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 205340 ) ( 2387390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 390540 ) ( 2393390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 384540 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 175000 ) ( 1480000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 169000 ) ( 1465790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 211340 ) ( 1476000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 205340 ) ( 1465790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 236940 ) ( 1491390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 262540 ) ( 1491390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 876940 ) ( 1414590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 953740 ) ( 1414590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1107340 ) ( 1431000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1101340 ) ( 1425000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 876940 ) ( 1440190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 928140 ) ( 1440190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 1030540 ) ( 1446190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1024540 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 175000 ) ( 1420590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 169000 ) ( 1414590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 467340 ) ( 1431000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 461340 ) ( 1425000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1404000 211340 ) ( 1420590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 205340 ) ( 1414590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 390540 ) ( 1431000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 384540 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1330000 175000 ) ( 1350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1337790 169000 ) ( 1337790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 211340 ) ( 1346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 205340 ) ( 1337790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 518540 ) ( 1414590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 795000 ) ( 1425000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 723340 ) ( 1431000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 717340 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 175000 ) ( 3724590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3718590 169000 ) ( 3718590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3704000 211340 ) ( 3724590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3718590 205340 ) ( 3718590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3718590 236940 ) ( 3744190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 262540 ) ( 3744190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 876940 ) ( 3667390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 953740 ) ( 3667390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1107340 ) ( 3673390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1101340 ) ( 3665000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 953740 ) ( 3667390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1030540 ) ( 3673390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1024540 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 185740 ) ( 4108590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 179740 ) ( 4102590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 211340 ) ( 4076990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 236940 ) ( 4076990 902540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 211340 ) ( 4096000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 205340 ) ( 4076990 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 902540 ) ( 4051390 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 928140 ) ( 4051390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1542540 ) ( 3974590 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1619340 ) ( 3974590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1747340 ) ( 3991000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1741340 ) ( 3985000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1542540 ) ( 4000190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 1593740 ) ( 4000190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1670540 ) ( 4006190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1664540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4530000 175000 ) ( 4550000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4537790 169000 ) ( 4537790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4531790 211340 ) ( 4546000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4537790 205340 ) ( 4537790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 544140 ) ( 4614590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 620940 ) ( 4614590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 795000 ) ( 4625000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 620940 ) ( 4614590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 723340 ) ( 4631000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 717340 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 175000 ) ( 4040000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 169000 ) ( 4025790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 211340 ) ( 4036000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 205340 ) ( 4025790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4025790 236940 ) ( 4051390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 262540 ) ( 4051390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 3974590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 953740 ) ( 3974590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1107340 ) ( 3991000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1101340 ) ( 3985000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 4000190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 928140 ) ( 4000190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1030540 ) ( 4006190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1024540 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 185740 ) ( 3966000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 179740 ) ( 3948990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 211340 ) ( 3974590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 236940 ) ( 3974590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 467340 ) ( 3991000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 461340 ) ( 3985000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3954000 211340 ) ( 3980590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 205340 ) ( 3974590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 390540 ) ( 3991000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 384540 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 191790 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2662940 ) ( 185790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2668940 ) ( 211390 2668940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 217390 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2668940 ) ( 211390 2686000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 211390 2668940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 851390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2643340 ) ( 928190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1111000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 2720570 ) ( 1111000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1036590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2709000 ) ( 1030590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 430000 175000 ) ( 450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441790 169000 ) ( 441790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 434000 211340 ) ( 447790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 441790 205340 ) ( 441790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 441790 236940 ) ( 467390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 459000 467340 ) ( 473390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 461340 ) ( 465000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 459000 390540 ) ( 473390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 465000 384540 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4850000 175000 ) ( 4876590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 169000 ) ( 4870590 569740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4854000 211340 ) ( 4876590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 205340 ) ( 4870590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 569740 ) ( 4947390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 646540 ) ( 4947390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 789000 ) ( 4949195 801000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 646540 ) ( 4947390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 723340 ) ( 4953390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 717340 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2867740 ) ( 5825000 2890000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5831000 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5740990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2880000 ) ( 5825000 2880000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2874000 ) ( 5792190 2905340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2899340 ) ( 5798190 2899340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2899340 ) ( 5740990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5740990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5459390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 5459390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3027340 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3021340 ) ( 4625000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3027340 ) ( 4819390 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3021340 ) ( 4691390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4697390 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2860000 ) ( 175000 2880000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2873740 ) ( 211390 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 211390 2873740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2870000 ) ( 217390 2870000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2842140 ) ( 211390 2876000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2848140 ) ( 236990 2848140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 236990 2848140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 569790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2796940 ) ( 569790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2796940 ) ( 1158590 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1158590 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1420590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2709000 ) ( 1414590 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2715000 ) ( 1425000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1343790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2709000 ) ( 1337790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1890000 ) ( 175000 1910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1900940 ) ( 211390 1900940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 211390 1900940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1900000 ) ( 217390 1900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1869340 ) ( 211390 1906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1875340 ) ( 236990 1875340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 236990 1875340 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 569790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 1824140 ) ( 569790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 1824140 ) ( 1209790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 1747340 ) ( 1209790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 1747340 ) ( 1420590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1741340 ) ( 1414590 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1755000 ) ( 1425000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 1772940 ) ( 1209790 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 1772940 ) ( 1343790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1749000 ) ( 1337790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1970000 ) ( 175000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1977740 ) ( 902590 1977740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1980000 ) ( 217390 1980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1971740 ) ( 211390 1986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1977740 ) ( 902590 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 1977740 ) ( 928190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 2003340 ) ( 1491390 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2003340 ) ( 1568190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1751000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 2080570 ) ( 1751000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1676590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2069000 ) ( 1670590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 191790 2040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2022940 ) ( 185790 2046000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2028940 ) ( 236990 2028940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 217390 2040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2022940 ) ( 211390 2046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2028940 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 876990 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2003340 ) ( 953790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 2080140 ) ( 1111000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 2080570 ) ( 1111000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 2080140 ) ( 1036590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2069000 ) ( 1030590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 191790 2220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2202140 ) ( 185790 2226000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2208140 ) ( 211390 2208140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 217390 2220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2208140 ) ( 211390 2226000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 211390 2208140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 544190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 544190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 1184190 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1184190 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1420590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2069000 ) ( 1414590 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2075000 ) ( 1425000 2075000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1343790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2069000 ) ( 1337790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2290000 ) ( 175000 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2310540 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 902590 2284940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2300000 ) ( 217390 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2278940 ) ( 211390 2306000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2284940 ) ( 902590 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2284940 ) ( 928190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 2310540 ) ( 1491390 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2310540 ) ( 1568190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2387340 ) ( 1751000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 2381340 ) ( 1745000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2387340 ) ( 1676590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2381340 ) ( 1670590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2355740 ) ( 175000 2380000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2361740 ) ( 211390 2361740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 211390 2361740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2330140 ) ( 175000 2380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 2336140 ) ( 236990 2336140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 236990 2336140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 876990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2310540 ) ( 953790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 2387340 ) ( 1111000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 2381340 ) ( 1105000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 2387340 ) ( 1036590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2381340 ) ( 1030590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1660000 ) ( 5825000 1660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1654000 ) ( 5817790 1676540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5823790 1670540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1660000 ) ( 5825000 1660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1654000 ) ( 5792190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5798190 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5433790 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 1747340 ) ( 5433790 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1741340 ) ( 5265000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1747340 ) ( 5433790 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1741340 ) ( 5331390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5337390 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1587740 ) ( 5825000 1610000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5831000 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5740990 1644940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1600000 ) ( 5825000 1600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1594000 ) ( 5792190 1625340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1619340 ) ( 5798190 1619340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1619340 ) ( 5740990 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5740990 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5459390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 5459390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1747340 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1741340 ) ( 4625000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1747340 ) ( 4819390 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1741340 ) ( 4691390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4697390 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1450000 ) ( 5825000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5831000 1465740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1460000 ) ( 5825000 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1454000 ) ( 5792190 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5798190 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1516940 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1440140 ) ( 5203390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 1440140 ) ( 5126590 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 1429000 ) ( 4947390 1446140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 1429000 ) ( 4949195 1441000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1440140 ) ( 5126590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1429000 ) ( 5024190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5030190 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1400000 ) ( 5825000 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1382940 ) ( 5817790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5823790 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 1440140 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 1429000 ) ( 5587390 1446140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 1429000 ) ( 5589195 1441000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1400000 ) ( 5825000 1400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1394000 ) ( 5792190 1420540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5798190 1414540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1440140 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1429000 ) ( 5664190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5670190 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2930000 ) ( 175000 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2950540 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 876990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2940000 ) ( 217390 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2918940 ) ( 211390 2946000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2924940 ) ( 876990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2924940 ) ( 902590 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2950540 ) ( 1516990 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2950540 ) ( 1593790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 3027340 ) ( 1751000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 3021340 ) ( 1745000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 3027340 ) ( 1676590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3021340 ) ( 1670590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1140000 ) ( 5825000 1140000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1126940 ) ( 5817790 1146000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5823790 1132940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5740990 1184140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1140000 ) ( 5825000 1140000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1134000 ) ( 5792190 1164540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1158540 ) ( 5798190 1158540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1158540 ) ( 5740990 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1184140 ) ( 5740990 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 1107340 ) ( 5177790 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 1107340 ) ( 5100990 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 1101340 ) ( 4947390 1121000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 1109000 ) ( 4949195 1121000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1132940 ) ( 5177790 1184140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1132940 ) ( 5126590 1132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1109000 ) ( 5024190 1138940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5030190 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 780540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5831000 774540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 800140 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 789000 ) ( 5587390 806140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 789000 ) ( 5589195 801000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 800140 ) ( 5831000 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 789000 ) ( 5664190 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5670190 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 690000 ) ( 5825000 710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5831000 697740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 700000 ) ( 5825000 700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 691740 ) ( 5792190 706000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5798190 697740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 723340 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 723340 ) ( 5408190 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 800140 ) ( 5408190 800140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 800570 ) ( 5271000 800570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 800140 ) ( 5408190 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 789000 ) ( 5331390 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5337390 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 430000 ) ( 5825000 450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5831000 441740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 440000 ) ( 5825000 440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 434000 ) ( 5792190 447740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5798190 441740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 467340 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 461340 ) ( 5587390 481000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 469000 ) ( 5589195 481000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 467340 ) ( 5740990 467340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 461340 ) ( 5664190 481000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5670190 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2550000 ) ( 5825000 2572540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5831000 2566540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2560000 ) ( 5825000 2560000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2554000 ) ( 5792190 2566540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5740990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2617740 ) ( 5740990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2617740 ) ( 5459390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 2643340 ) ( 5459390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 2643340 ) ( 4768190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 2720140 ) ( 4768190 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 2720570 ) ( 4631000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2720140 ) ( 4768190 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2709000 ) ( 4691390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4697390 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2480000 ) ( 5825000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2489740 ) ( 5831000 2489740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2490000 ) ( 5825000 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2483740 ) ( 5792190 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2489740 ) ( 5798190 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2464140 ) ( 5152190 2489740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2464140 ) ( 5126590 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2387340 ) ( 4563390 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 2387340 ) ( 4486590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 2381340 ) ( 4307390 2401000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 2389000 ) ( 4309195 2401000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 2464140 ) ( 5126590 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4409790 2412940 ) ( 4460990 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2412940 ) ( 4409790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2389000 ) ( 4384190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4390190 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2406940 ) ( 5825000 2430000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5831000 2412940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5740990 2464140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2420000 ) ( 5825000 2420000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2414000 ) ( 5792190 2444540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2438540 ) ( 5798190 2438540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2438540 ) ( 5740990 2464140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2464140 ) ( 5740990 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 2387340 ) ( 5177790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 2387340 ) ( 5100990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 2381340 ) ( 4947390 2401000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 2389000 ) ( 4949195 2401000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2412940 ) ( 5177790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2412940 ) ( 5126590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2389000 ) ( 5024190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5030190 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2227740 ) ( 5825000 2250000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5831000 2233740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2240000 ) ( 5825000 2240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2227740 ) ( 5792190 2246000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5798190 2233740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2310540 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2310540 ) ( 4793790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 2387340 ) ( 4793790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2381340 ) ( 4625000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2387340 ) ( 4793790 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2381340 ) ( 4691390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4697390 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2060540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5831000 2054540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 2080140 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 2069000 ) ( 5587390 2086140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 2069000 ) ( 5589195 2081000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2080140 ) ( 5831000 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2069000 ) ( 5664190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5670190 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1990000 ) ( 5825000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1971740 ) ( 5817790 1996000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5823790 1977740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5740990 2003340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5740990 2003340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1990000 ) ( 5825000 1990000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1984000 ) ( 5792190 2009340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5798190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5408190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 2080140 ) ( 5408190 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 2080570 ) ( 5271000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2080140 ) ( 5408190 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2069000 ) ( 5331390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5337390 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 191790 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3114000 ) ( 185790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3129740 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 544190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 3027340 ) ( 544190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 3027340 ) ( 780590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3021340 ) ( 774590 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3035000 ) ( 785000 3035000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 217390 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3098140 ) ( 211390 3126000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3104140 ) ( 544190 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3052940 ) ( 544190 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3052940 ) ( 703790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3029000 ) ( 697790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1910000 ) ( 5825000 1932540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5831000 1926540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1920000 ) ( 5825000 1920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1914000 ) ( 5792190 1932540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5798190 1926540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 1977740 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 1977740 ) ( 5433790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2003340 ) ( 5433790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2003340 ) ( 4793790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 2080140 ) ( 4793790 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 2080570 ) ( 4631000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2080140 ) ( 4793790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2069000 ) ( 4691390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4697390 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1840000 ) ( 5825000 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1834000 ) ( 5817790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5823790 1849740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1840000 ) ( 5825000 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1834000 ) ( 5792190 1855740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5798190 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1824140 ) ( 5177790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 1824140 ) ( 5152190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 1747340 ) ( 4537790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 1747340 ) ( 4460990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1741340 ) ( 4307390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 1749000 ) ( 4309195 1761000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4486590 1772940 ) ( 4537790 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 1772940 ) ( 4486590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 1749000 ) ( 4384190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4390190 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3170000 ) ( 175000 3190000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3180940 ) ( 211390 3180940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 211390 3180940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3180000 ) ( 217390 3180000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3149340 ) ( 211390 3186000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3155340 ) ( 236990 3155340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 236990 3155340 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 569790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3104140 ) ( 569790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3104140 ) ( 1209790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 3027340 ) ( 1209790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 3027340 ) ( 1420590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3021340 ) ( 1414590 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3035000 ) ( 1425000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3052940 ) ( 1209790 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3052940 ) ( 1343790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3029000 ) ( 1337790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3250000 ) ( 175000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3257740 ) ( 902590 3257740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3260000 ) ( 217390 3260000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3251740 ) ( 211390 3266000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3257740 ) ( 902590 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3257740 ) ( 928190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3283340 ) ( 1491390 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3283340 ) ( 1568190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1751000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 3360570 ) ( 1751000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1676590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3349000 ) ( 1670590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 191790 3320000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3302940 ) ( 185790 3326000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3308940 ) ( 236990 3308940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 217390 3320000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3302940 ) ( 211390 3326000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3308940 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 876990 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3283340 ) ( 953790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 3360140 ) ( 1111000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 3360570 ) ( 1111000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 3360140 ) ( 1036590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3349000 ) ( 1030590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 191790 3500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3482140 ) ( 185790 3506000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3488140 ) ( 211390 3488140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 217390 3500000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3488140 ) ( 211390 3506000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 211390 3488140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 544190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 544190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 1184190 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1184190 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1420590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3349000 ) ( 1414590 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3355000 ) ( 1425000 3355000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1343790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3349000 ) ( 1337790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3570000 ) ( 175000 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3590540 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 902590 3564940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3580000 ) ( 217390 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3558940 ) ( 211390 3586000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3564940 ) ( 902590 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3564940 ) ( 928190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3590540 ) ( 1491390 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3590540 ) ( 1568190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3667340 ) ( 1751000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 3661340 ) ( 1745000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3667340 ) ( 1676590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3661340 ) ( 1670590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1766940 ) ( 175000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1772940 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 471000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 1741340 ) ( 465000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1780000 ) ( 217390 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1766940 ) ( 211390 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1772940 ) ( 396590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1749000 ) ( 390590 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 191790 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1834000 ) ( 185790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1849740 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 544190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1747340 ) ( 544190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1747340 ) ( 780590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 1741340 ) ( 774590 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 1755000 ) ( 785000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 217390 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1818140 ) ( 211390 1846000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1824140 ) ( 544190 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 1772940 ) ( 544190 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 1772940 ) ( 703790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1749000 ) ( 697790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2610000 ) ( 175000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2617740 ) ( 876990 2617740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2620000 ) ( 217390 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2611740 ) ( 211390 2626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2617740 ) ( 876990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2617740 ) ( 902590 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2643340 ) ( 1516990 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2643340 ) ( 1593790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 2720140 ) ( 1751000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 2720570 ) ( 1751000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 2720140 ) ( 1676590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2709000 ) ( 1670590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 690000 175000 ) ( 710000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 697790 169000 ) ( 697790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 211340 ) ( 706000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 205340 ) ( 697790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 697790 544140 ) ( 774590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 620940 ) ( 774590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 795000 ) ( 785000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 774590 620940 ) ( 774590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 723340 ) ( 791000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 717340 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 185740 ) ( 4606000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 179740 ) ( 4588990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 236940 ) ( 4614590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 262540 ) ( 4614590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 467340 ) ( 4631000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 461340 ) ( 4625000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4594000 211340 ) ( 4620590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 205340 ) ( 4614590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 390540 ) ( 4631000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 384540 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2730000 ) ( 5825000 2751740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5831000 2745740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2740000 ) ( 5825000 2740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2734000 ) ( 5792190 2751740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5798190 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2796940 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2720140 ) ( 5203390 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 2720140 ) ( 5126590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 2709000 ) ( 4947390 2726140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 2709000 ) ( 4949195 2721000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2720140 ) ( 5126590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2709000 ) ( 5024190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5030190 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2420000 ) ( 175000 2444540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2438540 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 471000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 2381340 ) ( 465000 2395000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2430000 ) ( 217390 2430000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2406940 ) ( 211390 2436000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2412940 ) ( 396590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2389000 ) ( 390590 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1070000 175000 ) ( 1090000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081790 169000 ) ( 1081790 211340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 1077895 205340 ) ( 1077895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1081790 211340 ) ( 1107390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 467340 ) ( 1113390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 461340 ) ( 1105000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 390540 ) ( 1113390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 384540 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4659790 175000 ) ( 4680000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 169000 ) ( 4665790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 211340 ) ( 4691390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 236940 ) ( 4691390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 902540 ) ( 4614590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 979340 ) ( 4614590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 1107340 ) ( 4631000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1101340 ) ( 4625000 1115000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4664000 211340 ) ( 4697390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 205340 ) ( 4691390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 902540 ) ( 4640190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 953740 ) ( 4640190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1030540 ) ( 4646190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1024540 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2790000 ) ( 5825000 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5831000 2796940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2800000 ) ( 5825000 2800000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2790940 ) ( 5792190 2806000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5798190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2822540 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2796940 ) ( 5177790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 2796940 ) ( 5152190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4460990 2720140 ) ( 4537790 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 2720140 ) ( 4460990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 2709000 ) ( 4307390 2726140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 2709000 ) ( 4309195 2721000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2720140 ) ( 4460990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2709000 ) ( 4384190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4390190 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3507790 175000 ) ( 3530000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 169000 ) ( 3513790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3507790 211340 ) ( 3526000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 205340 ) ( 3513790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3513790 236940 ) ( 3564990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 288140 ) ( 3564990 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 595340 ) ( 3590590 620940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 620940 ) ( 3590590 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 1184140 ) ( 3667390 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1260940 ) ( 3667390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 1429000 ) ( 3669195 1441000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1260940 ) ( 3667390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1363340 ) ( 3673390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1357340 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 175000 ) ( 3910000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 169000 ) ( 3897790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3891790 211340 ) ( 3906000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3897790 205340 ) ( 3897790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 518540 ) ( 3974590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 795000 ) ( 3985000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 723340 ) ( 3991000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 717340 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3570000 175000 ) ( 3596590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 169000 ) ( 3590590 569740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3574000 211340 ) ( 3596590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 205340 ) ( 3590590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 569740 ) ( 3667390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 646540 ) ( 3667390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 789000 ) ( 3669195 801000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 646540 ) ( 3667390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 723340 ) ( 3673390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 717340 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3635790 175000 ) ( 3660000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 169000 ) ( 3641790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 211340 ) ( 3667390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 236940 ) ( 3667390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 467340 ) ( 3673390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 461340 ) ( 3665000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3644000 211340 ) ( 3673390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 205340 ) ( 3667390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 390540 ) ( 3673390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 384540 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 5825000 ) ( 3450000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5792140 ) ( 3436990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 5786140 ) ( 3440000 5825000 ) + NEW metal10 9010 + SHAPE IOWIRE ( 3441495 5786140 ) ( 3441495 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5766540 ) ( 3436990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5510540 ) ( 3411390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5433740 ) ( 3411390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5274140 ) ( 3334590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5280140 ) ( 3351000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3339000 5280570 ) ( 3351000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5459340 ) ( 3411390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5350940 ) ( 3360190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5356940 ) ( 3366190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5362940 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3500000 5825000 ) ( 3520000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3510000 5786140 ) ( 3510000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3504000 5792140 ) ( 3519790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5689740 ) ( 3513790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5484940 ) ( 3436990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5459340 ) ( 3436990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 4844940 ) ( 3411390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4768140 ) ( 3411390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4634140 ) ( 3334590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4640140 ) ( 3351000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3339000 4640570 ) ( 3351000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4793740 ) ( 3411390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4710940 ) ( 3360190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 4716940 ) ( 3366190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4722940 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5811740 ) ( 3580000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3574000 5817740 ) ( 3596590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5792140 ) ( 3590590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5766540 ) ( 3590590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5152140 ) ( 3564990 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5786140 ) ( 3580000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3558990 5792140 ) ( 3586000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5152140 ) ( 3564990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5126540 ) ( 3564990 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 4563340 ) ( 3590590 5126540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4486540 ) ( 3590590 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4309000 ) ( 3667390 4486540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 4309000 ) ( 3669195 4321000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4378140 ) ( 3667390 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4384140 ) ( 3673390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4390140 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 5825000 ) ( 3780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 5786140 ) ( 3770000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 5792140 ) ( 3776000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5740940 ) ( 3769790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5536140 ) ( 3744190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5459340 ) ( 3744190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5274140 ) ( 3667390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5280140 ) ( 3673390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3659000 5280570 ) ( 3671000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5350940 ) ( 3667390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5356940 ) ( 3673390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5362940 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_45_cost.defok b/src/pad/test/rdl_route_45_cost.defok index 9a4824ab030..d7cd41509aa 100644 --- a/src/pad/test/rdl_route_45_cost.defok +++ b/src/pad/test/rdl_route_45_cost.defok @@ -2509,255 +2509,285 @@ SPECIALNETS 139 ; ( BUMP_10_16 PAD ) ( BUMP_11_13 PAD ) ( BUMP_13_14 PAD ) ( BUMP_15_15 PAD ) ( BUMP_14_12 PAD ) ( BUMP_16_11 PAD ) ( BUMP_15_10 PAD ) ( BUMP_14_8 PAD ) ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 175000 ) ( 3450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 169000 ) ( 3436990 928140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3430990 211340 ) ( 3446000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3436990 205340 ) ( 3436990 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 928140 ) ( 3411390 953740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 953740 ) ( 3411390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1516940 ) ( 3334590 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1593740 ) ( 3334590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1747340 ) ( 3351000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1741340 ) ( 3345000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5811740 ) ( 3010000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5817740 ) ( 3016000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1516940 ) ( 3360190 1568140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 1568140 ) ( 3360190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1670540 ) ( 3366190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1664540 ) ( 3345000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5786140 ) ( 3010000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5792140 ) ( 3016000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5715340 ) ( 3001790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5203340 ) ( 2950590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5126540 ) ( 2950590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4949000 ) ( 3027390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 4949000 ) ( 3029195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2930000 175000 ) ( 2956590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 169000 ) ( 2950590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5018140 ) ( 3027390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5024140 ) ( 3033390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2934000 211340 ) ( 2956590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2950590 205340 ) ( 2950590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 544140 ) ( 3027390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 620940 ) ( 3027390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 789000 ) ( 3029195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 175000 ) ( 2572590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2566590 169000 ) ( 2566590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 620940 ) ( 3027390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 723340 ) ( 3033390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 717340 ) ( 3025000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2554000 211340 ) ( 2566590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2566590 211340 ) ( 2592190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 236940 ) ( 2592190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 544140 ) ( 2617790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 569740 ) ( 2617790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 1184140 ) ( 2694590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1260940 ) ( 2694590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1435000 ) ( 2705000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 5825000 ) ( 2630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5792140 ) ( 2617790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1260940 ) ( 2694590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1363340 ) ( 2711000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1357340 ) ( 2705000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 5786140 ) ( 2620000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 2621895 5786140 ) ( 2621895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5766540 ) ( 2617790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5203340 ) ( 2592190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5177740 ) ( 2592190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 4563340 ) ( 2617790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4486540 ) ( 2617790 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4309000 ) ( 2694590 4486540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4315000 ) ( 2705000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2220000 5825000 ) ( 2240000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4378140 ) ( 2694590 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4384140 ) ( 2711000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2230000 5786140 ) ( 2230000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2224000 5792140 ) ( 2239790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5689740 ) ( 2233790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5484940 ) ( 2156990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5459340 ) ( 2156990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 4844940 ) ( 2131390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4768140 ) ( 2131390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4634140 ) ( 2054590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4640140 ) ( 2071000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2059000 4640570 ) ( 2071000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 185740 ) ( 2046000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 179740 ) ( 2028990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 236940 ) ( 2054590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 262540 ) ( 2054590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 467340 ) ( 2071000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 461340 ) ( 2065000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5811740 ) ( 1840000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1834000 5817740 ) ( 1855790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5792140 ) ( 1849790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5766540 ) ( 1849790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1824190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4793740 ) ( 2131390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4710940 ) ( 2080190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 4716940 ) ( 2086190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2034000 211340 ) ( 2060590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 205340 ) ( 2054590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 390540 ) ( 2071000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 384540 ) ( 2065000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5786140 ) ( 1840000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1818190 5792140 ) ( 1846000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1824190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5433740 ) ( 1824190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5274140 ) ( 1747390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5280140 ) ( 1753390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 5280570 ) ( 1751000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3890000 ) ( 175000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3897740 ) ( 876990 3897740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5350940 ) ( 1747390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5356940 ) ( 1753390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3900000 ) ( 217390 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3891740 ) ( 211390 3906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3897740 ) ( 876990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3897740 ) ( 902590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3923340 ) ( 1516990 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 3923340 ) ( 1593790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4000140 ) ( 1751000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 4000570 ) ( 1751000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1650000 175000 ) ( 1676590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1670590 169000 ) ( 1670590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4000140 ) ( 1676590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3989000 ) ( 1670590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3995000 ) ( 1745000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1654000 211340 ) ( 1676590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 205340 ) ( 1670590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 544140 ) ( 1747390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 620940 ) ( 1747390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 789000 ) ( 1749195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2990000 ) ( 175000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3001740 ) ( 236990 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 620940 ) ( 1747390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 723340 ) ( 1753390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 717340 ) ( 1745000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3000000 ) ( 217390 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2994000 ) ( 211390 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3001740 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 851390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2950540 ) ( 928190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 3027340 ) ( 1111000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 3021340 ) ( 1105000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1400000 ) ( 191790 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1382940 ) ( 185790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1388940 ) ( 211390 1388940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 3027340 ) ( 1036590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3021340 ) ( 1030590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3035000 ) ( 1105000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1400000 ) ( 217390 1400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1388940 ) ( 211390 1406000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 211390 1388940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 851390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1363340 ) ( 928190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1111000 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 1440570 ) ( 1111000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4270000 ) ( 175000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4281740 ) ( 236990 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1036590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1429000 ) ( 1030590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1435000 ) ( 1105000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4280000 ) ( 217390 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4274000 ) ( 211390 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4281740 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 851390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 4230540 ) ( 928190 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4307340 ) ( 1111000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 4301340 ) ( 1105000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1010000 175000 ) ( 1036590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1030590 169000 ) ( 1030590 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4307340 ) ( 1036590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4301340 ) ( 1030590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4315000 ) ( 1105000 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014000 211340 ) ( 1036590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 205340 ) ( 1030590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1030590 569740 ) ( 1107390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 646540 ) ( 1107390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1109195 789000 ) ( 1109195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2480000 ) ( 175000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2489740 ) ( 236990 2489740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 646540 ) ( 1107390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 723340 ) ( 1113390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 717340 ) ( 1105000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2490000 ) ( 217390 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2483740 ) ( 211390 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2489740 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 569790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 2387340 ) ( 569790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 2387340 ) ( 780590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2381340 ) ( 774590 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2395000 ) ( 785000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 810000 5825000 ) ( 831790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 5792140 ) ( 825790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5740940 ) ( 825790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5589000 ) ( 774590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5595000 ) ( 785000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4730000 ) ( 175000 4750000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4742540 ) ( 236990 4742540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2412940 ) ( 569790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2412940 ) ( 703790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2389000 ) ( 697790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2395000 ) ( 785000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 820000 5786140 ) ( 820000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 814000 5792140 ) ( 831790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 825790 5740940 ) ( 825790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 800190 5715340 ) ( 825790 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 800190 5658140 ) ( 800190 5715340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 779000 5664140 ) ( 806190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4740000 ) ( 217390 4740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4734000 ) ( 211390 4748540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4742540 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 518590 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 518590 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 780590 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4629000 ) ( 774590 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4635000 ) ( 785000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2680000 ) ( 5825000 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2662940 ) ( 5817790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5823790 2668940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 2720140 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 2709000 ) ( 5587390 2726140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 2709000 ) ( 5589195 2721000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3960000 ) ( 5825000 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3942940 ) ( 5817790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5823790 3948940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4000140 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3989000 ) ( 5587390 4006140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3989000 ) ( 5589195 4001000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1330000 ) ( 5825000 1350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5831000 1337740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5766590 1363340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5766590 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 703790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4629000 ) ( 697790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4635000 ) ( 785000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2680000 ) ( 5825000 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2674000 ) ( 5792190 2700540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5798190 2694540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2720140 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2709000 ) ( 5664190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5670190 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3960000 ) ( 5825000 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3954000 ) ( 5792190 3980540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5798190 3974540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4000140 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3989000 ) ( 5664190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5670190 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1340000 ) ( 5825000 1340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1331740 ) ( 5792190 1346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 1337740 ) ( 5798190 1337740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 1337740 ) ( 5715390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5715390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5433790 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 1440140 ) ( 5433790 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 1440570 ) ( 5271000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5350000 5825000 ) ( 5370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1440140 ) ( 5433790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1429000 ) ( 5331390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5337390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5360000 5786140 ) ( 5360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5350990 5792140 ) ( 5366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5740940 ) ( 5356990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5484940 ) ( 5331390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5408140 ) ( 5331390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5274140 ) ( 5254590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5280140 ) ( 5271000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 5280570 ) ( 5271000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3580000 ) ( 5825000 3580000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3574000 ) ( 5817790 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5823790 3590540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5433740 ) ( 5331390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5350940 ) ( 5280190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5356940 ) ( 5286190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3580000 ) ( 5825000 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3574000 ) ( 5792190 3596540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5798190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 3667340 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3661340 ) ( 5265000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5222990 185740 ) ( 5246000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 179740 ) ( 5228990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 211340 ) ( 5254590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 236940 ) ( 5254590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 467340 ) ( 5271000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 461340 ) ( 5265000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3046940 ) ( 5825000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5831000 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3667340 ) ( 5459390 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3661340 ) ( 5331390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5337390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5234000 211340 ) ( 5260590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5254590 205340 ) ( 5254590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5248590 390540 ) ( 5271000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 384540 ) ( 5265000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3060000 ) ( 5825000 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3046940 ) ( 5792190 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5798190 3052940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5715390 3104140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3104140 ) ( 5715390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3027340 ) ( 5203390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 3027340 ) ( 5126590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3021340 ) ( 4947390 3041000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3029000 ) ( 4949195 3041000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1766940 ) ( 5825000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5831000 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3052940 ) ( 5203390 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3052940 ) ( 5152190 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3029000 ) ( 5024190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5030190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1780000 ) ( 5825000 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1766940 ) ( 5792190 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5798190 1772940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5715390 1824140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1824140 ) ( 5715390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1747340 ) ( 5203390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 1747340 ) ( 5126590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 1741340 ) ( 4947390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 1749000 ) ( 4949195 1761000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4326940 ) ( 5825000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5831000 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1772940 ) ( 5203390 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1772940 ) ( 5152190 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1749000 ) ( 5024190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5030190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4340000 ) ( 5825000 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4326940 ) ( 5792190 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5798190 4332940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5715390 4384140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4384140 ) ( 5715390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4307340 ) ( 5203390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 4307340 ) ( 5126590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4301340 ) ( 4947390 4321000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4309000 ) ( 4949195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5811740 ) ( 4600000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5817740 ) ( 4606000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4332940 ) ( 5203390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4332940 ) ( 5152190 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4309000 ) ( 5024190 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5030190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5786140 ) ( 4600000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5792140 ) ( 4606000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5715340 ) ( 4588990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5203340 ) ( 4537790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5126540 ) ( 4537790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4949000 ) ( 4614590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4955000 ) ( 4625000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2170000 ) ( 5825000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2164000 ) ( 5817790 2188540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2182540 ) ( 5823790 2182540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5018140 ) ( 4614590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5024140 ) ( 4631000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2170000 ) ( 5825000 2170000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2164000 ) ( 5792190 2188540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2182540 ) ( 5798190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2156940 ) ( 5152190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2156940 ) ( 5126590 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2080140 ) ( 4563390 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 2080140 ) ( 4486590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 2069000 ) ( 4307390 2086140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 2069000 ) ( 4309195 2081000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4210000 175000 ) ( 4236590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 169000 ) ( 4230590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2080140 ) ( 4486590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2069000 ) ( 4384190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4390190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4214000 211340 ) ( 4236590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 205340 ) ( 4230590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 544140 ) ( 4307390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 620940 ) ( 4307390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 789000 ) ( 4309195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 175000 ) ( 3852590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3846590 169000 ) ( 3846590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 620940 ) ( 4307390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 723340 ) ( 4313390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 717340 ) ( 4305000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3834000 211340 ) ( 3846590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3846590 211340 ) ( 3872190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 236940 ) ( 3872190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 544140 ) ( 3897790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 569740 ) ( 3897790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 1184140 ) ( 3974590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1260940 ) ( 3974590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1435000 ) ( 3985000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4140000 5825000 ) ( 4160000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5792140 ) ( 4153790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 5740940 ) ( 4153790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 5689740 ) ( 4102590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5664140 ) ( 4102590 5689740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1260940 ) ( 3974590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1363340 ) ( 3991000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1357340 ) ( 3985000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4150000 5786140 ) ( 4150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4144000 5792140 ) ( 4159790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5740940 ) ( 4153790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5664140 ) ( 4153790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5459340 ) ( 4076990 5664140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5433740 ) ( 4076990 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 4870540 ) ( 4051390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4793740 ) ( 4051390 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4634140 ) ( 3974590 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4640140 ) ( 3991000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3979000 4640570 ) ( 3991000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3686990 5825000 ) ( 3710000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3692990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4819340 ) ( 4051390 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4710940 ) ( 4000190 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 4716940 ) ( 4006190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 5786140 ) ( 3700000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3706000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5766540 ) ( 3692990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5589000 ) ( 3667390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 5589000 ) ( 3669195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3370000 ) ( 175000 3391740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3385740 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 471000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 3360570 ) ( 471000 3360570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2090000 ) ( 175000 2111740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2105740 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 471000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 2080570 ) ( 471000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5658140 ) ( 3667390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5664140 ) ( 3673390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3380000 ) ( 217390 3380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3374000 ) ( 211390 3391740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3385740 ) ( 262590 3385740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 3360140 ) ( 262590 3385740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 3360140 ) ( 396590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3349000 ) ( 390590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3355000 ) ( 465000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2100000 ) ( 217390 2100000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2094000 ) ( 211390 2111740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2105740 ) ( 262590 2105740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 2080140 ) ( 262590 2105740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 2080140 ) ( 396590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2069000 ) ( 390590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2910,188 +2940,208 @@ SPECIALNETS 139 ; ( BUMP_10_14 PAD ) ( BUMP_12_12 PAD ) ( BUMP_13_16 PAD ) ( BUMP_16_16 PAD ) ( BUMP_16_12 PAD ) ( BUMP_15_11 PAD ) ( BUMP_13_10 PAD ) ( BUMP_16_8 PAD ) ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3391790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 169000 ) ( 3385790 211340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3380000 175000 ) ( 3380000 217340 ) + NEW metal10 11790 + SHAPE IOWIRE ( 3379895 205340 ) ( 3379895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3385790 211340 ) ( 3411390 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 236940 ) ( 3411390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3334590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 979340 ) ( 3334590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1107340 ) ( 3351000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1101340 ) ( 3345000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2867790 175000 ) ( 2890000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 169000 ) ( 2873790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 211340 ) ( 2924990 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 262540 ) ( 2924990 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3360190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 953740 ) ( 3360190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1030540 ) ( 3366190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1024540 ) ( 3345000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2867790 211340 ) ( 2886000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2873790 205340 ) ( 2873790 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2873790 262540 ) ( 2924990 313740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2924990 313740 ) ( 2924990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 569740 ) ( 2950590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 595340 ) ( 2950590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 1209740 ) ( 3027390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1286540 ) ( 3027390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 1429000 ) ( 3029195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 5825000 ) ( 3140000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5792140 ) ( 3129790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1286540 ) ( 3027390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1363340 ) ( 3033390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1357340 ) ( 3025000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 5786140 ) ( 3130000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 3132895 5786140 ) ( 3132895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5766540 ) ( 3129790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5510540 ) ( 3104190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5433740 ) ( 3104190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5274140 ) ( 3027390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5280140 ) ( 3033390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3019000 5280570 ) ( 3031000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5811740 ) ( 2680000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 5817740 ) ( 2686000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2668990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5350940 ) ( 3027390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5356940 ) ( 3033390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5786140 ) ( 2680000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2686000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2643390 5766540 ) ( 2668990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2643390 5715340 ) ( 2643390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5689740 ) ( 2643390 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5228940 ) ( 2617790 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5152140 ) ( 2617790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4949000 ) ( 2694590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4955000 ) ( 2705000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 175000 ) ( 2500000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 169000 ) ( 2489790 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5018140 ) ( 2694590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5024140 ) ( 2711000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 211340 ) ( 2496000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 205340 ) ( 2489790 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 902540 ) ( 2464190 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 928140 ) ( 2464190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 1491340 ) ( 2387390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1747340 ) ( 2393390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1741340 ) ( 2385000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5811740 ) ( 2300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2294000 5817740 ) ( 2316590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5792140 ) ( 2310590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5766540 ) ( 2310590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5152140 ) ( 2284990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1670540 ) ( 2393390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1664540 ) ( 2385000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5786140 ) ( 2300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2278990 5792140 ) ( 2306000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5152140 ) ( 2284990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5126540 ) ( 2284990 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 4563340 ) ( 2310590 5126540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4486540 ) ( 2310590 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4309000 ) ( 2387390 4486540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 4309000 ) ( 2389195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 175000 ) ( 1990000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 169000 ) ( 1977790 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4378140 ) ( 2387390 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4384140 ) ( 2393390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 211340 ) ( 1986000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 205340 ) ( 1977790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 544140 ) ( 2054590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 620940 ) ( 2054590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 795000 ) ( 2065000 795000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1587790 175000 ) ( 1610000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 169000 ) ( 1593790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 211340 ) ( 1644990 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1644990 262540 ) ( 1644990 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 620940 ) ( 2054590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 723340 ) ( 2071000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 717340 ) ( 2065000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1587790 211340 ) ( 1606000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 205340 ) ( 1593790 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 262540 ) ( 1644990 313740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1644990 313740 ) ( 1644990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 569740 ) ( 1670590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 595340 ) ( 1670590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 1209740 ) ( 1747390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1286540 ) ( 1747390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 1429000 ) ( 1749195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1890000 5825000 ) ( 1910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1900990 5792140 ) ( 1900990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1900990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5484940 ) ( 1849790 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1286540 ) ( 1747390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1363340 ) ( 1753390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1357340 ) ( 1745000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1900000 5786140 ) ( 1900000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1894000 5792140 ) ( 1906990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1900990 5740940 ) ( 1900990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5689740 ) ( 1900990 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5484940 ) ( 1849790 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5459340 ) ( 1849790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 4896140 ) ( 1824190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4819340 ) ( 1824190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4634140 ) ( 1747390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4640140 ) ( 1753390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 4640570 ) ( 1751000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2534940 ) ( 175000 2560000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2540940 ) ( 236990 2540940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4710940 ) ( 1747390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4716940 ) ( 1753390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2550000 ) ( 217390 2550000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2534940 ) ( 211390 2556000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2540940 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 595390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2464140 ) ( 595390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2464140 ) ( 1184190 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2387340 ) ( 1184190 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2387340 ) ( 1420590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2381340 ) ( 1414590 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2395000 ) ( 1425000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4787740 ) ( 175000 4810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4793740 ) ( 236990 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2412940 ) ( 1184190 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2412940 ) ( 1343790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2389000 ) ( 1337790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2395000 ) ( 1425000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4800000 ) ( 217390 4800000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4787740 ) ( 211390 4806000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4793740 ) ( 236990 4793740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 236990 4793740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 544190 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 544190 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 1184190 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1184190 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1420590 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4629000 ) ( 1414590 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4635000 ) ( 1425000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 5825000 ) ( 1150000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 5792140 ) ( 1132990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1343790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4629000 ) ( 1337790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4635000 ) ( 1425000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1140000 5786140 ) ( 1140000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1132990 5792140 ) ( 1146000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5766540 ) ( 1132990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5589000 ) ( 1107390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1109195 5589000 ) ( 1109195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 191790 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3942940 ) ( 185790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3948940 ) ( 211390 3948940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5658140 ) ( 1107390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5664140 ) ( 1113390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 217390 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3948940 ) ( 211390 3966000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 211390 3948940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 851390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 3923340 ) ( 928190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1111000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 4000570 ) ( 1111000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3430000 ) ( 175000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3436940 ) ( 518590 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1036590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3989000 ) ( 1030590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3995000 ) ( 1105000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3440000 ) ( 217390 3440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3430940 ) ( 211390 3446000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3436940 ) ( 518590 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 518590 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 780590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3349000 ) ( 774590 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3355000 ) ( 785000 3355000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2150000 ) ( 175000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2156940 ) ( 518590 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 703790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3349000 ) ( 697790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3355000 ) ( 785000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2160000 ) ( 217390 2160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2150940 ) ( 211390 2166000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2156940 ) ( 518590 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 518590 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 780590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2069000 ) ( 774590 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2075000 ) ( 785000 2075000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 742990 185740 ) ( 766000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 179740 ) ( 748990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 236940 ) ( 774590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 262540 ) ( 774590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 467340 ) ( 791000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 785000 461340 ) ( 785000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2990000 ) ( 5825000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5831000 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 703790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2069000 ) ( 697790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2075000 ) ( 785000 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 754000 211340 ) ( 780590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 774590 205340 ) ( 774590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 390540 ) ( 791000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 384540 ) ( 785000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3000000 ) ( 5825000 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2994000 ) ( 5792190 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5798190 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 3027340 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3021340 ) ( 5587390 3041000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3029000 ) ( 5589195 3041000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1710000 ) ( 5825000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5831000 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3027340 ) ( 5740990 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3021340 ) ( 5664190 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5670190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1720000 ) ( 5825000 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1714000 ) ( 5792190 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5798190 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 1747340 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 1741340 ) ( 5587390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 1749000 ) ( 5589195 1761000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5606990 5825000 ) ( 5630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1747340 ) ( 5740990 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1741340 ) ( 5664190 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5670190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5620000 5786140 ) ( 5620000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5606990 5792140 ) ( 5626000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5740940 ) ( 5612990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5589000 ) ( 5587390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 5589000 ) ( 5589195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4270000 ) ( 5825000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5831000 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5658140 ) ( 5587390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5579000 5664140 ) ( 5593390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4280000 ) ( 5825000 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4274000 ) ( 5792190 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5798190 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4307340 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 4301340 ) ( 5587390 4321000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 4309000 ) ( 5589195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2610000 ) ( 5825000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5831000 2617740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5766590 2643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5766590 2643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4307340 ) ( 5740990 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4301340 ) ( 5664190 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5670190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2620000 ) ( 5825000 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2611740 ) ( 5792190 2626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2617740 ) ( 5798190 2617740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2617740 ) ( 5715390 2643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5715390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5433790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 2720140 ) ( 5433790 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 2720570 ) ( 5271000 2720570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3890000 ) ( 5825000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5831000 3897740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5766590 3923340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5766590 3923340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2720140 ) ( 5433790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2709000 ) ( 5331390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5337390 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3900000 ) ( 5825000 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3891740 ) ( 5792190 3906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 3897740 ) ( 5798190 3897740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 3897740 ) ( 5715390 3923340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5715390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5433790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4000140 ) ( 5433790 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 4000570 ) ( 5271000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2099740 ) ( 5825000 2120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5831000 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5740990 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2156940 ) ( 5740990 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4000140 ) ( 5433790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3989000 ) ( 5331390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5337390 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2110000 ) ( 5825000 2110000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2099740 ) ( 5792190 2116000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2105740 ) ( 5798190 2105740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2105740 ) ( 5689790 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2156940 ) ( 5689790 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 2080140 ) ( 5177790 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 2080140 ) ( 5100990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 2069000 ) ( 4947390 2086140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 2069000 ) ( 4949195 2081000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 175000 ) ( 4930000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 169000 ) ( 4921790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2080140 ) ( 5100990 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2069000 ) ( 5024190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 5030190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 4917895 205340 ) ( 4917895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 211340 ) ( 4947390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 467340 ) ( 4953390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 461340 ) ( 4945000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1270000 ) ( 5825000 1292540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5831000 1286540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 390540 ) ( 4953390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 384540 ) ( 4945000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1280000 ) ( 5825000 1280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1274000 ) ( 5792190 1286540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5766590 1312140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 1312140 ) ( 5766590 1312140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 1312140 ) ( 5689790 1337740 ) @@ -3099,68 +3149,82 @@ SPECIALNETS 139 ; NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1337740 ) ( 5459390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 1363340 ) ( 5459390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 1363340 ) ( 4768190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1440140 ) ( 4768190 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 1440570 ) ( 4631000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4650000 5825000 ) ( 4671790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 5792140 ) ( 4665790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5740940 ) ( 4665790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5589000 ) ( 4614590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5595000 ) ( 4625000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3507740 ) ( 5825000 3530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5831000 3513740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1440140 ) ( 4768190 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1429000 ) ( 4691390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4697390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4660000 5786140 ) ( 4660000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4654000 5792140 ) ( 4671790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4665790 5740940 ) ( 4665790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5715340 ) ( 4665790 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5658140 ) ( 4640190 5715340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5664140 ) ( 4646190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3520000 ) ( 5825000 3520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3507740 ) ( 5792190 3526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5798190 3513740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3590540 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3590540 ) ( 4793790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3667340 ) ( 4793790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3661340 ) ( 4625000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4147790 175000 ) ( 4170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 169000 ) ( 4153790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 211340 ) ( 4204990 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4204990 262540 ) ( 4204990 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3667340 ) ( 4793790 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3661340 ) ( 4691390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4697390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4147790 211340 ) ( 4166000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4153790 205340 ) ( 4153790 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4153790 262540 ) ( 4204990 313740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4204990 313740 ) ( 4204990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 569740 ) ( 4230590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 595340 ) ( 4230590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 1209740 ) ( 4307390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1286540 ) ( 4307390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 1429000 ) ( 4309195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4190000 5825000 ) ( 4210990 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5177740 ) ( 4204990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1286540 ) ( 4307390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1363340 ) ( 4313390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1357340 ) ( 4305000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4200000 5786140 ) ( 4200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4194000 5792140 ) ( 4210990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5177740 ) ( 4204990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5152140 ) ( 4204990 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 4537740 ) ( 4230590 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4460940 ) ( 4230590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4309000 ) ( 4307390 4460940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 4309000 ) ( 4309195 4321000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 175000 ) ( 3780000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 169000 ) ( 3769790 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4378140 ) ( 4307390 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4384140 ) ( 4313390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 211340 ) ( 3776000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 205340 ) ( 3769790 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 902540 ) ( 3744190 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 928140 ) ( 3744190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 1491340 ) ( 3667390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1747340 ) ( 3673390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1741340 ) ( 3665000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3630000 5825000 ) ( 3650000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 5792140 ) ( 3641790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3641790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5177740 ) ( 3590590 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1670540 ) ( 3673390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1664540 ) ( 3665000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3640000 5786140 ) ( 3640000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3634000 5792140 ) ( 3647790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3641790 5740940 ) ( 3641790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5689740 ) ( 3641790 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5177740 ) ( 3590590 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5100940 ) ( 3590590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4949000 ) ( 3667390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 4949000 ) ( 3669195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3046940 ) ( 175000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3052940 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 471000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 3021340 ) ( 465000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1450000 ) ( 175000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1465740 ) ( 236990 1465740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5018140 ) ( 3667390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5024140 ) ( 3673390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3060000 ) ( 217390 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3046940 ) ( 211390 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3052940 ) ( 396590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3029000 ) ( 390590 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3035000 ) ( 465000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1460000 ) ( 217390 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1454000 ) ( 211390 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1465740 ) ( 236990 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 236990 1465740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 471000 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 1440570 ) ( 471000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4326940 ) ( 175000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4332940 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 471000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 4301340 ) ( 465000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 396590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1429000 ) ( 390590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1435000 ) ( 465000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4340000 ) ( 217390 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4326940 ) ( 211390 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4332940 ) ( 396590 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4309000 ) ( 390590 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4315000 ) ( 465000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3311,17 +3375,19 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VDD ) ( IO_FILL_IO_WEST_0_485 VDD ) ( BUMP_2_4 PAD ) ( BUMP_1_7 PAD ) ( BUMP_1_10 PAD ) ( BUMP_0_14 PAD ) ( BUMP_4_14 PAD ) ( BUMP_7_15 PAD ) ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 175000 ) ( 3212590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3206590 169000 ) ( 3206590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3194000 211340 ) ( 3212590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3206590 205340 ) ( 3206590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3206590 236940 ) ( 3232190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 262540 ) ( 3232190 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 569740 ) ( 3257790 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 595340 ) ( 3257790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 1158540 ) ( 3334590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1435000 ) ( 3345000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3277390 5825000 ) ( 3300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3283390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1363340 ) ( 3351000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1357340 ) ( 3345000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3290000 5786140 ) ( 3290000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3296000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5766540 ) ( 3283390 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5715340 ) ( 3257790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5689740 ) ( 3257790 5715340 ) @@ -3329,114 +3395,127 @@ SPECIALNETS 139 ; NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5152140 ) ( 3232190 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 4588940 ) ( 3257790 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4512140 ) ( 3257790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4309000 ) ( 3334590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4315000 ) ( 3345000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2790000 5825000 ) ( 2810000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4378140 ) ( 3334590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4384140 ) ( 3351000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3345000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2800000 5786140 ) ( 2800000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 5792140 ) ( 2806000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5740940 ) ( 2796990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5484940 ) ( 2771390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5408140 ) ( 2771390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5274140 ) ( 2694590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5280140 ) ( 2711000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2699000 5280570 ) ( 2711000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2227790 175000 ) ( 2250000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 169000 ) ( 2233790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5433740 ) ( 2771390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5350940 ) ( 2720190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5356940 ) ( 2726190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2227790 211340 ) ( 2246000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 205340 ) ( 2233790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2233790 236940 ) ( 2284990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 288140 ) ( 2284990 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 595340 ) ( 2310590 620940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 620940 ) ( 2310590 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 1184140 ) ( 2387390 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1260940 ) ( 2387390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 1429000 ) ( 2389195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 5825000 ) ( 1730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1260940 ) ( 2387390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1363340 ) ( 2393390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1357340 ) ( 2385000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 5786140 ) ( 1720000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 5792140 ) ( 1727790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5715340 ) ( 1721790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5203340 ) ( 1670590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5126540 ) ( 1670590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4949000 ) ( 1747390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 4949000 ) ( 1749195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1710000 ) ( 175000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1721740 ) ( 236990 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5018140 ) ( 1747390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5024140 ) ( 1753390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1720000 ) ( 217390 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1714000 ) ( 211390 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1721740 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 851390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1670540 ) ( 928190 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1747340 ) ( 1111000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1741340 ) ( 1105000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 175000 ) ( 1150000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 169000 ) ( 1132990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 211340 ) ( 1184190 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 262540 ) ( 1184190 876940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1747340 ) ( 1036590 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1741340 ) ( 1030590 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1755000 ) ( 1105000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1126990 211340 ) ( 1146000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1132990 205340 ) ( 1132990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1132990 262540 ) ( 1184190 313740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 313740 ) ( 1184190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 876940 ) ( 1107390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 953740 ) ( 1107390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1107340 ) ( 1113390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1101340 ) ( 1105000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 191790 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2804000 ) ( 185790 2828540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2822540 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 544190 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 953740 ) ( 1107390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1030540 ) ( 1113390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1024540 ) ( 1105000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 217390 2810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2790940 ) ( 211390 2816000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2796940 ) ( 544190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 544190 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 780590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2709000 ) ( 774590 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2715000 ) ( 785000 2715000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3760000 ) ( 175000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3769740 ) ( 236990 3769740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 703790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2709000 ) ( 697790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2715000 ) ( 785000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3770000 ) ( 217390 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3763740 ) ( 211390 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3769740 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 569790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 3667340 ) ( 569790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 3667340 ) ( 780590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3661340 ) ( 774590 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3675000 ) ( 785000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3340540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5831000 3334540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 3692940 ) ( 569790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 3692940 ) ( 703790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3669000 ) ( 697790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3675000 ) ( 785000 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3330000 ) ( 5825000 3330000 ) + NEW metal10 10540 + SHAPE IOWIRE ( 5786190 3329270 ) ( 5798190 3329270 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 3360140 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3349000 ) ( 5587390 3366140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3349000 ) ( 5589195 3361000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4600000 ) ( 5825000 4600000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4582940 ) ( 5817790 4606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5823790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4640140 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 4629000 ) ( 5587390 4646140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 4629000 ) ( 5589195 4641000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2300000 ) ( 5825000 2300000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2294000 ) ( 5817790 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5823790 2310540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3360140 ) ( 5766590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3349000 ) ( 5664190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5670190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4600000 ) ( 5825000 4600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4594000 ) ( 5792190 4620540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 4614540 ) ( 5798190 4614540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 4614540 ) ( 5715390 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4640140 ) ( 5715390 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4629000 ) ( 5664190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5670190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2300000 ) ( 5825000 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2294000 ) ( 5792190 2316540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5798190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 2387340 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2381340 ) ( 5265000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1020000 ) ( 5825000 1020000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1014000 ) ( 5817790 1036540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5823790 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2387340 ) ( 5459390 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2381340 ) ( 5331390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5337390 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1020000 ) ( 5825000 1020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1014000 ) ( 5792190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5798190 1030540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 1107340 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1101340 ) ( 5265000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4966990 5825000 ) ( 4990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4972990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1107340 ) ( 5459390 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1101340 ) ( 5331390 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5337390 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4980000 5786140 ) ( 4980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4986000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5766540 ) ( 4972990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5589000 ) ( 4947390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 5589000 ) ( 4949195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4270000 175000 ) ( 4290000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4281790 169000 ) ( 4281790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5658140 ) ( 4947390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5664140 ) ( 4953390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4274000 211340 ) ( 4287790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4281790 205340 ) ( 4281790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4281790 236940 ) ( 4307390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 467340 ) ( 4313390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 461340 ) ( 4305000 475000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 5825000 ) ( 3910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5792140 ) ( 3897790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 390540 ) ( 4313390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 384540 ) ( 4305000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 5786140 ) ( 3900000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 3901895 5786140 ) ( 3901895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5766540 ) ( 3897790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5203340 ) ( 3872190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5177740 ) ( 3872190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 4563340 ) ( 3897790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4486540 ) ( 3897790 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4309000 ) ( 3974590 4486540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4315000 ) ( 3985000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4966940 ) ( 175000 4990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4972940 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 471000 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 4941340 ) ( 465000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4378140 ) ( 3974590 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4384140 ) ( 3991000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4980000 ) ( 217390 4980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4966940 ) ( 211390 4986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4972940 ) ( 396590 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4949000 ) ( 390590 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4955000 ) ( 465000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3587,247 +3666,269 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) ( BUMP_1_3 PAD ) ( BUMP_0_7 PAD ) ( BUMP_0_10 PAD ) ( BUMP_2_14 PAD ) ( BUMP_3_15 PAD ) ( BUMP_7_16 PAD ) ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3379790 5825000 ) ( 3400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 5792140 ) ( 3385790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3390000 5786140 ) ( 3390000 5825000 ) + NEW metal10 10210 + SHAPE IOWIRE ( 3390895 5786140 ) ( 3390895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5766540 ) ( 3385790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5715340 ) ( 3360190 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5689740 ) ( 3360190 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5589000 ) ( 3334590 5689740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5595000 ) ( 3345000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3250000 175000 ) ( 3270000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3257790 169000 ) ( 3257790 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5658140 ) ( 3360190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5664140 ) ( 3366190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3251790 211340 ) ( 3266000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3257790 205340 ) ( 3257790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 544140 ) ( 3334590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 620940 ) ( 3334590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 795000 ) ( 3345000 795000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2730000 5825000 ) ( 2751790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5715340 ) ( 2745790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5589000 ) ( 2694590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5595000 ) ( 2705000 5595000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2290000 175000 ) ( 2316590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 169000 ) ( 2310590 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 620940 ) ( 3334590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 723340 ) ( 3351000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 717340 ) ( 3345000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2740000 5786140 ) ( 2740000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2734000 5792140 ) ( 2751790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5740940 ) ( 2745790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5658140 ) ( 2720190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5664140 ) ( 2726190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2294000 211340 ) ( 2316590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 205340 ) ( 2310590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 569740 ) ( 2387390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 646540 ) ( 2387390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 789000 ) ( 2389195 801000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1270000 175000 ) ( 1292590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 169000 ) ( 1286590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 646540 ) ( 2387390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 723340 ) ( 2393390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 717340 ) ( 2385000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1274000 211340 ) ( 1286590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1286590 211340 ) ( 1312190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 236940 ) ( 1312190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 544140 ) ( 1337790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 569740 ) ( 1337790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1184140 ) ( 1414590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1260940 ) ( 1414590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1435000 ) ( 1425000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5811740 ) ( 1530000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1524000 5817740 ) ( 1548590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 5792140 ) ( 1542590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1542590 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1260940 ) ( 1414590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1363340 ) ( 1431000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1357340 ) ( 1425000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5786140 ) ( 1530000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1510990 5792140 ) ( 1536000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1516990 5766540 ) ( 1516990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1516990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5484940 ) ( 1491390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5408140 ) ( 1491390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5274140 ) ( 1414590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5280140 ) ( 1431000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1419000 5280570 ) ( 1431000 5280570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4910000 ) ( 175000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4921740 ) ( 211390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 211390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 876990 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5433740 ) ( 1491390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5350940 ) ( 1440190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5356940 ) ( 1446190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4920000 ) ( 217390 4920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4914000 ) ( 211390 4927740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4921740 ) ( 262590 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 4870540 ) ( 262590 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 4870540 ) ( 876990 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4870540 ) ( 953790 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 4947340 ) ( 1111000 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 4941340 ) ( 1105000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1510000 ) ( 175000 1530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1516940 ) ( 544190 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 953790 4947340 ) ( 1036590 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4941340 ) ( 1030590 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4955000 ) ( 1105000 4955000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1520000 ) ( 217390 1520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1510940 ) ( 211390 1526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1516940 ) ( 544190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 544190 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 780590 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 1429000 ) ( 774590 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 1435000 ) ( 785000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2350000 ) ( 5825000 2370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5831000 2361740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 703790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1429000 ) ( 697790 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1435000 ) ( 785000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2360000 ) ( 5825000 2360000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 2357870 ) ( 5798190 2357870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 2387340 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 2381340 ) ( 5587390 2401000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 2389000 ) ( 5589195 2401000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1070000 ) ( 5825000 1090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5831000 1081740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2387340 ) ( 5766590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2381340 ) ( 5664190 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5670190 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1080000 ) ( 5825000 1080000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 1077870 ) ( 5798190 1077870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 1107340 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 1101340 ) ( 5587390 1121000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 1109000 ) ( 5589195 1121000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3379740 ) ( 5825000 3400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5831000 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5740990 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3436940 ) ( 5740990 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1107340 ) ( 5766590 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1101340 ) ( 5664190 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5670190 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3390000 ) ( 5825000 3390000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3379740 ) ( 5792190 3396000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 3385740 ) ( 5798190 3385740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 3385740 ) ( 5689790 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3436940 ) ( 5689790 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 3360140 ) ( 5177790 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 3360140 ) ( 5100990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3349000 ) ( 4947390 3366140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3349000 ) ( 4949195 3361000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 5825000 ) ( 4930000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 5792140 ) ( 4921790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4921790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5177740 ) ( 4870590 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3360140 ) ( 5100990 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3349000 ) ( 5024190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 5030190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 5786140 ) ( 4920000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4914000 5792140 ) ( 4927790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4921790 5740940 ) ( 4921790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5689740 ) ( 4921790 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5177740 ) ( 4870590 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5100940 ) ( 4870590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4949000 ) ( 4947390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4949000 ) ( 4949195 4961000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4650000 ) ( 5825000 4671740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5831000 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5018140 ) ( 4947390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5024140 ) ( 4953390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4660000 ) ( 5825000 4660000 ) + NEW metal10 11740 + SHAPE IOWIRE ( 5786190 4659870 ) ( 5798190 4659870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5766590 4691340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 4691340 ) ( 5766590 4691340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 4691340 ) ( 5689790 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4716940 ) ( 5689790 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 4640140 ) ( 5177790 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 4640140 ) ( 5100990 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4629000 ) ( 4947390 4646140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4629000 ) ( 4949195 4641000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4326990 175000 ) ( 4350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 169000 ) ( 4332990 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4640140 ) ( 5100990 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4629000 ) ( 5024190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 5030190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4326990 211340 ) ( 4346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4332990 205340 ) ( 4332990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4332990 236940 ) ( 4384190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 288140 ) ( 4384190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 851340 ) ( 4307390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1107340 ) ( 4313390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1101340 ) ( 4305000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5811740 ) ( 3830000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5817740 ) ( 3836000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1030540 ) ( 4313390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1024540 ) ( 4305000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5786140 ) ( 3830000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5792140 ) ( 3836000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5715340 ) ( 3820990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5510540 ) ( 3769790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5484940 ) ( 3769790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 4870540 ) ( 3744190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4793740 ) ( 3744190 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4634140 ) ( 3667390 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4640140 ) ( 3673390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3659000 4640570 ) ( 3671000 4640570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2739740 ) ( 175000 2760000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2745740 ) ( 236990 2745740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4710940 ) ( 3667390 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4716940 ) ( 3673390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2750000 ) ( 217390 2750000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2739740 ) ( 211390 2756000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2745740 ) ( 236990 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 236990 2745740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 471000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 2720570 ) ( 471000 2720570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3700000 ) ( 175000 3724540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3718540 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 471000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 3661340 ) ( 465000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 396590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2709000 ) ( 390590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2715000 ) ( 465000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3710000 ) ( 217390 3710000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3686940 ) ( 211390 3716000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3692940 ) ( 396590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3669000 ) ( 390590 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3675000 ) ( 465000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2940000 ) ( 5825000 2940000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2934000 ) ( 5817790 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5823790 2950540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2940000 ) ( 5825000 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2934000 ) ( 5792190 2956540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5798190 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5433790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 3027340 ) ( 5433790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3021340 ) ( 5265000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3027340 ) ( 5433790 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3021340 ) ( 5331390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5337390 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3814940 ) ( 175000 3840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3820940 ) ( 236990 3820940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3830000 ) ( 217390 3830000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3814940 ) ( 211390 3836000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3820940 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 595390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 3744140 ) ( 595390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 3744140 ) ( 1184190 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3667340 ) ( 1184190 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3667340 ) ( 1420590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3661340 ) ( 1414590 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3675000 ) ( 1425000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 3692940 ) ( 1184190 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 3692940 ) ( 1343790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3669000 ) ( 1337790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3120000 ) ( 5825000 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3114000 ) ( 5817790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5823790 3129740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3120000 ) ( 5825000 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3114000 ) ( 5792190 3135740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5798190 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3104140 ) ( 5177790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 3104140 ) ( 5152190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 3027340 ) ( 4537790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 3027340 ) ( 4460990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3021340 ) ( 4307390 3041000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3029000 ) ( 4309195 3041000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3052940 ) ( 4537790 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3052940 ) ( 4486590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3029000 ) ( 4384190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4390190 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3635740 ) ( 175000 3660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3641740 ) ( 211390 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3650000 ) ( 217390 3650000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3641740 ) ( 211390 3656000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3616140 ) ( 211390 3641740 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3616140 ) ( 288190 3616140 ) NEW metal10 12000 + SHAPE IOWIRE ( 313790 3590540 ) ( 288190 3616140 ) NEW metal10 12000 + SHAPE IOWIRE ( 313790 3590540 ) ( 876990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3590540 ) ( 953790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 3667340 ) ( 1111000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 3661340 ) ( 1105000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 3667340 ) ( 1036590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3661340 ) ( 1030590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3190000 ) ( 5825000 3212540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5831000 3206540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3200000 ) ( 5825000 3200000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3194000 ) ( 5792190 3212540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5798190 3206540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 3257740 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 3257740 ) ( 5433790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3283340 ) ( 5433790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3283340 ) ( 4793790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3360140 ) ( 4793790 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 3360570 ) ( 4631000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3360140 ) ( 4793790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3349000 ) ( 4691390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4697390 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 5825000 ) ( 4040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5715340 ) ( 4025790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5589000 ) ( 3974590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5595000 ) ( 3985000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 5786140 ) ( 4030000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 5792140 ) ( 4036000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5740940 ) ( 4025790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5658140 ) ( 4000190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5664140 ) ( 4006190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5670140 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5811740 ) ( 4090000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 5817740 ) ( 4108590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 5792140 ) ( 4102590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4102590 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5786140 ) ( 4090000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 5792140 ) ( 4096000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5766540 ) ( 4076990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4076990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5484940 ) ( 4051390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5408140 ) ( 4051390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5274140 ) ( 3974590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5280140 ) ( 3991000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3979000 5280570 ) ( 3991000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5433740 ) ( 4051390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5350940 ) ( 4000190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5356940 ) ( 4006190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5362940 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4240000 5825000 ) ( 4262190 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4256190 5792140 ) ( 4256190 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5766540 ) ( 4256190 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4230590 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4250000 5786140 ) ( 4250000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4244000 5792140 ) ( 4262190 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4256190 5740940 ) ( 4256190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5715340 ) ( 4256190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4230590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5126540 ) ( 4230590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4949000 ) ( 4307390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 4949000 ) ( 4309195 4961000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5018140 ) ( 4307390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5024140 ) ( 4313390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5030140 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5811740 ) ( 4300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5817740 ) ( 4313390 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5589000 ) ( 4307390 5823740 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 5589000 ) ( 4309195 5601000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5786140 ) ( 4300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5792140 ) ( 4313390 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5658140 ) ( 4307390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5664140 ) ( 4313390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5670140 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4400000 5825000 ) ( 4420000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5792140 ) ( 4409790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4410000 5786140 ) ( 4410000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 4412895 5786140 ) ( 4412895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5766540 ) ( 4409790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5510540 ) ( 4384190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5433740 ) ( 4384190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5274140 ) ( 4307390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5280140 ) ( 4313390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4299000 5280570 ) ( 4311000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5350940 ) ( 4307390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5356940 ) ( 4313390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5362940 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5811740 ) ( 5040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5034000 5817740 ) ( 5055790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5049790 5766540 ) ( 5049790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5740940 ) ( 5049790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5786140 ) ( 5040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 5792140 ) ( 5046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5459340 ) ( 5024190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5274140 ) ( 4947390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5280140 ) ( 4953390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4939000 5280570 ) ( 4951000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5350940 ) ( 4947390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5356940 ) ( 4953390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5362940 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5290000 5825000 ) ( 5311790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5715340 ) ( 5305790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5589000 ) ( 5254590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5595000 ) ( 5265000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5300000 5786140 ) ( 5300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5294000 5792140 ) ( 5311790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5740940 ) ( 5305790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5658140 ) ( 5280190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5664140 ) ( 5286190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5670140 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 5240000 ) ( 5825000 5240000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 5222940 ) ( 5817790 5246000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5823790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 5280140 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5269000 ) ( 5587390 5286140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 5269000 ) ( 5589195 5281000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 5240000 ) ( 5825000 5240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5234000 ) ( 5792190 5260540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5798190 5254540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 5280140 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 5269000 ) ( 5664190 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5670190 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4930000 ) ( 5825000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4915740 ) ( 5817790 4936000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5823790 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 4947340 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 4941340 ) ( 5587390 4961000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 4949000 ) ( 5589195 4961000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4930000 ) ( 5825000 4930000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4924000 ) ( 5792190 4953340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4947340 ) ( 5798190 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4941340 ) ( 5664190 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5670190 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5811740 ) ( 4470000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4454990 5817740 ) ( 4476000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4460990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5786140 ) ( 4470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4476000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4435390 5766540 ) ( 4460990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4435390 5715340 ) ( 4435390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5689740 ) ( 4435390 5715340 ) @@ -3835,122 +3936,129 @@ SPECIALNETS 139 ; NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5459340 ) ( 4409790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 4896140 ) ( 4384190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4819340 ) ( 4384190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4634140 ) ( 4307390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4640140 ) ( 4313390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4299000 4640570 ) ( 4311000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4710940 ) ( 4307390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4716940 ) ( 4313390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4722940 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4710000 5825000 ) ( 4730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5792140 ) ( 4716990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4720000 5786140 ) ( 4720000 5825000 ) + NEW metal10 9010 + SHAPE IOWIRE ( 4721495 5786140 ) ( 4721495 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5766540 ) ( 4716990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5510540 ) ( 4691390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5433740 ) ( 4691390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5274140 ) ( 4614590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5280140 ) ( 4631000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 5280570 ) ( 4631000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5459340 ) ( 4691390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5350940 ) ( 4640190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5356940 ) ( 4646190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5362940 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5811740 ) ( 4780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4762190 5817740 ) ( 4786000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4768190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5786140 ) ( 4780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4786000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4742590 5766540 ) ( 4768190 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4742590 5715340 ) ( 4742590 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5689740 ) ( 4742590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5484940 ) ( 4716990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5459340 ) ( 4716990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4844940 ) ( 4691390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4768140 ) ( 4691390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4634140 ) ( 4614590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4640140 ) ( 4631000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 4640570 ) ( 4631000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4793740 ) ( 4691390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4710940 ) ( 4640190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4716940 ) ( 4646190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4722940 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4860000 ) ( 5825000 4860000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4854000 ) ( 5817790 4876540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5823790 4870540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4860000 ) ( 5825000 4860000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4854000 ) ( 5792190 4876540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5798190 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4947340 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4941340 ) ( 5265000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4947340 ) ( 5459390 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4941340 ) ( 5331390 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5337390 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4530000 ) ( 5825000 4550000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5831000 4537740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4540000 ) ( 5825000 4540000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4531740 ) ( 5792190 4546000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5798190 4537740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4563340 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4563340 ) ( 5408190 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4640140 ) ( 5408190 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 4640570 ) ( 5271000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4640140 ) ( 5408190 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4629000 ) ( 5331390 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5337390 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4220000 ) ( 5825000 4220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4214000 ) ( 5817790 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5823790 4230540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4220000 ) ( 5825000 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4214000 ) ( 5792190 4236540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5798190 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5433790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 4307340 ) ( 5433790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4301340 ) ( 5265000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4307340 ) ( 5433790 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4301340 ) ( 5331390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5337390 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4147740 ) ( 5825000 4170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5831000 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5740990 4204940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5740990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4160000 ) ( 5825000 4160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4147740 ) ( 5792190 4166000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 4153740 ) ( 5798190 4153740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 4153740 ) ( 5689790 4204940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5689790 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5459390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 5459390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4307340 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4301340 ) ( 4625000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4307340 ) ( 4819390 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4301340 ) ( 4691390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4697390 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4070000 ) ( 5825000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5831000 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4080000 ) ( 5825000 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4070940 ) ( 5792190 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5798190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4102540 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4076940 ) ( 5177790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 4076940 ) ( 5152190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4460990 4000140 ) ( 4537790 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 4000140 ) ( 4460990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3989000 ) ( 4307390 4006140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3989000 ) ( 4309195 4001000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 4000140 ) ( 4460990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3989000 ) ( 4384190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4390190 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3686940 ) ( 5825000 3710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5831000 3692940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5740990 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3744140 ) ( 5740990 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 3667340 ) ( 5177790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 3667340 ) ( 5100990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3661340 ) ( 4947390 3681000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3669000 ) ( 4949195 3681000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3700000 ) ( 5825000 3700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3686940 ) ( 5792190 3706000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 3692940 ) ( 5798190 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 3692940 ) ( 5689790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3744140 ) ( 5689790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3692940 ) ( 5177790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3692940 ) ( 5126590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3669000 ) ( 5024190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5030190 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3630000 ) ( 5825000 3650000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5831000 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3640000 ) ( 5825000 3640000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 3637870 ) ( 5798190 3637870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 3667340 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 3661340 ) ( 5587390 3681000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 3669000 ) ( 5589195 3681000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3667340 ) ( 5766590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3661340 ) ( 5664190 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5670190 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3450000 ) ( 5825000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3444000 ) ( 5817790 3468540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3462540 ) ( 5823790 3462540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3450000 ) ( 5825000 3450000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3444000 ) ( 5792190 3468540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3462540 ) ( 5798190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3436940 ) ( 5152190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3436940 ) ( 5126590 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3360140 ) ( 4563390 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 3360140 ) ( 4486590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3349000 ) ( 4307390 3366140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3349000 ) ( 4309195 3361000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3360140 ) ( 4486590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3349000 ) ( 4384190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4390190 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3270000 ) ( 5825000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3251740 ) ( 5817790 3276000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5823790 3257740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5740990 3283340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5740990 3283340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3270000 ) ( 5825000 3270000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3264000 ) ( 5792190 3289340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5798190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5408190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 3360140 ) ( 5408190 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 3360570 ) ( 5271000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3360140 ) ( 5408190 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3349000 ) ( 5331390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5337390 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4010000 ) ( 5825000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5831000 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4020000 ) ( 5825000 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4014000 ) ( 5792190 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5798190 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4076940 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4000140 ) ( 5203390 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 4000140 ) ( 5126590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 3989000 ) ( 4947390 4006140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 3989000 ) ( 4949195 4001000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4000140 ) ( 5126590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3989000 ) ( 5024190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5030190 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3830000 ) ( 5825000 3852540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5831000 3846540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3840000 ) ( 5825000 3840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3834000 ) ( 5792190 3846540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5766590 3872140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 3872140 ) ( 5766590 3872140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 3872140 ) ( 5689790 3897740 ) @@ -3958,63 +4066,65 @@ SPECIALNETS 139 ; NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3897740 ) ( 5459390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 3923340 ) ( 5459390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 3923340 ) ( 4768190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4000140 ) ( 4768190 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 4000570 ) ( 4631000 4000570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4000140 ) ( 4768190 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3989000 ) ( 4691390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4697390 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3760000 ) ( 5825000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3769740 ) ( 5831000 3769740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3770000 ) ( 5825000 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3763740 ) ( 5792190 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3769740 ) ( 5798190 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3744140 ) ( 5152190 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3744140 ) ( 5126590 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3667340 ) ( 4563390 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 3667340 ) ( 4486590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 3661340 ) ( 4307390 3681000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 3669000 ) ( 4309195 3681000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4512190 3692940 ) ( 4563390 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3692940 ) ( 4512190 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3669000 ) ( 4384190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4390190 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5811740 ) ( 2860000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5817740 ) ( 2866000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5786140 ) ( 2860000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5792140 ) ( 2866000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2822590 5740940 ) ( 2848190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2822590 5689740 ) ( 2822590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5664140 ) ( 2822590 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5459340 ) ( 2796990 5664140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5433740 ) ( 2796990 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 4870540 ) ( 2771390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4793740 ) ( 2771390 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4634140 ) ( 2694590 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4640140 ) ( 2711000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2699000 4640570 ) ( 2711000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4819340 ) ( 2771390 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4710940 ) ( 2720190 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 4716940 ) ( 2726190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4722940 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5811740 ) ( 2940000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2934000 5817740 ) ( 2956590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5766540 ) ( 2950590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5740940 ) ( 2950590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5177740 ) ( 2924990 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5786140 ) ( 2940000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2918990 5792140 ) ( 2946000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5177740 ) ( 2924990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5152140 ) ( 2924990 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 4537740 ) ( 2950590 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4460940 ) ( 2950590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4309000 ) ( 3027390 4460940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 4309000 ) ( 3029195 4321000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4378140 ) ( 3027390 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4384140 ) ( 3033390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4390140 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5811740 ) ( 3070000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3064000 5817740 ) ( 3084590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3078590 5792140 ) ( 3078590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3078590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5589000 ) ( 3027390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 5589000 ) ( 3029195 5601000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5786140 ) ( 3070000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 5792140 ) ( 3076000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 5766540 ) ( 3052990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3052990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5658140 ) ( 3027390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5664140 ) ( 3033390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5670140 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3328590 5825000 ) ( 3350000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5792140 ) ( 3334590 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3340000 5786140 ) ( 3340000 5825000 ) + NEW metal10 11410 + SHAPE IOWIRE ( 3340295 5786140 ) ( 3340295 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3308990 5766540 ) ( 3334590 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3308990 5715340 ) ( 3308990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5664140 ) ( 3308990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5203340 ) ( 3257790 5664140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5126540 ) ( 3257790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4949000 ) ( 3334590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4955000 ) ( 3345000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5018140 ) ( 3334590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5024140 ) ( 3351000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5030140 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5811740 ) ( 3190000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3174990 5817740 ) ( 3196000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3180990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5786140 ) ( 3190000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3196000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3155390 5766540 ) ( 3180990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3155390 5715340 ) ( 3155390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5689740 ) ( 3155390 5715340 ) @@ -4022,611 +4132,648 @@ SPECIALNETS 139 ; NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5459340 ) ( 3129790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 4896140 ) ( 3104190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4819340 ) ( 3104190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4634140 ) ( 3027390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4640140 ) ( 3033390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3019000 4640570 ) ( 3031000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4710940 ) ( 3027390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4716940 ) ( 3033390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4722940 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4010000 ) ( 175000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4025740 ) ( 236990 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4020000 ) ( 217390 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4014000 ) ( 211390 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4025740 ) ( 236990 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 236990 4025740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 471000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 4000570 ) ( 471000 4000570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 396590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3989000 ) ( 390590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4070000 ) ( 175000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4076940 ) ( 544190 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4080000 ) ( 217390 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4070940 ) ( 211390 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4076940 ) ( 544190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 544190 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 780590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3989000 ) ( 774590 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3995000 ) ( 785000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 703790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3989000 ) ( 697790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4140000 ) ( 175000 4160000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4153740 ) ( 211390 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 211390 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 569790 4102540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4150000 ) ( 217390 4150000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4144000 ) ( 211390 4159740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4153740 ) ( 262590 4153740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 4102540 ) ( 262590 4153740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 4102540 ) ( 569790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4076940 ) ( 569790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4076940 ) ( 1158590 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1158590 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1420590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3989000 ) ( 1414590 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3995000 ) ( 1425000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1343790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3989000 ) ( 1337790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4210000 ) ( 175000 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4230540 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 876990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4220000 ) ( 217390 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4198940 ) ( 211390 4226000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4204940 ) ( 876990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4204940 ) ( 902590 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 4230540 ) ( 1516990 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 4230540 ) ( 1593790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4307340 ) ( 1751000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4301340 ) ( 1745000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4307340 ) ( 1676590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 4301340 ) ( 1670590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 191790 4400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4394000 ) ( 185790 4415740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4409740 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 544190 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4307340 ) ( 544190 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4307340 ) ( 780590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4301340 ) ( 774590 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4315000 ) ( 785000 4315000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 217390 4400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4378140 ) ( 211390 4406000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4384140 ) ( 544190 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4332940 ) ( 544190 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4332940 ) ( 703790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4309000 ) ( 697790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 191790 5040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5034000 ) ( 185790 5055740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5049740 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 569790 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 4947340 ) ( 569790 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 4947340 ) ( 780590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4941340 ) ( 774590 4961000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4955000 ) ( 785000 4955000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 217390 5040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5018140 ) ( 211390 5046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5024140 ) ( 569790 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4972940 ) ( 569790 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4972940 ) ( 703790 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4949000 ) ( 697790 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5290000 ) ( 175000 5311740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5305740 ) ( 236990 5305740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5300000 ) ( 217390 5300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5294000 ) ( 211390 5311740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5305740 ) ( 236990 5305740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 236990 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 471000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 5280570 ) ( 471000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 396590 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5269000 ) ( 390590 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 191790 5370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5364000 ) ( 185790 5388540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5382540 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 544190 5356940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 217390 5370000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5350940 ) ( 211390 5376000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5356940 ) ( 544190 5356940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 544190 5356940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 780590 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5269000 ) ( 774590 5286140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5275000 ) ( 785000 5275000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 703790 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 5269000 ) ( 697790 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5606940 ) ( 175000 5630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5612940 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 471000 5587340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 5581340 ) ( 465000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5620000 ) ( 217390 5620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5606940 ) ( 211390 5626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5612940 ) ( 396590 5612940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5589000 ) ( 390590 5618940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4450000 ) ( 175000 4470000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4460940 ) ( 211390 4460940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 211390 4460940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 569790 4409740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4460000 ) ( 217390 4460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4454000 ) ( 211390 4466940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4460940 ) ( 262590 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 4409740 ) ( 262590 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 4409740 ) ( 569790 4409740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4384140 ) ( 569790 4409740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4384140 ) ( 1209790 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 4307340 ) ( 1209790 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 4307340 ) ( 1420590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4301340 ) ( 1414590 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4315000 ) ( 1425000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4332940 ) ( 1209790 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4332940 ) ( 1343790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4309000 ) ( 1337790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4608540 ) ( 175000 4630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4614540 ) ( 211390 4614540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4620000 ) ( 217390 4620000 ) + NEW metal10 11460 + SHAPE IOWIRE ( 205390 4620270 ) ( 217390 4620270 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 4588940 ) ( 211390 4614540 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 4588940 ) ( 288190 4588940 ) NEW metal10 12000 + SHAPE IOWIRE ( 313790 4563340 ) ( 288190 4588940 ) NEW metal10 12000 + SHAPE IOWIRE ( 313790 4563340 ) ( 876990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4563340 ) ( 953790 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 4640140 ) ( 1111000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 4640570 ) ( 1111000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 4640140 ) ( 1036590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4629000 ) ( 1030590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 191790 4680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4674000 ) ( 185790 4697340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4691340 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 471000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 4640570 ) ( 471000 4640570 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 217390 4680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4665740 ) ( 211390 4686000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 211390 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 396590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4629000 ) ( 390590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5811740 ) ( 1200000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1194000 5817740 ) ( 1215790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1209790 5766540 ) ( 1209790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5740940 ) ( 1209790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5786140 ) ( 1200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1178190 5792140 ) ( 1206000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5459340 ) ( 1184190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5274140 ) ( 1107390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5280140 ) ( 1113390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 5280570 ) ( 1111000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5350940 ) ( 1107390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5356940 ) ( 1113390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5362940 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5811740 ) ( 1400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1382990 5817740 ) ( 1406000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1388990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5786140 ) ( 1400000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1406000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1363390 5766540 ) ( 1388990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1363390 5715340 ) ( 1363390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5689740 ) ( 1363390 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5228940 ) ( 1337790 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5152140 ) ( 1337790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4949000 ) ( 1414590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4955000 ) ( 1425000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5018140 ) ( 1414590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5024140 ) ( 1431000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5030140 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 5825000 ) ( 1480000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5715340 ) ( 1465790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5589000 ) ( 1414590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5595000 ) ( 1425000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 5786140 ) ( 1470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 5792140 ) ( 1476000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5740940 ) ( 1465790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5658140 ) ( 1440190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5664140 ) ( 1446190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5670140 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 5825000 ) ( 1790000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 5786140 ) ( 1780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 5792140 ) ( 1786000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5740940 ) ( 1772990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5589000 ) ( 1747390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 5589000 ) ( 1749195 5601000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5658140 ) ( 1747390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5664140 ) ( 1753390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5670140 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 5825000 ) ( 1990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 5786140 ) ( 1980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 5792140 ) ( 1986000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5740940 ) ( 1977790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5177740 ) ( 1952190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5152140 ) ( 1952190 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 4588940 ) ( 1977790 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4512140 ) ( 1977790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4309000 ) ( 2054590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4315000 ) ( 2065000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4378140 ) ( 2054590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4384140 ) ( 2071000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4390140 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2350000 5825000 ) ( 2370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 5792140 ) ( 2361790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2361790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5177740 ) ( 2310590 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2360000 5786140 ) ( 2360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2354000 5792140 ) ( 2367790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2361790 5740940 ) ( 2361790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5689740 ) ( 2361790 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5177740 ) ( 2310590 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5100940 ) ( 2310590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4949000 ) ( 2387390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 4949000 ) ( 2389195 4961000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5018140 ) ( 2387390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5024140 ) ( 2393390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5030140 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2406990 5825000 ) ( 2430000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2412990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 5786140 ) ( 2420000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2426000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5766540 ) ( 2412990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5589000 ) ( 2387390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 5589000 ) ( 2389195 5601000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5658140 ) ( 2387390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5664140 ) ( 2393390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5670140 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 5825000 ) ( 2500000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 5786140 ) ( 2490000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 5792140 ) ( 2496000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5740940 ) ( 2489790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5536140 ) ( 2464190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5459340 ) ( 2464190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5274140 ) ( 2387390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5280140 ) ( 2393390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2379000 5280570 ) ( 2391000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5350940 ) ( 2387390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5356940 ) ( 2393390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5362940 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5811740 ) ( 2550000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5817740 ) ( 2556000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5786140 ) ( 2550000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5792140 ) ( 2556000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5715340 ) ( 2540990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5510540 ) ( 2489790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5484940 ) ( 2489790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 4870540 ) ( 2464190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4793740 ) ( 2464190 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4634140 ) ( 2387390 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4640140 ) ( 2393390 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2379000 4640570 ) ( 2391000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4710940 ) ( 2387390 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4716940 ) ( 2393390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4722940 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5811740 ) ( 2040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5817740 ) ( 2046000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5786140 ) ( 2040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5792140 ) ( 2046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5715340 ) ( 2028990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5203340 ) ( 1977790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5126540 ) ( 1977790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4949000 ) ( 2054590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4955000 ) ( 2065000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5018140 ) ( 2054590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5024140 ) ( 2071000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5030140 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2099790 5825000 ) ( 2120000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 5792140 ) ( 2105790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2110000 5786140 ) ( 2110000 5825000 ) + NEW metal10 10210 + SHAPE IOWIRE ( 2110895 5786140 ) ( 2110895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5766540 ) ( 2105790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5715340 ) ( 2080190 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5689740 ) ( 2080190 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5589000 ) ( 2054590 5689740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5595000 ) ( 2065000 5595000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5658140 ) ( 2080190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5664140 ) ( 2086190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5670140 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5811740 ) ( 2170000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2164000 5817740 ) ( 2188590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2182590 5766540 ) ( 2182590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5715340 ) ( 2182590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2131390 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5433740 ) ( 2131390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5274140 ) ( 2054590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5280140 ) ( 2071000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2059000 5280570 ) ( 2071000 5280570 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5786140 ) ( 2170000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5792140 ) ( 2176000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5766540 ) ( 2156990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2131390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5459340 ) ( 2131390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5350940 ) ( 2080190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5356940 ) ( 2086190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5362940 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5811740 ) ( 3960000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 5817740 ) ( 3966000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3948990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5786140 ) ( 3960000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3966000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3923390 5766540 ) ( 3948990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3923390 5715340 ) ( 3923390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5689740 ) ( 3923390 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5228940 ) ( 3897790 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5152140 ) ( 3897790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4949000 ) ( 3974590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4955000 ) ( 3985000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5018140 ) ( 3974590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5024140 ) ( 3991000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5030140 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3302990 185740 ) ( 3326000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 179740 ) ( 3308990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 236940 ) ( 3334590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 262540 ) ( 3334590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 467340 ) ( 3351000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 461340 ) ( 3345000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3314000 211340 ) ( 3340590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 205340 ) ( 3334590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 390540 ) ( 3351000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 384540 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2150000 175000 ) ( 2170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2156990 169000 ) ( 2156990 928140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2150990 211340 ) ( 2166000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 205340 ) ( 2156990 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 928140 ) ( 2131390 953740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 953740 ) ( 2131390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1516940 ) ( 2054590 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1593740 ) ( 2054590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1747340 ) ( 2071000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1741340 ) ( 2065000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1516940 ) ( 2080190 1568140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 1568140 ) ( 2080190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1670540 ) ( 2086190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1664540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2111790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 169000 ) ( 2105790 211340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2100000 175000 ) ( 2100000 217340 ) + NEW metal10 11790 + SHAPE IOWIRE ( 2099895 205340 ) ( 2099895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2105790 211340 ) ( 2131390 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 236940 ) ( 2131390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2054590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 979340 ) ( 2054590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1107340 ) ( 2071000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1101340 ) ( 2065000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2080190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 953740 ) ( 2080190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1030540 ) ( 2086190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1024540 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1910000 175000 ) ( 1932590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1926590 169000 ) ( 1926590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1914000 211340 ) ( 1932590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1926590 205340 ) ( 1926590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1926590 236940 ) ( 1952190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 262540 ) ( 1952190 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 569740 ) ( 1977790 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 595340 ) ( 1977790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 1158540 ) ( 2054590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1435000 ) ( 2065000 1435000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1363340 ) ( 2071000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1357340 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 175000 ) ( 1860000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 169000 ) ( 1849790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1843790 211340 ) ( 1856000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 205340 ) ( 1849790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 876940 ) ( 1824190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 902540 ) ( 1824190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 1516940 ) ( 1747390 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1593740 ) ( 1747390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1747340 ) ( 1753390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1741340 ) ( 1745000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1593740 ) ( 1747390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1670540 ) ( 1753390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1664540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 175000 ) ( 1790000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 169000 ) ( 1772990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 211340 ) ( 1786000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 205340 ) ( 1772990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1772990 236940 ) ( 1824190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 288140 ) ( 1824190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 851340 ) ( 1747390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1107340 ) ( 1753390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1101340 ) ( 1745000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1030540 ) ( 1753390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1024540 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 175000 ) ( 1730000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 169000 ) ( 1721790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 211340 ) ( 1727790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 205340 ) ( 1721790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1721790 236940 ) ( 1747390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 467340 ) ( 1753390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 461340 ) ( 1745000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 390540 ) ( 1753390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 384540 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 175000 ) ( 3140000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 169000 ) ( 3129790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3123790 211340 ) ( 3136000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3129790 205340 ) ( 3129790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 876940 ) ( 3104190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 902540 ) ( 3104190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 1516940 ) ( 3027390 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1593740 ) ( 3027390 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1747340 ) ( 3033390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1741340 ) ( 3025000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1593740 ) ( 3027390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1670540 ) ( 3033390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1664540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3046990 175000 ) ( 3070000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3052990 169000 ) ( 3052990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 211340 ) ( 3066000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 205340 ) ( 3052990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3052990 236940 ) ( 3104190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 288140 ) ( 3104190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 851340 ) ( 3027390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1107340 ) ( 3033390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1101340 ) ( 3025000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1030540 ) ( 3033390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1024540 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2990000 175000 ) ( 3010000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 169000 ) ( 3001790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2994000 211340 ) ( 3007790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 205340 ) ( 3001790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3001790 236940 ) ( 3027390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 467340 ) ( 3033390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 461340 ) ( 3025000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 390540 ) ( 3033390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 384540 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2804000 185740 ) ( 2828590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 179740 ) ( 2822590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 211340 ) ( 2796990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 236940 ) ( 2796990 902540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 211340 ) ( 2816000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 205340 ) ( 2796990 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 902540 ) ( 2771390 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 928140 ) ( 2771390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1542540 ) ( 2694590 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1619340 ) ( 2694590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1747340 ) ( 2711000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1741340 ) ( 2705000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1542540 ) ( 2720190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 1593740 ) ( 2720190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1670540 ) ( 2726190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1664540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2739790 175000 ) ( 2760000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 169000 ) ( 2745790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2739790 211340 ) ( 2756000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 205340 ) ( 2745790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2745790 236940 ) ( 2771390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 262540 ) ( 2771390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2694590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 953740 ) ( 2694590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1107340 ) ( 2711000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1101340 ) ( 2705000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2720190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 928140 ) ( 2720190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1030540 ) ( 2726190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1024540 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 185740 ) ( 2686000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 179740 ) ( 2668990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 211340 ) ( 2694590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 236940 ) ( 2694590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 467340 ) ( 2711000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 461340 ) ( 2705000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2674000 211340 ) ( 2700590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 205340 ) ( 2694590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 390540 ) ( 2711000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 384540 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 175000 ) ( 2630000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 169000 ) ( 2617790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2611790 211340 ) ( 2626000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2617790 205340 ) ( 2617790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 518540 ) ( 2694590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 795000 ) ( 2705000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 723340 ) ( 2711000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 717340 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 175000 ) ( 2444590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2438590 169000 ) ( 2438590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2424000 211340 ) ( 2444590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2438590 205340 ) ( 2438590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2438590 236940 ) ( 2464190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 262540 ) ( 2464190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 876940 ) ( 2387390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 953740 ) ( 2387390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1107340 ) ( 2393390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1101340 ) ( 2385000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 953740 ) ( 2387390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1030540 ) ( 2393390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1024540 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2355790 175000 ) ( 2380000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 169000 ) ( 2361790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 211340 ) ( 2387390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 236940 ) ( 2387390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 467340 ) ( 2393390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 461340 ) ( 2385000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2364000 211340 ) ( 2393390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 205340 ) ( 2387390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 390540 ) ( 2393390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 384540 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 175000 ) ( 1480000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 169000 ) ( 1465790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 211340 ) ( 1476000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 205340 ) ( 1465790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 236940 ) ( 1491390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 262540 ) ( 1491390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 876940 ) ( 1414590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 953740 ) ( 1414590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1107340 ) ( 1431000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1101340 ) ( 1425000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 876940 ) ( 1440190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 928140 ) ( 1440190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 1030540 ) ( 1446190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1024540 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 175000 ) ( 1420590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 169000 ) ( 1414590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 467340 ) ( 1431000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 461340 ) ( 1425000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1404000 211340 ) ( 1420590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 205340 ) ( 1414590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 390540 ) ( 1431000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 384540 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1330000 175000 ) ( 1350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1337790 169000 ) ( 1337790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 211340 ) ( 1346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 205340 ) ( 1337790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 518540 ) ( 1414590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 795000 ) ( 1425000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 723340 ) ( 1431000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 717340 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 175000 ) ( 3724590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3718590 169000 ) ( 3718590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3704000 211340 ) ( 3724590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3718590 205340 ) ( 3718590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3718590 236940 ) ( 3744190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 262540 ) ( 3744190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 876940 ) ( 3667390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 953740 ) ( 3667390 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1107340 ) ( 3673390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1101340 ) ( 3665000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 953740 ) ( 3667390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1030540 ) ( 3673390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1024540 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 185740 ) ( 4108590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 179740 ) ( 4102590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 211340 ) ( 4076990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 236940 ) ( 4076990 902540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 211340 ) ( 4096000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 205340 ) ( 4076990 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 902540 ) ( 4051390 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 928140 ) ( 4051390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1542540 ) ( 3974590 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1619340 ) ( 3974590 1753340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1747340 ) ( 3991000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1741340 ) ( 3985000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1542540 ) ( 4000190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 1593740 ) ( 4000190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1670540 ) ( 4006190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1664540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4530000 175000 ) ( 4550000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4537790 169000 ) ( 4537790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4531790 211340 ) ( 4546000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4537790 205340 ) ( 4537790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 544140 ) ( 4614590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 620940 ) ( 4614590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 795000 ) ( 4625000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 620940 ) ( 4614590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 723340 ) ( 4631000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 717340 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 175000 ) ( 4040000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 169000 ) ( 4025790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 211340 ) ( 4036000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 205340 ) ( 4025790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4025790 236940 ) ( 4051390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 262540 ) ( 4051390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 3974590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 953740 ) ( 3974590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1107340 ) ( 3991000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1101340 ) ( 3985000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 4000190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 928140 ) ( 4000190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1030540 ) ( 4006190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1024540 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 185740 ) ( 3966000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 179740 ) ( 3948990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 211340 ) ( 3974590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 236940 ) ( 3974590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 467340 ) ( 3991000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 461340 ) ( 3985000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3954000 211340 ) ( 3980590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 205340 ) ( 3974590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 390540 ) ( 3991000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 384540 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 191790 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2662940 ) ( 185790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2668940 ) ( 211390 2668940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 217390 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2668940 ) ( 211390 2686000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 211390 2668940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 851390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2643340 ) ( 928190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1111000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 2720570 ) ( 1111000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1036590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2709000 ) ( 1030590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 430000 175000 ) ( 450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441790 169000 ) ( 441790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 434000 211340 ) ( 447790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 441790 205340 ) ( 441790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 441790 236940 ) ( 467390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 459000 467340 ) ( 473390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 461340 ) ( 465000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 459000 390540 ) ( 473390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 465000 384540 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4850000 175000 ) ( 4876590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 169000 ) ( 4870590 569740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4854000 211340 ) ( 4876590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 205340 ) ( 4870590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 569740 ) ( 4947390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 646540 ) ( 4947390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 789000 ) ( 4949195 801000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 646540 ) ( 4947390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 723340 ) ( 4953390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 717340 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2867740 ) ( 5825000 2890000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5831000 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5740990 2924940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5740990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2880000 ) ( 5825000 2880000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2867740 ) ( 5792190 2886000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2873740 ) ( 5798190 2873740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2873740 ) ( 5689790 2924940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5689790 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5459390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 5459390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3027340 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3021340 ) ( 4625000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3027340 ) ( 4819390 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3021340 ) ( 4691390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4697390 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2860000 ) ( 175000 2880000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2873740 ) ( 211390 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 211390 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 569790 2822540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2870000 ) ( 217390 2870000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2864000 ) ( 211390 2879740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2873740 ) ( 262590 2873740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 2822540 ) ( 262590 2873740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 2822540 ) ( 569790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2796940 ) ( 569790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2796940 ) ( 1158590 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1158590 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1420590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2709000 ) ( 1414590 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2715000 ) ( 1425000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1343790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2709000 ) ( 1337790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1890000 ) ( 175000 1910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1900940 ) ( 211390 1900940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 211390 1900940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 569790 1849740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1900000 ) ( 217390 1900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1894000 ) ( 211390 1906940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1900940 ) ( 262590 1900940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 1849740 ) ( 262590 1900940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 1849740 ) ( 569790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 1824140 ) ( 569790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 1824140 ) ( 1209790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 1747340 ) ( 1209790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 1747340 ) ( 1420590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1741340 ) ( 1414590 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1755000 ) ( 1425000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 1772940 ) ( 1209790 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 1772940 ) ( 1343790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1749000 ) ( 1337790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1970000 ) ( 175000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1977740 ) ( 902590 1977740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1980000 ) ( 217390 1980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1971740 ) ( 211390 1986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1977740 ) ( 902590 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 1977740 ) ( 928190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 2003340 ) ( 1491390 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2003340 ) ( 1568190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1751000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 2080570 ) ( 1751000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1676590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2069000 ) ( 1670590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 191790 2040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2022940 ) ( 185790 2046000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2028940 ) ( 236990 2028940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 217390 2040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2022940 ) ( 211390 2046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2028940 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 876990 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2003340 ) ( 953790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 2080140 ) ( 1111000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 2080570 ) ( 1111000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 2080140 ) ( 1036590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2069000 ) ( 1030590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 191790 2220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2202140 ) ( 185790 2226000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2208140 ) ( 211390 2208140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 217390 2220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2208140 ) ( 211390 2226000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 211390 2208140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 544190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 544190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 1184190 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1184190 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1420590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2069000 ) ( 1414590 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2075000 ) ( 1425000 2075000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1343790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2069000 ) ( 1337790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2290000 ) ( 175000 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2310540 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 902590 2284940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2300000 ) ( 217390 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2278940 ) ( 211390 2306000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2284940 ) ( 902590 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2284940 ) ( 928190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 2310540 ) ( 1491390 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2310540 ) ( 1568190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2387340 ) ( 1751000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 2381340 ) ( 1745000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2387340 ) ( 1676590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2381340 ) ( 1670590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2355740 ) ( 175000 2380000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2361740 ) ( 211390 2361740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2370000 ) ( 217390 2370000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2361740 ) ( 211390 2376000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2336140 ) ( 211390 2361740 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2336140 ) ( 288190 2336140 ) NEW metal10 12000 + SHAPE IOWIRE ( 313790 2310540 ) ( 288190 2336140 ) NEW metal10 12000 + SHAPE IOWIRE ( 313790 2310540 ) ( 876990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2310540 ) ( 953790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 2387340 ) ( 1111000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 2381340 ) ( 1105000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 2387340 ) ( 1036590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2381340 ) ( 1030590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1660000 ) ( 5825000 1660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1654000 ) ( 5817790 1676540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5823790 1670540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1660000 ) ( 5825000 1660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1654000 ) ( 5792190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5798190 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5433790 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 1747340 ) ( 5433790 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1741340 ) ( 5265000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1747340 ) ( 5433790 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1741340 ) ( 5331390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5337390 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1587740 ) ( 5825000 1610000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5831000 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5740990 1644940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5740990 1644940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1600000 ) ( 5825000 1600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1587740 ) ( 5792190 1606000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 1593740 ) ( 5798190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 1593740 ) ( 5689790 1644940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5689790 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5459390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 5459390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1747340 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1741340 ) ( 4625000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1747340 ) ( 4819390 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1741340 ) ( 4691390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4697390 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1450000 ) ( 5825000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5831000 1465740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1460000 ) ( 5825000 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1454000 ) ( 5792190 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5798190 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1516940 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1440140 ) ( 5203390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 1440140 ) ( 5126590 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 1429000 ) ( 4947390 1446140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 1429000 ) ( 4949195 1441000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1440140 ) ( 5126590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1429000 ) ( 5024190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5030190 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1400000 ) ( 5825000 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1382940 ) ( 5817790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5823790 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 1440140 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 1429000 ) ( 5587390 1446140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 1429000 ) ( 5589195 1441000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1400000 ) ( 5825000 1400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1394000 ) ( 5792190 1420540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5798190 1414540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1440140 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1429000 ) ( 5664190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5670190 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2930000 ) ( 175000 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2950540 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 876990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2940000 ) ( 217390 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2918940 ) ( 211390 2946000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2924940 ) ( 876990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2924940 ) ( 902590 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2950540 ) ( 1516990 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2950540 ) ( 1593790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 3027340 ) ( 1751000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 3021340 ) ( 1745000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 3027340 ) ( 1676590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3021340 ) ( 1670590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1126940 ) ( 5825000 1150000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5831000 1132940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5740990 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1184140 ) ( 5740990 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 1107340 ) ( 5177790 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 1107340 ) ( 5100990 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 1101340 ) ( 4947390 1121000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 1109000 ) ( 4949195 1121000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1140000 ) ( 5825000 1140000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1126940 ) ( 5792190 1146000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 1132940 ) ( 5798190 1132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 1132940 ) ( 5689790 1184140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1184140 ) ( 5689790 1184140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1132940 ) ( 5177790 1184140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1132940 ) ( 5126590 1132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1109000 ) ( 5024190 1138940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5030190 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 780540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5831000 774540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 770000 ) ( 5825000 770000 ) + NEW metal10 10540 + SHAPE IOWIRE ( 5786190 769270 ) ( 5798190 769270 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 800140 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 789000 ) ( 5587390 806140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 789000 ) ( 5589195 801000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 800140 ) ( 5766590 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 789000 ) ( 5664190 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5670190 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 690000 ) ( 5825000 710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5831000 697740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 700000 ) ( 5825000 700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 691740 ) ( 5792190 706000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5798190 697740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 723340 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 723340 ) ( 5408190 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 800140 ) ( 5408190 800140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 800570 ) ( 5271000 800570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 800140 ) ( 5408190 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 789000 ) ( 5331390 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5337390 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 430000 ) ( 5825000 450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5831000 441740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 440000 ) ( 5825000 440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 434000 ) ( 5792190 447740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5798190 441740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 467340 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 461340 ) ( 5587390 481000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 469000 ) ( 5589195 481000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 467340 ) ( 5740990 467340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 461340 ) ( 5664190 481000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5670190 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2550000 ) ( 5825000 2572540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5831000 2566540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2560000 ) ( 5825000 2560000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2554000 ) ( 5792190 2566540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5766590 2592140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 2592140 ) ( 5766590 2592140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5715390 2592140 ) ( 5689790 2617740 ) @@ -4634,281 +4781,297 @@ SPECIALNETS 139 ; NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2617740 ) ( 5459390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 2643340 ) ( 5459390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 2643340 ) ( 4768190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 2720140 ) ( 4768190 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 2720570 ) ( 4631000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2720140 ) ( 4768190 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2709000 ) ( 4691390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4697390 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2480000 ) ( 5825000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2489740 ) ( 5831000 2489740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2490000 ) ( 5825000 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2483740 ) ( 5792190 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2489740 ) ( 5798190 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2464140 ) ( 5152190 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2464140 ) ( 5126590 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2387340 ) ( 4563390 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 2387340 ) ( 4486590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 2381340 ) ( 4307390 2401000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 2389000 ) ( 4309195 2401000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4512190 2412940 ) ( 4563390 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2412940 ) ( 4512190 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2389000 ) ( 4384190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4390190 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2406940 ) ( 5825000 2430000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5831000 2412940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5740990 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2464140 ) ( 5740990 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 2387340 ) ( 5177790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 2387340 ) ( 5100990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 2381340 ) ( 4947390 2401000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 2389000 ) ( 4949195 2401000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2420000 ) ( 5825000 2420000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2406940 ) ( 5792190 2426000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2412940 ) ( 5798190 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5740990 2412940 ) ( 5689790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2464140 ) ( 5689790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2412940 ) ( 5177790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2412940 ) ( 5126590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2389000 ) ( 5024190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5030190 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2227740 ) ( 5825000 2250000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5831000 2233740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2240000 ) ( 5825000 2240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2227740 ) ( 5792190 2246000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5798190 2233740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2310540 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2310540 ) ( 4793790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 2387340 ) ( 4793790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2381340 ) ( 4625000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2387340 ) ( 4793790 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2381340 ) ( 4691390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4697390 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2060540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5831000 2054540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2050000 ) ( 5825000 2050000 ) + NEW metal10 10540 + SHAPE IOWIRE ( 5786190 2049270 ) ( 5798190 2049270 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5581390 2080140 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 2069000 ) ( 5587390 2086140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 2069000 ) ( 5589195 2081000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2080140 ) ( 5766590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2069000 ) ( 5664190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5670190 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1990000 ) ( 5825000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1971740 ) ( 5817790 1996000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5823790 1977740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5740990 2003340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5740990 2003340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1990000 ) ( 5825000 1990000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1984000 ) ( 5792190 2009340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5798190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5408190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 2080140 ) ( 5408190 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5259000 2080570 ) ( 5271000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2080140 ) ( 5408190 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2069000 ) ( 5331390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5337390 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 191790 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3114000 ) ( 185790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3129740 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 544190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 3027340 ) ( 544190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 3027340 ) ( 780590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3021340 ) ( 774590 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3035000 ) ( 785000 3035000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 217390 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3098140 ) ( 211390 3126000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3104140 ) ( 544190 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3052940 ) ( 544190 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3052940 ) ( 703790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3029000 ) ( 697790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1910000 ) ( 5825000 1932540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5831000 1926540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1920000 ) ( 5825000 1920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1914000 ) ( 5792190 1932540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5798190 1926540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 1977740 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 1977740 ) ( 5433790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2003340 ) ( 5433790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2003340 ) ( 4793790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 2080140 ) ( 4793790 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 2080570 ) ( 4631000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2080140 ) ( 4793790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2069000 ) ( 4691390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4697390 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1840000 ) ( 5825000 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1834000 ) ( 5817790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5823790 1849740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1840000 ) ( 5825000 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1834000 ) ( 5792190 1855740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5798190 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1824140 ) ( 5177790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 1824140 ) ( 5152190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 1747340 ) ( 4537790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 1747340 ) ( 4460990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1741340 ) ( 4307390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 1749000 ) ( 4309195 1761000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4486590 1772940 ) ( 4537790 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 1772940 ) ( 4486590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 1749000 ) ( 4384190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4390190 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3170000 ) ( 175000 3190000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3180940 ) ( 211390 3180940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 211390 3180940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 569790 3129740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3180000 ) ( 217390 3180000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3174000 ) ( 211390 3186940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3180940 ) ( 262590 3180940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 3129740 ) ( 262590 3180940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 313790 3129740 ) ( 569790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3104140 ) ( 569790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3104140 ) ( 1209790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 3027340 ) ( 1209790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 3027340 ) ( 1420590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3021340 ) ( 1414590 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3035000 ) ( 1425000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3052940 ) ( 1209790 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3052940 ) ( 1343790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3029000 ) ( 1337790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3250000 ) ( 175000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3257740 ) ( 902590 3257740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3260000 ) ( 217390 3260000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3251740 ) ( 211390 3266000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3257740 ) ( 902590 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3257740 ) ( 928190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3283340 ) ( 1491390 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3283340 ) ( 1568190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1751000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 3360570 ) ( 1751000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1676590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3349000 ) ( 1670590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 191790 3320000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3302940 ) ( 185790 3326000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3308940 ) ( 236990 3308940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 217390 3320000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3302940 ) ( 211390 3326000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3308940 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 876990 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3283340 ) ( 953790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 3360140 ) ( 1111000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1099000 3360570 ) ( 1111000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 3360140 ) ( 1036590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3349000 ) ( 1030590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 191790 3500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3482140 ) ( 185790 3506000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3488140 ) ( 211390 3488140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 217390 3500000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3488140 ) ( 211390 3506000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 211390 3488140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 544190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 544190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 1184190 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1184190 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1420590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3349000 ) ( 1414590 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3355000 ) ( 1425000 3355000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1343790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3349000 ) ( 1337790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3570000 ) ( 175000 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3590540 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 902590 3564940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3580000 ) ( 217390 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3558940 ) ( 211390 3586000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3564940 ) ( 902590 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3564940 ) ( 928190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3590540 ) ( 1491390 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3590540 ) ( 1568190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3667340 ) ( 1751000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 3661340 ) ( 1745000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3667340 ) ( 1676590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3661340 ) ( 1670590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1766940 ) ( 175000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1772940 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 471000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 1741340 ) ( 465000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1780000 ) ( 217390 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1766940 ) ( 211390 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1772940 ) ( 396590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1749000 ) ( 390590 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 191790 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1834000 ) ( 185790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1849740 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 544190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1747340 ) ( 544190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1747340 ) ( 780590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 1741340 ) ( 774590 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 1755000 ) ( 785000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 217390 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1818140 ) ( 211390 1846000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1824140 ) ( 544190 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 1772940 ) ( 544190 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 1772940 ) ( 703790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1749000 ) ( 697790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2610000 ) ( 175000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2617740 ) ( 876990 2617740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2620000 ) ( 217390 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2611740 ) ( 211390 2626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2617740 ) ( 876990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2617740 ) ( 902590 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2643340 ) ( 1516990 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2643340 ) ( 1593790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 2720140 ) ( 1751000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 2720570 ) ( 1751000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 2720140 ) ( 1676590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2709000 ) ( 1670590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 690000 175000 ) ( 710000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 697790 169000 ) ( 697790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 211340 ) ( 706000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 205340 ) ( 697790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 697790 544140 ) ( 774590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 620940 ) ( 774590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 795000 ) ( 785000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 774590 620940 ) ( 774590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 723340 ) ( 791000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 717340 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 185740 ) ( 4606000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 179740 ) ( 4588990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 236940 ) ( 4614590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 262540 ) ( 4614590 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 467340 ) ( 4631000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 461340 ) ( 4625000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4594000 211340 ) ( 4620590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 205340 ) ( 4614590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 390540 ) ( 4631000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 384540 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2730000 ) ( 5825000 2751740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5831000 2745740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2740000 ) ( 5825000 2740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2734000 ) ( 5792190 2751740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5798190 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2796940 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2720140 ) ( 5203390 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4941390 2720140 ) ( 5126590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 2709000 ) ( 4947390 2726140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 2709000 ) ( 4949195 2721000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2720140 ) ( 5126590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2709000 ) ( 5024190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5030190 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2420000 ) ( 175000 2444540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2438540 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 471000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 2381340 ) ( 465000 2395000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2430000 ) ( 217390 2430000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2406940 ) ( 211390 2436000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2412940 ) ( 396590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2389000 ) ( 390590 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1070000 175000 ) ( 1090000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081790 169000 ) ( 1081790 211340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 1077895 205340 ) ( 1077895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1081790 211340 ) ( 1107390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 467340 ) ( 1113390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 461340 ) ( 1105000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 390540 ) ( 1113390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 384540 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4659790 175000 ) ( 4680000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 169000 ) ( 4665790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 211340 ) ( 4691390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 236940 ) ( 4691390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 902540 ) ( 4614590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 979340 ) ( 4614590 1113340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 1107340 ) ( 4631000 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1101340 ) ( 4625000 1115000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4659790 211340 ) ( 4676000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4665790 205340 ) ( 4665790 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4665790 262540 ) ( 4691390 288140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 288140 ) ( 4691390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 902540 ) ( 4640190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 953740 ) ( 4640190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1030540 ) ( 4646190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1024540 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2790000 ) ( 5825000 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5831000 2796940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2800000 ) ( 5825000 2800000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2790940 ) ( 5792190 2806000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5798190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2822540 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2796940 ) ( 5177790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 2796940 ) ( 5152190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4460990 2720140 ) ( 4537790 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4301390 2720140 ) ( 4460990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 2709000 ) ( 4307390 2726140 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 2709000 ) ( 4309195 2721000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2720140 ) ( 4460990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2709000 ) ( 4384190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4390190 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3507790 175000 ) ( 3530000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 169000 ) ( 3513790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3507790 211340 ) ( 3526000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 205340 ) ( 3513790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3513790 236940 ) ( 3564990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 288140 ) ( 3564990 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 595340 ) ( 3590590 620940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 620940 ) ( 3590590 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 1184140 ) ( 3667390 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1260940 ) ( 3667390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 1429000 ) ( 3669195 1441000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1260940 ) ( 3667390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1363340 ) ( 3673390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1357340 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 175000 ) ( 3910000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 169000 ) ( 3897790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3891790 211340 ) ( 3906000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3897790 205340 ) ( 3897790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 518540 ) ( 3974590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 801000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 795000 ) ( 3985000 795000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 723340 ) ( 3991000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 717340 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3570000 175000 ) ( 3596590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 169000 ) ( 3590590 569740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3574000 211340 ) ( 3596590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 205340 ) ( 3590590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 569740 ) ( 3667390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 646540 ) ( 3667390 801000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 789000 ) ( 3669195 801000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 646540 ) ( 3667390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 723340 ) ( 3673390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 717340 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3635790 175000 ) ( 3660000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 169000 ) ( 3641790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 211340 ) ( 3667390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 236940 ) ( 3667390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 467340 ) ( 3673390 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 461340 ) ( 3665000 475000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3644000 211340 ) ( 3673390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 205340 ) ( 3667390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 390540 ) ( 3673390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 384540 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 5825000 ) ( 3450000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5792140 ) ( 3436990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 5786140 ) ( 3440000 5825000 ) + NEW metal10 9010 + SHAPE IOWIRE ( 3441495 5786140 ) ( 3441495 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5766540 ) ( 3436990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5510540 ) ( 3411390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5433740 ) ( 3411390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5274140 ) ( 3334590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5280140 ) ( 3351000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3339000 5280570 ) ( 3351000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5459340 ) ( 3411390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5350940 ) ( 3360190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5356940 ) ( 3366190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5362940 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3500000 5825000 ) ( 3520000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3510000 5786140 ) ( 3510000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3504000 5792140 ) ( 3519790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5689740 ) ( 3513790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5484940 ) ( 3436990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5459340 ) ( 3436990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 4844940 ) ( 3411390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4768140 ) ( 3411390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4634140 ) ( 3334590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4640140 ) ( 3351000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3339000 4640570 ) ( 3351000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4793740 ) ( 3411390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4710940 ) ( 3360190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 4716940 ) ( 3366190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4722940 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5811740 ) ( 3580000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3574000 5817740 ) ( 3596590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5792140 ) ( 3590590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5766540 ) ( 3590590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5152140 ) ( 3564990 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5786140 ) ( 3580000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3558990 5792140 ) ( 3586000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5152140 ) ( 3564990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5126540 ) ( 3564990 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 4563340 ) ( 3590590 5126540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4486540 ) ( 3590590 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4309000 ) ( 3667390 4486540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 4309000 ) ( 3669195 4321000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4378140 ) ( 3667390 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4384140 ) ( 3673390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4390140 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 5825000 ) ( 3780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 5786140 ) ( 3770000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 5792140 ) ( 3776000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5740940 ) ( 3769790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5536140 ) ( 3744190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5459340 ) ( 3744190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5274140 ) ( 3667390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5280140 ) ( 3673390 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3659000 5280570 ) ( 3671000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5350940 ) ( 3667390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5356940 ) ( 3673390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5362940 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_45_separate.defok b/src/pad/test/rdl_route_45_separate.defok index fc72819549e..01ca3d59757 100644 --- a/src/pad/test/rdl_route_45_separate.defok +++ b/src/pad/test/rdl_route_45_separate.defok @@ -2509,275 +2509,262 @@ SPECIALNETS 139 ; ( BUMP_10_16 PAD ) ( BUMP_11_13 PAD ) ( BUMP_13_14 PAD ) ( BUMP_15_15 PAD ) ( BUMP_14_12 PAD ) ( BUMP_16_11 PAD ) ( BUMP_15_10 PAD ) ( BUMP_14_8 PAD ) ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER - + ROUTED metal10 10340 + SHAPE IOWIRE ( 3434000 180170 ) ( 3446000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3427790 179340 ) ( 3446000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3433790 173340 ) ( 3433790 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3433790 208140 ) ( 3462590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3462590 236940 ) ( 3462590 870540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3434000 208140 ) ( 3468590 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3462590 202140 ) ( 3462590 870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3462590 870540 ) ( 3433790 899340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3433790 899340 ) ( 3433790 1532940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3433790 1532940 ) ( 3347390 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3347390 1619340 ) ( 3347390 1761000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3349195 1749000 ) ( 3349195 1761000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3004000 5821570 ) ( 3016000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5824140 ) ( 3016000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3347390 1619340 ) ( 3347390 1682940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1676940 ) ( 3353390 1676940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1670940 ) ( 3345000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5789340 ) ( 3010000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5795340 ) ( 3016000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2944190 5708940 ) ( 3001790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2944190 5190540 ) ( 2944190 5708940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3030590 5104140 ) ( 2944190 5190540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3030590 4954140 ) ( 3030590 5104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4960140 ) ( 3036590 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3019000 4960570 ) ( 3031000 4960570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2930000 175000 ) ( 2950190 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2944190 169000 ) ( 2944190 582540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3030590 5040540 ) ( 3030590 5104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5046540 ) ( 3036590 5046540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5052540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2934000 208140 ) ( 2950190 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2944190 202140 ) ( 2944190 582540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2944190 582540 ) ( 3030590 668940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3030590 668940 ) ( 3030590 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 784140 ) ( 3036590 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 778140 ) ( 3025000 795000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 2554000 180170 ) ( 2566000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2554000 179340 ) ( 2575790 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2569790 173340 ) ( 2569790 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3030590 668940 ) ( 3030590 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 726540 ) ( 3036590 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 720540 ) ( 3025000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2554000 208140 ) ( 2569790 208140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2569790 208140 ) ( 2627390 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2627390 265740 ) ( 2627390 1187340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2627390 1187340 ) ( 2713790 1273740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 1273740 ) ( 2713790 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1435000 ) ( 2719790 1435000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 2614000 5821570 ) ( 2626000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2614000 5824140 ) ( 2633390 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2627390 5795340 ) ( 2627390 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2598590 5766540 ) ( 2627390 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2598590 5132940 ) ( 2598590 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2627390 1187340 ) ( 2684990 1244940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2684990 1244940 ) ( 2684990 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2678990 1360140 ) ( 2711000 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1354140 ) ( 2705000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 5789340 ) ( 2620000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2592590 5795340 ) ( 2626000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2598590 5132940 ) ( 2598590 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2627390 5104140 ) ( 2598590 5132940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2627390 4528140 ) ( 2627390 5104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 4441740 ) ( 2627390 4528140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 4320540 ) ( 2713790 4441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2699000 4326540 ) ( 2719790 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4332540 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 2224000 5821570 ) ( 2236000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2218190 5824140 ) ( 2236000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2224190 5795340 ) ( 2224190 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2684990 4470540 ) ( 2627390 4528140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2684990 4378140 ) ( 2684990 4470540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2678990 4384140 ) ( 2711000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2230000 5789340 ) ( 2230000 5825000 ) + NEW metal10 11810 + SHAPE IOWIRE ( 2230095 5789340 ) ( 2230095 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2137790 5708940 ) ( 2224190 5795340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2137790 4844940 ) ( 2137790 5708940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2051390 4758540 ) ( 2137790 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2051390 4637340 ) ( 2051390 4758540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2045390 4643340 ) ( 2071000 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4649340 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 2034000 180170 ) ( 2046000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2034000 179340 ) ( 2057390 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2051390 173340 ) ( 2051390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2045390 467340 ) ( 2071000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 461340 ) ( 2065000 475000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 1834000 5821570 ) ( 1846000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1834000 5824140 ) ( 1855790 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5766540 ) ( 1849790 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1820990 5737740 ) ( 1849790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1820990 5507340 ) ( 1820990 5737740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 5420940 ) ( 1820990 5507340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 5270940 ) ( 1734590 5420940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1728590 5276940 ) ( 1751000 5276940 ) - NEW metal10 7940 + SHAPE IOWIRE ( 1739000 5278970 ) ( 1751000 5278970 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 3894000 ) ( 180195 3906000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 3888540 ) ( 179390 3906000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 3894540 ) ( 899390 3894540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4787340 ) ( 2137790 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4723740 ) ( 2080190 4787340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 4729740 ) ( 2086190 4729740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4735740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2034000 208140 ) ( 2057390 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2051390 202140 ) ( 2051390 386940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2045390 380940 ) ( 2071000 380940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 374940 ) ( 2065000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5789340 ) ( 1840000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1814990 5795340 ) ( 1846000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1820990 5507340 ) ( 1820990 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1763390 5449740 ) ( 1820990 5507340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1763390 5357340 ) ( 1763390 5449740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5363340 ) ( 1769390 5363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5369340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3900000 ) ( 214190 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 3888540 ) ( 208190 3906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 3894540 ) ( 899390 3894540 ) NEW metal10 12000 + SHAPE IOWIRE ( 899390 3894540 ) ( 928190 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3923340 ) ( 1504190 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1504190 3923340 ) ( 1561790 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1561790 3980940 ) ( 1740590 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 3974940 ) ( 1734590 4001000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1728590 3995000 ) ( 1745000 3995000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 1654000 180170 ) ( 1666000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1642190 179340 ) ( 1666000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1648190 173340 ) ( 1648190 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1648190 208140 ) ( 1676990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1676990 236940 ) ( 1676990 582540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1561790 3980940 ) ( 1682990 3980940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1676990 3974940 ) ( 1676990 4001000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670990 3995000 ) ( 1745000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1654000 208140 ) ( 1682990 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1676990 202140 ) ( 1676990 582540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1676990 582540 ) ( 1734590 640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 640140 ) ( 1734590 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1728590 784140 ) ( 1751000 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 778140 ) ( 1745000 795000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2990000 ) ( 175000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3001740 ) ( 236990 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1734590 640140 ) ( 1734590 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1728590 726540 ) ( 1751000 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 720540 ) ( 1745000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3000000 ) ( 214190 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 2994000 ) ( 208190 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 3001740 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 294590 2944140 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 294590 2944140 ) ( 870590 2944140 ) NEW metal10 12000 + SHAPE IOWIRE ( 870590 2944140 ) ( 956990 3030540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 956990 3030540 ) ( 1106990 3030540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 3024540 ) ( 1100990 3041000 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 3029000 ) ( 1099995 3041000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 1394000 ) ( 180195 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 1382940 ) ( 179390 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 1388940 ) ( 236990 1388940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 956990 3030540 ) ( 1020590 3030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 3024540 ) ( 1014590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 3035000 ) ( 1105000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1400000 ) ( 214190 1400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 1382940 ) ( 208190 1406000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 1388940 ) ( 236990 1388940 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 1360140 ) ( 236990 1388940 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 1360140 ) ( 899390 1360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 899390 1360140 ) ( 985790 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 985790 1446540 ) ( 1106990 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 1429000 ) ( 1100990 1452540 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 1429000 ) ( 1099995 1441000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 4274000 ) ( 180195 4286000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 4262940 ) ( 179390 4286000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 4268940 ) ( 236990 4268940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 899390 1360140 ) ( 956990 1417740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 956990 1417740 ) ( 1020590 1417740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 1411740 ) ( 1014590 1441000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 1435000 ) ( 1105000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4280000 ) ( 214190 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 4262940 ) ( 208190 4286000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 4268940 ) ( 236990 4268940 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 4240140 ) ( 236990 4268940 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 4240140 ) ( 899390 4240140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 899390 4240140 ) ( 985790 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 985790 4326540 ) ( 1106990 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 4309000 ) ( 1100990 4332540 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 4309000 ) ( 1099995 4321000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 1014000 180170 ) ( 1026000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1008590 179340 ) ( 1026000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1014590 173340 ) ( 1014590 553740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 899390 4240140 ) ( 956990 4297740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 956990 4297740 ) ( 1020590 4297740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 4291740 ) ( 1014590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 4315000 ) ( 1105000 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 208140 ) ( 1026000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 202140 ) ( 1014590 553740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1014590 553740 ) ( 1100990 640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 640140 ) ( 1100990 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1094990 784140 ) ( 1111000 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 778140 ) ( 1105000 795000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 2484000 ) ( 180195 2496000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 2477340 ) ( 179390 2496000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 2483340 ) ( 524990 2483340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1100990 640140 ) ( 1100990 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1094990 726540 ) ( 1111000 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 720540 ) ( 1105000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2490000 ) ( 214190 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 2477340 ) ( 208190 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 2483340 ) ( 524990 2483340 ) NEW metal10 12000 + SHAPE IOWIRE ( 611390 2396940 ) ( 524990 2483340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 611390 2396940 ) ( 790190 2396940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 2389000 ) ( 784190 2402940 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 2389000 ) ( 781595 2401000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 814000 5821570 ) ( 826000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 806990 5824140 ) ( 826000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 812990 5766540 ) ( 812990 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 611390 2396940 ) ( 703790 2396940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2389000 ) ( 697790 2402940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2395000 ) ( 785000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 820000 5789340 ) ( 820000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 806990 5795340 ) ( 826000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 812990 5766540 ) ( 812990 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 784190 5737740 ) ( 812990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 5589000 ) ( 784190 5737740 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 5589000 ) ( 781595 5601000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 4734000 ) ( 180195 4746000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 4723740 ) ( 179390 4746000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 4729740 ) ( 524990 4729740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 784190 5674140 ) ( 784190 5737740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 778190 5680140 ) ( 791000 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4740000 ) ( 214190 4740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 4723740 ) ( 208190 4746000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 4729740 ) ( 524990 4729740 ) NEW metal10 12000 + SHAPE IOWIRE ( 611390 4643340 ) ( 524990 4729740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 611390 4643340 ) ( 790190 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 4629000 ) ( 784190 4649340 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 4629000 ) ( 781595 4641000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2674000 ) ( 5821595 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2674000 ) ( 5824190 2690940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2684940 ) ( 5830190 2684940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 611390 4643340 ) ( 703790 4643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4629000 ) ( 697790 4649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4635000 ) ( 785000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 2680000 ) ( 5825000 2680000 ) + NEW metal10 10940 + SHAPE IOWIRE ( 5789390 2679470 ) ( 5801390 2679470 ) NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2684940 ) ( 5766590 2713740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 2713740 ) ( 5766590 2713740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 2707740 ) ( 5593790 2721000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5599790 2715000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3954000 ) ( 5821595 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3946140 ) ( 5824190 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3952140 ) ( 5830190 3952140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3952140 ) ( 5766590 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 3980940 ) ( 5766590 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 3974940 ) ( 5593790 4001000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5599790 3995000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 1334000 ) ( 5821595 1346000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 1325340 ) ( 5824190 1346000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1331340 ) ( 5830190 1331340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1331340 ) ( 5737790 1360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5507390 1360140 ) ( 5737790 1360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5507390 1360140 ) ( 5420990 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5270990 1446540 ) ( 5420990 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 1429000 ) ( 5276990 1452540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5282990 1435000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 5354000 5821570 ) ( 5366000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5354000 5824140 ) ( 5369390 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5363390 5766540 ) ( 5363390 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 2713740 ) ( 5766590 2713740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 2707740 ) ( 5680190 2721000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5686190 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3960000 ) ( 5825000 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3954000 ) ( 5795390 3986940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 3980940 ) ( 5801390 3980940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 3974940 ) ( 5680190 4001000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5686190 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 1340000 ) ( 5825000 1340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1334000 ) ( 5795390 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5507390 1360140 ) ( 5801390 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5507390 1360140 ) ( 5449790 1417740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5328590 1417740 ) ( 5449790 1417740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5334590 1411740 ) ( 5334590 1441000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5340590 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5360000 5789340 ) ( 5360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5354000 5795340 ) ( 5369390 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5363390 5766540 ) ( 5363390 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5334590 5737740 ) ( 5363390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5334590 5507340 ) ( 5334590 5737740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5276990 5449740 ) ( 5334590 5507340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 5270940 ) ( 5276990 5449740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5276940 ) ( 5282990 5276940 ) - NEW metal10 7940 + SHAPE IOWIRE ( 5259000 5278970 ) ( 5271000 5278970 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3574000 ) ( 5821595 3586000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3571740 ) ( 5824190 3586000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3577740 ) ( 5830190 3577740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5276990 5357340 ) ( 5276990 5449740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5363340 ) ( 5282990 5363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5369340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3580000 ) ( 5825000 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3571740 ) ( 5795390 3586000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3577740 ) ( 5801390 3577740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3577740 ) ( 5737790 3606540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5507390 3606540 ) ( 5737790 3606540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5507390 3606540 ) ( 5449790 3664140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5270990 3664140 ) ( 5449790 3664140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 3658140 ) ( 5276990 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5282990 3675000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 5234000 180170 ) ( 5246000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5234000 179340 ) ( 5254190 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248190 173340 ) ( 5248190 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248190 236940 ) ( 5276990 265740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 265740 ) ( 5276990 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5259000 467340 ) ( 5282990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 461340 ) ( 5265000 475000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3054000 ) ( 5821595 3066000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3053340 ) ( 5824190 3066000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3059340 ) ( 5830190 3059340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5328590 3664140 ) ( 5449790 3664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5334590 3658140 ) ( 5334590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5340590 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5234000 208140 ) ( 5254190 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5248190 202140 ) ( 5248190 386940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5242190 380940 ) ( 5271000 380940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 374940 ) ( 5265000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3060000 ) ( 5825000 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3053340 ) ( 5795390 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3059340 ) ( 5801390 3059340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3059340 ) ( 5708990 3116940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5190590 3116940 ) ( 5708990 3116940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5104190 3030540 ) ( 5190590 3116940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 3030540 ) ( 5104190 3030540 ) - NEW metal10 10460 + SHAPE IOWIRE ( 4939000 3029770 ) ( 4951000 3029770 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 1774000 ) ( 5821595 1786000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 1774000 ) ( 5824190 1798140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1792140 ) ( 5830190 1792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5011790 3030540 ) ( 5104190 3030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5017790 3024540 ) ( 5017790 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5023790 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 1780000 ) ( 5825000 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1774000 ) ( 5795390 1798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1792140 ) ( 5801390 1792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1792140 ) ( 5708990 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5190590 1849740 ) ( 5708990 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5104190 1763340 ) ( 5190590 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 1763340 ) ( 5104190 1763340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 4945000 1769340 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 4334000 ) ( 5821595 4346000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 4320540 ) ( 5824190 4346000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4326540 ) ( 5830190 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4326540 ) ( 5708990 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5190590 4384140 ) ( 5708990 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5011790 1763340 ) ( 5104190 1763340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5017790 1749000 ) ( 5017790 1769340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5023790 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4330000 ) ( 5825000 4390140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5190590 4384140 ) ( 5831000 4384140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5132990 4326540 ) ( 5190590 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 4326540 ) ( 5132990 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 4945000 4332540 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 4594000 5821570 ) ( 4606000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4579790 5824140 ) ( 4606000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4585790 5795340 ) ( 4585790 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4556990 5766540 ) ( 4585790 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4556990 5190540 ) ( 4556990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5011790 4326540 ) ( 5132990 4326540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5017790 4309000 ) ( 5017790 4332540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5023790 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4550990 5825000 ) ( 4610000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4556990 5190540 ) ( 4556990 5831000 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5132940 ) ( 4556990 5190540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4954140 ) ( 4614590 5132940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4960140 ) ( 4631000 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4619000 4960570 ) ( 4631000 4960570 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2164000 ) ( 5821595 2176000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2160540 ) ( 5824190 2176000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2166540 ) ( 5830190 2166540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2166540 ) ( 5766590 2195340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5190590 2195340 ) ( 5766590 2195340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5040540 ) ( 4614590 5132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5046540 ) ( 4631000 5046540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5052540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 2170000 ) ( 5825000 2170000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2164000 ) ( 5795390 2201340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5190590 2195340 ) ( 5801390 2195340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5161790 2166540 ) ( 5190590 2195340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4528190 2166540 ) ( 5161790 2166540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4441790 2080140 ) ( 4528190 2166540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 2080140 ) ( 4441790 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4299000 2080570 ) ( 4311000 2080570 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 4214000 180170 ) ( 4226000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4205390 179340 ) ( 4226000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4211390 173340 ) ( 4211390 524940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2080140 ) ( 4441790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2069000 ) ( 4384190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4390190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4205390 208140 ) ( 4226000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4211390 202140 ) ( 4211390 524940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4211390 524940 ) ( 4297790 611340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4297790 611340 ) ( 4297790 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4291790 784140 ) ( 4311000 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 778140 ) ( 4305000 795000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 175000 ) ( 3850000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3836990 169000 ) ( 3836990 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3836990 208140 ) ( 3894590 265740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4297790 611340 ) ( 4297790 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4291790 726540 ) ( 4311000 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 720540 ) ( 4305000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3834000 208140 ) ( 3871790 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3865790 202140 ) ( 3865790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3865790 236940 ) ( 3894590 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3894590 265740 ) ( 3894590 1187340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3894590 1187340 ) ( 3980990 1273740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3980990 1273740 ) ( 3980990 1441000 ) - NEW metal10 10010 + SHAPE IOWIRE ( 3979995 1429000 ) ( 3979995 1441000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 4144000 5821570 ) ( 4156000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4144000 5824140 ) ( 4159790 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5766540 ) ( 4153790 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3980990 1273740 ) ( 3980990 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974990 1360140 ) ( 3991000 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1354140 ) ( 3985000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4150000 5789340 ) ( 4150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4144000 5795340 ) ( 4159790 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5766540 ) ( 4153790 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4067390 5680140 ) ( 4153790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4067390 4873740 ) ( 4067390 5680140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3980990 4787340 ) ( 4067390 4873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3980990 4637340 ) ( 3980990 4787340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974990 4643340 ) ( 3991000 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4649340 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3694000 5821570 ) ( 3706000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3686990 5824140 ) ( 3706000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5766540 ) ( 3692990 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3980990 4723740 ) ( 3980990 4787340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974990 4729740 ) ( 3991000 4729740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4735740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 5789340 ) ( 3700000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3686990 5795340 ) ( 3706000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5766540 ) ( 3692990 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3664190 5737740 ) ( 3692990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3664190 5589000 ) ( 3664190 5737740 ) - NEW metal10 6810 + SHAPE IOWIRE ( 3661595 5589000 ) ( 3661595 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3370000 ) ( 175000 3390000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3376140 ) ( 208190 3376140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3664190 5674140 ) ( 3664190 5737740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3658190 5680140 ) ( 3671000 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3380000 ) ( 214190 3380000 ) + NEW metal10 9860 + SHAPE IOWIRE ( 202190 3381070 ) ( 214190 3381070 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3347340 ) ( 208190 3376140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3347340 ) ( 471000 3347340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 3341340 ) ( 465000 3355000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 2094000 ) ( 180195 2106000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 2094000 ) ( 179390 2114940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 2108940 ) ( 208190 2108940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 208190 2108940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 471000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 2080570 ) ( 471000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 236990 3347340 ) ( 386990 3347340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 3341340 ) ( 380990 3361000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 3355000 ) ( 465000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2100000 ) ( 214190 2100000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 2074140 ) ( 208190 2106000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 2080140 ) ( 386990 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 2069000 ) ( 380990 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2930,270 +2917,272 @@ SPECIALNETS 139 ; ( BUMP_10_14 PAD ) ( BUMP_12_12 PAD ) ( BUMP_13_16 PAD ) ( BUMP_16_16 PAD ) ( BUMP_16_12 PAD ) ( BUMP_15_11 PAD ) ( BUMP_13_10 PAD ) ( BUMP_16_8 PAD ) ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3390000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3376190 169000 ) ( 3376190 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3376190 208140 ) ( 3433790 265740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3380000 175000 ) ( 3380000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3374000 208140 ) ( 3410990 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3404990 202140 ) ( 3404990 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3404990 236940 ) ( 3433790 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3433790 265740 ) ( 3433790 841740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3433790 841740 ) ( 3347390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3347390 928140 ) ( 3347390 1106940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1100940 ) ( 3353390 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1094940 ) ( 3345000 1115000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 2874000 180170 ) ( 2886000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2874000 179340 ) ( 2892590 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2886590 173340 ) ( 2886590 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3347390 928140 ) ( 3347390 1049340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1043340 ) ( 3353390 1043340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1037340 ) ( 3345000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2874000 208140 ) ( 2892590 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2886590 202140 ) ( 2886590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2886590 236940 ) ( 2915390 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2915390 265740 ) ( 2915390 611340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2915390 611340 ) ( 2944190 640140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2944190 640140 ) ( 2944190 1216140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2944190 1216140 ) ( 3030590 1302540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3030590 1302540 ) ( 3030590 1441000 ) - NEW metal10 11590 + SHAPE IOWIRE ( 3030795 1429000 ) ( 3030795 1441000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3124000 5821570 ) ( 3136000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3110990 5824140 ) ( 3136000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3116990 5536140 ) ( 3116990 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3030590 1302540 ) ( 3030590 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1360140 ) ( 3036590 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1354140 ) ( 3025000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 5789340 ) ( 3130000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3110990 5795340 ) ( 3136000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3116990 5536140 ) ( 3116990 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3030590 5449740 ) ( 3116990 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3030590 5270940 ) ( 3030590 5449740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5276940 ) ( 3036590 5276940 ) - NEW metal10 7940 + SHAPE IOWIRE ( 3019000 5278970 ) ( 3031000 5278970 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 2674000 5821570 ) ( 2686000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2674000 5824140 ) ( 2690990 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2684990 5795340 ) ( 2684990 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2627390 5737740 ) ( 2684990 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3030590 5357340 ) ( 3030590 5449740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5363340 ) ( 3036590 5363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5369340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5789340 ) ( 2680000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2650190 5795340 ) ( 2686000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2656190 5766540 ) ( 2656190 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2627390 5737740 ) ( 2656190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2627390 5161740 ) ( 2627390 5737740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5075340 ) ( 2627390 5161740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 4954140 ) ( 2713790 5075340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2699000 4960140 ) ( 2719790 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 2699000 4960570 ) ( 2711000 4960570 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 2484000 180170 ) ( 2496000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2477390 179340 ) ( 2496000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2483390 173340 ) ( 2483390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2684990 5104140 ) ( 2627390 5161740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2684990 5040540 ) ( 2684990 5104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2678990 5046540 ) ( 2711000 5046540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 5052540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2477390 208140 ) ( 2496000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483390 202140 ) ( 2483390 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2483390 236940 ) ( 2454590 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2454590 265740 ) ( 2454590 1532940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2454590 1532940 ) ( 2396990 1590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2396990 1590540 ) ( 2396990 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1755000 ) ( 2402990 1755000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 2294000 5821570 ) ( 2306000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2294000 5824140 ) ( 2316590 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 4556940 ) ( 2310590 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2396990 4470540 ) ( 2310590 4556940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2396990 4320540 ) ( 2396990 4470540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4326540 ) ( 2402990 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4332540 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 1974000 180170 ) ( 1986000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1974000 179340 ) ( 1999790 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1993790 173340 ) ( 1993790 553740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2396990 1590540 ) ( 2396990 1682940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1676940 ) ( 2402990 1676940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1670940 ) ( 2385000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5789340 ) ( 2300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2294000 5795340 ) ( 2316590 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 4556940 ) ( 2310590 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2368190 4499340 ) ( 2310590 4556940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2368190 4378140 ) ( 2368190 4499340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2362190 4384140 ) ( 2391000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1974000 208140 ) ( 1999790 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1993790 202140 ) ( 1993790 553740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1993790 553740 ) ( 2051390 611340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2051390 611340 ) ( 2051390 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2045390 784140 ) ( 2071000 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 778140 ) ( 2065000 795000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 1594000 180170 ) ( 1606000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1584590 179340 ) ( 1606000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1590590 173340 ) ( 1590590 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1590590 208140 ) ( 1648190 265740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2051390 611340 ) ( 2051390 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2045390 726540 ) ( 2071000 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 720540 ) ( 2065000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1594000 208140 ) ( 1625390 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 202140 ) ( 1619390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 236940 ) ( 1648190 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1648190 265740 ) ( 1648190 611340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1648190 611340 ) ( 1676990 640140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1676990 640140 ) ( 1676990 1216140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1676990 1216140 ) ( 1734590 1273740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 1273740 ) ( 1734590 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1728590 1435000 ) ( 1745000 1435000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 1894000 5821570 ) ( 1906000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1894000 5824140 ) ( 1913390 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1907390 5766540 ) ( 1907390 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1734590 1273740 ) ( 1734590 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1728590 1360140 ) ( 1751000 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1354140 ) ( 1745000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1900000 5789340 ) ( 1900000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1894000 5795340 ) ( 1913390 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1907390 5766540 ) ( 1907390 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5708940 ) ( 1907390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5478540 ) ( 1849790 5708940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1820990 5449740 ) ( 1849790 5478540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1820990 4873740 ) ( 1820990 5449740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 4787340 ) ( 1820990 4873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 4637340 ) ( 1734590 4787340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1728590 4643340 ) ( 1751000 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4649340 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 2544000 ) ( 180195 2556000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 2534940 ) ( 179390 2556000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 2540940 ) ( 236990 2540940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1763390 4816140 ) ( 1820990 4873740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1763390 4723740 ) ( 1763390 4816140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4729740 ) ( 1769390 4729740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4735740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2550000 ) ( 214190 2550000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 2534940 ) ( 208190 2556000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 2540940 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 2512140 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 2512140 ) ( 553790 2512140 ) NEW metal10 12000 + SHAPE IOWIRE ( 582590 2483340 ) ( 553790 2512140 ) NEW metal10 12000 + SHAPE IOWIRE ( 582590 2483340 ) ( 1158590 2483340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1244990 2396940 ) ( 1158590 2483340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1244990 2396940 ) ( 1423790 2396940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1417790 2389000 ) ( 1417790 2402940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1411790 2395000 ) ( 1425000 2395000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 4794000 ) ( 180195 4806000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 4781340 ) ( 179390 4806000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 4787340 ) ( 236990 4787340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1244990 2396940 ) ( 1337390 2396940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331390 2389000 ) ( 1331390 2402940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1325390 2395000 ) ( 1425000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4800000 ) ( 214190 4800000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 4781340 ) ( 208190 4806000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 4787340 ) ( 236990 4787340 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 4758540 ) ( 236990 4787340 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 4758540 ) ( 553790 4758540 ) NEW metal10 12000 + SHAPE IOWIRE ( 582590 4729740 ) ( 553790 4758540 ) NEW metal10 12000 + SHAPE IOWIRE ( 582590 4729740 ) ( 1158590 4729740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1244990 4643340 ) ( 1158590 4729740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1244990 4643340 ) ( 1423790 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1417790 4629000 ) ( 1417790 4649340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1411790 4635000 ) ( 1425000 4635000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 1134000 5821570 ) ( 1146000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1123790 5824140 ) ( 1146000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1129790 5795340 ) ( 1129790 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 5766540 ) ( 1129790 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 5589000 ) ( 1100990 5766540 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 5589000 ) ( 1099995 5601000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 3954000 ) ( 180195 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 3946140 ) ( 179390 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 3952140 ) ( 208190 3952140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1244990 4643340 ) ( 1337390 4643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331390 4629000 ) ( 1331390 4649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1325390 4635000 ) ( 1425000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1094990 5825000 ) ( 1150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1100990 5674140 ) ( 1100990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1094990 5680140 ) ( 1111000 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 214190 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 3952140 ) ( 208190 3966000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 208190 3952140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 870590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 870590 3923340 ) ( 928190 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 3980940 ) ( 1106990 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 3974940 ) ( 1100990 4001000 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 3989000 ) ( 1099995 4001000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 3434000 ) ( 180195 3446000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 3427740 ) ( 179390 3446000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 3433740 ) ( 553790 3433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 3980940 ) ( 1020590 3980940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 3974940 ) ( 1014590 4001000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 3995000 ) ( 1105000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3440000 ) ( 214190 3440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 3427740 ) ( 208190 3446000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 3433740 ) ( 553790 3433740 ) NEW metal10 12000 + SHAPE IOWIRE ( 640190 3347340 ) ( 553790 3433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 640190 3347340 ) ( 790190 3347340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 3341340 ) ( 784190 3361000 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 3349000 ) ( 781595 3361000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 2154000 ) ( 180195 2166000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 2154000 ) ( 179390 2172540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 2166540 ) ( 553790 2166540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 640190 3347340 ) ( 703790 3347340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3341340 ) ( 697790 3361000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3355000 ) ( 785000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2160000 ) ( 214190 2160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 2154000 ) ( 208190 2172540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 2166540 ) ( 553790 2166540 ) NEW metal10 12000 + SHAPE IOWIRE ( 640190 2080140 ) ( 553790 2166540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 640190 2080140 ) ( 790190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 2069000 ) ( 784190 2086140 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 2069000 ) ( 781595 2081000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 754000 180170 ) ( 766000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 749390 179340 ) ( 766000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 755390 173340 ) ( 755390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 640190 2080140 ) ( 703790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2069000 ) ( 697790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2075000 ) ( 785000 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 749390 208140 ) ( 766000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 755390 202140 ) ( 755390 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 755390 236940 ) ( 784190 265740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 265740 ) ( 784190 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 778190 467340 ) ( 791000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 785000 461340 ) ( 785000 475000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2994000 ) ( 5821595 3006000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2994000 ) ( 5824190 3007740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5830190 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 784190 265740 ) ( 784190 386940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 778190 380940 ) ( 791000 380940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 374940 ) ( 785000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3000000 ) ( 5825000 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2994000 ) ( 5795390 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5801390 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5737790 3030540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 3030540 ) ( 5737790 3030540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 3024540 ) ( 5593790 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5599790 3035000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 1714000 ) ( 5821595 1726000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 1699740 ) ( 5824190 1726000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1705740 ) ( 5830190 1705740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1705740 ) ( 5737790 1763340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 1763340 ) ( 5737790 1763340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 1749000 ) ( 5593790 1769340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5599790 1755000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 5614000 5821570 ) ( 5626000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5614000 5824140 ) ( 5628590 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5622590 5795340 ) ( 5622590 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 5766540 ) ( 5622590 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 5589000 ) ( 5593790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5599790 5595000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 4274000 ) ( 5821595 4286000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 4262940 ) ( 5824190 4286000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4268940 ) ( 5830190 4268940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4268940 ) ( 5708990 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 4326540 ) ( 5708990 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 4309000 ) ( 5593790 4332540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5599790 4315000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2614000 ) ( 5821595 2626000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2614000 ) ( 5824190 2633340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5507390 2627340 ) ( 5830190 2627340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 3030540 ) ( 5737790 3030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 3024540 ) ( 5680190 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5686190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 1720000 ) ( 5825000 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1714000 ) ( 5795390 1740540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1734540 ) ( 5801390 1734540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1734540 ) ( 5737790 1763340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 1763340 ) ( 5737790 1763340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 1749000 ) ( 5680190 1769340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5686190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5620000 5789340 ) ( 5620000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5587790 5795340 ) ( 5626000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5593790 5674140 ) ( 5593790 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5579000 5680140 ) ( 5599790 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 4280000 ) ( 5825000 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 4274000 ) ( 5795390 4303740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 4297740 ) ( 5801390 4297740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 4291740 ) ( 5680190 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5686190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 2620000 ) ( 5825000 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2614000 ) ( 5795390 2633340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5507390 2627340 ) ( 5801390 2627340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5507390 2627340 ) ( 5420990 2713740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5270990 2713740 ) ( 5420990 2713740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 2707740 ) ( 5276990 2721000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5282990 2715000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3894000 ) ( 5821595 3906000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3888540 ) ( 5824190 3906000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3894540 ) ( 5830190 3894540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3894540 ) ( 5766590 3923340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5478590 3923340 ) ( 5766590 3923340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5328590 2713740 ) ( 5420990 2713740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5334590 2707740 ) ( 5334590 2721000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5340590 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3900000 ) ( 5825000 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3894000 ) ( 5795390 3929340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5478590 3923340 ) ( 5801390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 3923340 ) ( 5420990 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5270990 3980940 ) ( 5420990 3980940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 3974940 ) ( 5276990 4001000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5282990 3995000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2104000 ) ( 5821595 2116000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2102940 ) ( 5824190 2116000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2108940 ) ( 5830190 2108940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2108940 ) ( 5737790 2166540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5328590 3980940 ) ( 5420990 3980940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5334590 3974940 ) ( 5334590 4001000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5340590 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 2110000 ) ( 5825000 2110000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2104000 ) ( 5795390 2143740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2137740 ) ( 5801390 2137740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2137740 ) ( 5737790 2166540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5219390 2166540 ) ( 5737790 2166540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5132990 2080140 ) ( 5219390 2166540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 2080140 ) ( 5132990 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4939000 2080570 ) ( 4951000 2080570 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 4914000 180170 ) ( 4926000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4914000 179340 ) ( 4937390 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4931390 173340 ) ( 4931390 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4925390 467340 ) ( 4951000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 461340 ) ( 4945000 475000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 1274000 ) ( 5821595 1286000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 1267740 ) ( 5824190 1286000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1273740 ) ( 5830190 1273740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5011790 2080140 ) ( 5132990 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5017790 2069000 ) ( 5017790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 5023790 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4914000 208140 ) ( 4937390 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4931390 202140 ) ( 4931390 386940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4925390 380940 ) ( 4951000 380940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 374940 ) ( 4945000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 1280000 ) ( 5825000 1280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1267740 ) ( 5795390 1286000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1273740 ) ( 5801390 1273740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1273740 ) ( 5708990 1331340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 1331340 ) ( 5708990 1331340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 1331340 ) ( 5449790 1360140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4873790 1360140 ) ( 5449790 1360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4873790 1360140 ) ( 4787390 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1446540 ) ( 4787390 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4625000 1452540 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 4654000 5821570 ) ( 4666000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4654000 5824140 ) ( 4678190 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4672190 5766540 ) ( 4672190 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5708940 ) ( 4672190 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5589000 ) ( 4614590 5708940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5595000 ) ( 4625000 5595000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3514000 ) ( 5821595 3526000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3514000 ) ( 5824190 3526140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3520140 ) ( 5830190 3520140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4873790 1360140 ) ( 4816190 1417740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4694990 1417740 ) ( 4816190 1417740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4700990 1411740 ) ( 4700990 1441000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4706990 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4660000 5789340 ) ( 4660000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4637390 5795340 ) ( 4666000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4643390 5674140 ) ( 4643390 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5680140 ) ( 4649390 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3520000 ) ( 5825000 3520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3514000 ) ( 5795390 3526140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3520140 ) ( 5801390 3520140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3520140 ) ( 5708990 3577740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 3577740 ) ( 5708990 3577740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 3577740 ) ( 5449790 3606540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4873790 3606540 ) ( 5449790 3606540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4873790 3606540 ) ( 4816190 3664140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4619000 3664140 ) ( 4816190 3664140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3658140 ) ( 4625000 3675000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 4154000 180170 ) ( 4166000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4147790 179340 ) ( 4166000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 173340 ) ( 4153790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4694990 3664140 ) ( 4816190 3664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4700990 3658140 ) ( 4700990 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4706990 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4147790 208140 ) ( 4166000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4153790 202140 ) ( 4153790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4153790 236940 ) ( 4182590 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4182590 265740 ) ( 4182590 553740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4182590 553740 ) ( 4211390 582540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4211390 582540 ) ( 4211390 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4211390 1158540 ) ( 4297790 1244940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4297790 1244940 ) ( 4297790 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4291790 1435000 ) ( 4305000 1435000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 4194000 5821570 ) ( 4206000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4194000 5824140 ) ( 4217390 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4211390 4556940 ) ( 4211390 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4297790 1244940 ) ( 4297790 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4291790 1360140 ) ( 4311000 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1354140 ) ( 4305000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4200000 5789340 ) ( 4200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4194000 5795340 ) ( 4217390 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4211390 4556940 ) ( 4211390 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4297790 4470540 ) ( 4211390 4556940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4297790 4320540 ) ( 4297790 4470540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4291790 4326540 ) ( 4311000 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4332540 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 3764000 180170 ) ( 3776000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3764000 179340 ) ( 3785390 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3779390 173340 ) ( 3779390 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3779390 208140 ) ( 3750590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3750590 236940 ) ( 3750590 1504140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4297790 4378140 ) ( 4297790 4470540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4291790 4384140 ) ( 4311000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3744590 208140 ) ( 3776000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3750590 202140 ) ( 3750590 1504140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3750590 1504140 ) ( 3664190 1590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3664190 1590540 ) ( 3664190 1761000 ) - NEW metal10 6810 + SHAPE IOWIRE ( 3661595 1749000 ) ( 3661595 1761000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3634000 5821570 ) ( 3646000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3629390 5824140 ) ( 3646000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3635390 5766540 ) ( 3635390 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3664190 1590540 ) ( 3664190 1682940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3658190 1676940 ) ( 3671000 1676940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1670940 ) ( 3665000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3640000 5789340 ) ( 3640000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3629390 5795340 ) ( 3646000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3635390 5766540 ) ( 3635390 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3577790 5708940 ) ( 3635390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3577790 5190540 ) ( 3577790 5708940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3664190 5104140 ) ( 3577790 5190540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3664190 4954140 ) ( 3664190 5104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3658190 4960140 ) ( 3671000 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 3659000 4960570 ) ( 3671000 4960570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3050000 ) ( 175000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3059340 ) ( 236990 3059340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3664190 5040540 ) ( 3664190 5104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3658190 5046540 ) ( 3671000 5046540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 5052540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3060000 ) ( 214190 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 3053340 ) ( 208190 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 3059340 ) ( 236990 3059340 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 3030540 ) ( 236990 3059340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 265790 3030540 ) ( 471000 3030540 ) - NEW metal10 10460 + SHAPE IOWIRE ( 459000 3029770 ) ( 471000 3029770 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 1454000 ) ( 180195 1466000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 1440540 ) ( 179390 1466000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 1446540 ) ( 471000 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 1435000 ) ( 465000 1452540 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 4334000 ) ( 180195 4346000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 4320540 ) ( 179390 4346000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 4326540 ) ( 471000 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 4315000 ) ( 465000 4332540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 265790 3030540 ) ( 386990 3030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 3024540 ) ( 380990 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 3035000 ) ( 465000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1460000 ) ( 214190 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 1440540 ) ( 208190 1466000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 1446540 ) ( 386990 1446540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 1429000 ) ( 380990 1452540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 1435000 ) ( 465000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4340000 ) ( 214190 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 4320540 ) ( 208190 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 4326540 ) ( 386990 4326540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 4309000 ) ( 380990 4332540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 4315000 ) ( 465000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3344,138 +3333,126 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VDD ) ( IO_FILL_IO_WEST_0_485 VDD ) ( BUMP_2_4 PAD ) ( BUMP_1_7 PAD ) ( BUMP_1_10 PAD ) ( BUMP_0_14 PAD ) ( BUMP_4_14 PAD ) ( BUMP_7_15 PAD ) ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 175000 ) ( 3210000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3203390 169000 ) ( 3203390 208140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 214140 ) + NEW metal10 9390 + SHAPE IOWIRE ( 3198695 202140 ) ( 3198695 214140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3203390 208140 ) ( 3232190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 236940 ) ( 3232190 582540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 582540 ) ( 3260990 611340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3260990 611340 ) ( 3260990 1187340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3260990 1187340 ) ( 3347390 1273740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3347390 1273740 ) ( 3347390 1441000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3349195 1429000 ) ( 3349195 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3280000 5825000 ) ( 3300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3289790 5766540 ) ( 3289790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3347390 1273740 ) ( 3347390 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1360140 ) ( 3353390 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1354140 ) ( 3345000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3290000 5789340 ) ( 3290000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3283790 5795340 ) ( 3296000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3289790 5766540 ) ( 3289790 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3260990 5737740 ) ( 3289790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3260990 4528140 ) ( 3260990 5737740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3347390 4441740 ) ( 3260990 4528140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3347390 4320540 ) ( 3347390 4441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3339000 4326540 ) ( 3353390 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3345000 4332540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2790000 5825000 ) ( 2810000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2800190 5507340 ) ( 2800190 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3347390 4378140 ) ( 3347390 4441740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 4384140 ) ( 3353390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3345000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2800000 5789340 ) ( 2800000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2794000 5795340 ) ( 2806190 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2800190 5507340 ) ( 2800190 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5420940 ) ( 2800190 5507340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5270940 ) ( 2713790 5420940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5276940 ) ( 2719790 5276940 ) - NEW metal10 7940 + SHAPE IOWIRE ( 2699000 5278970 ) ( 2711000 5278970 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 2234000 180170 ) ( 2246000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2234000 179340 ) ( 2258990 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2252990 173340 ) ( 2252990 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5357340 ) ( 2713790 5420940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5363340 ) ( 2719790 5363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5369340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2234000 208140 ) ( 2258990 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2252990 202140 ) ( 2252990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2252990 236940 ) ( 2281790 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2281790 265740 ) ( 2281790 611340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2281790 611340 ) ( 2310590 640140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 640140 ) ( 2310590 1216140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 1216140 ) ( 2396990 1302540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2396990 1302540 ) ( 2396990 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1435000 ) ( 2402990 1435000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 1714000 5821570 ) ( 1726000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1699790 5824140 ) ( 1726000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1705790 5795340 ) ( 1705790 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1676990 5766540 ) ( 1705790 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1676990 5190540 ) ( 1676990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 1216140 ) ( 2368190 1273740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2368190 1273740 ) ( 2368190 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2362190 1360140 ) ( 2391000 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1354140 ) ( 2385000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1670990 5825000 ) ( 1730000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1676990 5190540 ) ( 1676990 5831000 ) NEW metal10 12000 + SHAPE IOWIRE ( 1734590 5132940 ) ( 1676990 5190540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1734590 4954140 ) ( 1734590 5132940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1728590 4960140 ) ( 1751000 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1739000 4960570 ) ( 1751000 4960570 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 1714000 ) ( 180195 1726000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 1699740 ) ( 179390 1726000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 1705740 ) ( 208190 1705740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1676940 ) ( 208190 1705740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1676940 ) ( 870590 1676940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1734590 5040540 ) ( 1734590 5132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1728590 5046540 ) ( 1751000 5046540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 5052540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1670940 ) ( 175000 1730000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 1676940 ) ( 870590 1676940 ) NEW metal10 12000 + SHAPE IOWIRE ( 870590 1676940 ) ( 956990 1763340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 956990 1763340 ) ( 1106990 1763340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 1749000 ) ( 1100990 1769340 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 1749000 ) ( 1099995 1761000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 1134000 180170 ) ( 1146000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1123790 179340 ) ( 1146000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1129790 173340 ) ( 1129790 208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1129790 208140 ) ( 1187390 265740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 956990 1763340 ) ( 1020590 1763340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 1749000 ) ( 1014590 1769340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 1755000 ) ( 1105000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1134000 208140 ) ( 1164590 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 202140 ) ( 1158590 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 236940 ) ( 1187390 265740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1187390 265740 ) ( 1187390 899340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1187390 899340 ) ( 1100990 985740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 985740 ) ( 1100990 1106940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1094990 1100940 ) ( 1111000 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1094940 ) ( 1105000 1115000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 2804000 ) ( 180195 2816000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 2794140 ) ( 179390 2816000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 2800140 ) ( 553790 2800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1100990 985740 ) ( 1100990 1049340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1094990 1043340 ) ( 1111000 1043340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1037340 ) ( 1105000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 214190 2810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 2794140 ) ( 208190 2816000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 2800140 ) ( 553790 2800140 ) NEW metal10 12000 + SHAPE IOWIRE ( 640190 2713740 ) ( 553790 2800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 640190 2713740 ) ( 790190 2713740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 2707740 ) ( 784190 2721000 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 2709000 ) ( 781595 2721000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 3764000 ) ( 180195 3776000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 3764000 ) ( 179390 3785340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 3779340 ) ( 208190 3779340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3750540 ) ( 208190 3779340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3750540 ) ( 524990 3750540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 611390 3664140 ) ( 524990 3750540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 611390 3664140 ) ( 790190 3664140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 3658140 ) ( 784190 3681000 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 3669000 ) ( 781595 3681000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3324000 ) ( 5821595 3336000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3312540 ) ( 5824190 3336000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3318540 ) ( 5830190 3318540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3318540 ) ( 5766590 3347340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 3347340 ) ( 5766590 3347340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 3341340 ) ( 5593790 3361000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5599790 3355000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 4594000 ) ( 5821595 4606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 4579740 ) ( 5824190 4606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 4585740 ) ( 5830190 4585740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 4585740 ) ( 5737790 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 4643340 ) ( 5737790 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 4629000 ) ( 5593790 4649340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5599790 4635000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2294000 ) ( 5821595 2306000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2294000 ) ( 5824190 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5478590 2310540 ) ( 5830190 2310540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 640190 2713740 ) ( 703790 2713740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2707740 ) ( 697790 2721000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2715000 ) ( 785000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3770000 ) ( 214190 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 3744540 ) ( 208190 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 3750540 ) ( 524990 3750540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 582590 3692940 ) ( 524990 3750540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 582590 3692940 ) ( 703790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3669000 ) ( 697790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3675000 ) ( 785000 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3330000 ) ( 5825000 3330000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3324000 ) ( 5795390 3353340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 3347340 ) ( 5801390 3347340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 3341340 ) ( 5680190 3361000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5686190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 4600000 ) ( 5825000 4600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 4594000 ) ( 5795390 4620540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4614540 ) ( 5801390 4614540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4614540 ) ( 5737790 4643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 4643340 ) ( 5737790 4643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 4629000 ) ( 5680190 4649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5686190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 2300000 ) ( 5825000 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2294000 ) ( 5795390 2316540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5478590 2310540 ) ( 5801390 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 2310540 ) ( 5392190 2396940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5270990 2396940 ) ( 5392190 2396940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 2389000 ) ( 5276990 2402940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5282990 2395000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 1014000 ) ( 5821595 1026000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 1008540 ) ( 5824190 1026000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1014540 ) ( 5830190 1014540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1014540 ) ( 5766590 1043340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5478590 1043340 ) ( 5766590 1043340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5328590 2396940 ) ( 5392190 2396940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5334590 2389000 ) ( 5334590 2402940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5340590 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 1020000 ) ( 5825000 1020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1014000 ) ( 5795390 1049340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5478590 1043340 ) ( 5801390 1043340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5478590 1043340 ) ( 5420990 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5270990 1100940 ) ( 5420990 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5276990 1094940 ) ( 5276990 1121000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5282990 1115000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 4974000 5821570 ) ( 4986000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4974000 5824140 ) ( 4994990 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4988990 5795340 ) ( 4988990 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4931390 5737740 ) ( 4988990 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4931390 5589000 ) ( 4931390 5737740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4925390 5595000 ) ( 4945000 5595000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 4274000 180170 ) ( 4286000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4262990 179340 ) ( 4286000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4268990 173340 ) ( 4268990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4268990 236940 ) ( 4297790 265740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4297790 265740 ) ( 4297790 473340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4291790 467340 ) ( 4311000 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 461340 ) ( 4305000 475000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3894000 5821570 ) ( 3906000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3888590 5824140 ) ( 3906000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3894590 4585740 ) ( 3894590 5830140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5328590 1100940 ) ( 5420990 1100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5334590 1094940 ) ( 5334590 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5340590 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4980000 5789340 ) ( 4980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4954190 5795340 ) ( 4986000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4960190 5674140 ) ( 4960190 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5680140 ) ( 4966190 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4274000 208140 ) ( 4303790 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4297790 202140 ) ( 4297790 386940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4291790 380940 ) ( 4311000 380940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 374940 ) ( 4305000 475000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 5789340 ) ( 3900000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3888590 5795340 ) ( 3906000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3894590 4585740 ) ( 3894590 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3980990 4499340 ) ( 3894590 4585740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3980990 4320540 ) ( 3980990 4499340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974990 4326540 ) ( 3991000 4326540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4332540 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 4974000 ) ( 180195 4986000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 4974000 ) ( 179390 4994940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 4988940 ) ( 208190 4988940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4960140 ) ( 208190 4988940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4960140 ) ( 471000 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 459000 4960570 ) ( 471000 4960570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3980990 4378140 ) ( 3980990 4499340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974990 4384140 ) ( 3991000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4980000 ) ( 214190 4980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 4954140 ) ( 208190 4986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 4960140 ) ( 386990 4960140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 4949000 ) ( 380990 4966140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 4955000 ) ( 465000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3626,1377 +3603,1439 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) ( BUMP_1_3 PAD ) ( BUMP_0_7 PAD ) ( BUMP_0_10 PAD ) ( BUMP_2_14 PAD ) ( BUMP_3_15 PAD ) ( BUMP_7_16 PAD ) ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3384000 5821570 ) ( 3396000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3370190 5824140 ) ( 3396000 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3376190 5795340 ) ( 3376190 5830140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3390000 5789340 ) ( 3390000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3376190 5795340 ) ( 3396000 5795340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3347390 5766540 ) ( 3376190 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3347390 5589000 ) ( 3347390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3349195 5589000 ) ( 3349195 5601000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3250000 175000 ) ( 3270000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3260990 169000 ) ( 3260990 553740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3347390 5674140 ) ( 3347390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5680140 ) ( 3353390 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3254000 208140 ) ( 3266990 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3260990 202140 ) ( 3260990 553740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3260990 553740 ) ( 3347390 640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3347390 640140 ) ( 3347390 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3339000 784140 ) ( 3353390 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 778140 ) ( 3345000 795000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 2734000 5821570 ) ( 2746000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2734000 5824140 ) ( 2748590 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2742590 5795340 ) ( 2742590 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5766540 ) ( 2742590 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5589000 ) ( 2713790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2719790 5595000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 2294000 180170 ) ( 2306000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2294000 179340 ) ( 2316590 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 173340 ) ( 2310590 582540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 582540 ) ( 2396990 668940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2396990 668940 ) ( 2396990 790140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 784140 ) ( 2402990 784140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 778140 ) ( 2385000 795000 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 1274000 180170 ) ( 1286000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1267790 179340 ) ( 1286000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1273790 173340 ) ( 1273790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3347390 640140 ) ( 3347390 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 726540 ) ( 3353390 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 720540 ) ( 3345000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2740000 5789340 ) ( 2740000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2707790 5795340 ) ( 2746000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2713790 5674140 ) ( 2713790 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5680140 ) ( 2719790 5680140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5686140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2294000 208140 ) ( 2316590 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 202140 ) ( 2310590 582540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 582540 ) ( 2368190 640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2368190 640140 ) ( 2368190 732540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2362190 726540 ) ( 2391000 726540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 720540 ) ( 2385000 795000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 214140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1267790 208140 ) ( 1286000 208140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1273790 202140 ) ( 1273790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1273790 236940 ) ( 1331390 294540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1331390 294540 ) ( 1331390 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1331390 1158540 ) ( 1417790 1244940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1417790 1244940 ) ( 1417790 1441000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1411790 1435000 ) ( 1425000 1435000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 1524000 5821570 ) ( 1536000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1524000 5824140 ) ( 1538990 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1532990 5795340 ) ( 1532990 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1504190 5766540 ) ( 1532990 5795340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1504190 5536140 ) ( 1504190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1417790 1244940 ) ( 1417790 1366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1411790 1360140 ) ( 1431000 1360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1354140 ) ( 1425000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5789340 ) ( 1530000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1498190 5795340 ) ( 1536000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1504190 5536140 ) ( 1504190 5801340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1417790 5449740 ) ( 1504190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1417790 5270940 ) ( 1417790 5449740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1411790 5276940 ) ( 1431000 5276940 ) - NEW metal10 7940 + SHAPE IOWIRE ( 1419000 5278970 ) ( 1431000 5278970 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 4914000 ) ( 180195 4926000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 4914000 ) ( 179390 4937340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 4931340 ) ( 208190 4931340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 265790 4873740 ) ( 208190 4931340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1417790 5357340 ) ( 1417790 5449740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1411790 5363340 ) ( 1431000 5363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5369340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4920000 ) ( 214190 4920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 4896540 ) ( 208190 4926000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 4902540 ) ( 236990 4902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 265790 4873740 ) ( 236990 4902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 265790 4873740 ) ( 841790 4873740 ) NEW metal10 12000 + SHAPE IOWIRE ( 841790 4873740 ) ( 928190 4960140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4960140 ) ( 1106990 4960140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1100990 4949000 ) ( 1100990 4966140 ) - NEW metal10 10010 + SHAPE IOWIRE ( 1099995 4949000 ) ( 1099995 4961000 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 1514000 ) ( 180195 1526000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 1514000 ) ( 179390 1538940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 1532940 ) ( 208190 1532940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1504140 ) ( 208190 1532940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1504140 ) ( 582590 1504140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4960140 ) ( 1020590 4960140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014590 4949000 ) ( 1014590 4966140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1008590 4955000 ) ( 1105000 4955000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1520000 ) ( 214190 1520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 1498140 ) ( 208190 1526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 1504140 ) ( 582590 1504140 ) NEW metal10 12000 + SHAPE IOWIRE ( 640190 1446540 ) ( 582590 1504140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 640190 1446540 ) ( 790190 1446540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 784190 1429000 ) ( 784190 1452540 ) - NEW metal10 6810 + SHAPE IOWIRE ( 781595 1429000 ) ( 781595 1441000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 2354000 ) ( 5821595 2366000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 2354000 ) ( 5824190 2374140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2368140 ) ( 5830190 2368140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 640190 1446540 ) ( 703790 1446540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1429000 ) ( 697790 1452540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1435000 ) ( 785000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 2360000 ) ( 5825000 2360000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 2354000 ) ( 5795390 2374140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2368140 ) ( 5801390 2368140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2368140 ) ( 5737790 2396940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 2396940 ) ( 5737790 2396940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 2389000 ) ( 5593790 2402940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5599790 2395000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 1074000 ) ( 5821595 1086000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 1066140 ) ( 5824190 1086000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1072140 ) ( 5830190 1072140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1072140 ) ( 5766590 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587790 1100940 ) ( 5766590 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5593790 1094940 ) ( 5593790 1121000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5599790 1115000 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 3384000 ) ( 5821595 3396000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 3370140 ) ( 5824190 3396000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3376140 ) ( 5830190 3376140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3376140 ) ( 5737790 3433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 2396940 ) ( 5737790 2396940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 2389000 ) ( 5680190 2402940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5686190 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 1080000 ) ( 5825000 1080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 1074000 ) ( 5795390 1106940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5674190 1100940 ) ( 5801390 1100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5680190 1094940 ) ( 5680190 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5686190 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 3390000 ) ( 5825000 3390000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 3384000 ) ( 5795390 3410940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3404940 ) ( 5801390 3404940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3404940 ) ( 5737790 3433740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5161790 3433740 ) ( 5737790 3433740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5075390 3347340 ) ( 5161790 3433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 3347340 ) ( 5075390 3347340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3341340 ) ( 4945000 3355000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 4914000 5821570 ) ( 4926000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4914000 5824140 ) ( 4937390 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4931390 5795340 ) ( 4931390 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4873790 5737740 ) ( 4931390 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5011790 3347340 ) ( 5075390 3347340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5017790 3341340 ) ( 5017790 3361000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 5023790 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 5789340 ) ( 4920000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4896590 5795340 ) ( 4926000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4902590 5766540 ) ( 4902590 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4873790 5737740 ) ( 4902590 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4873790 5161740 ) ( 4873790 5737740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4931390 5104140 ) ( 4873790 5161740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4931390 4954140 ) ( 4931390 5104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4925390 4960140 ) ( 4951000 4960140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4939000 4960570 ) ( 4951000 4960570 ) - + ROUTED metal10 6810 + SHAPE IOWIRE ( 5821595 4654000 ) ( 5821595 4666000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5824190 4654000 ) ( 5824190 4678140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4672140 ) ( 5830190 4672140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4931390 5040540 ) ( 4931390 5104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4925390 5046540 ) ( 4951000 5046540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 5052540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5789390 4660000 ) ( 5825000 4660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5795390 4654000 ) ( 5795390 4678140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4672140 ) ( 5801390 4672140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4672140 ) ( 5708990 4729740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5190590 4729740 ) ( 5708990 4729740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5104190 4643340 ) ( 5190590 4729740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 4643340 ) ( 5104190 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 4945000 4649340 ) - + ROUTED metal10 10340 + SHAPE IOWIRE ( 4334000 180170 ) ( 4346000 180170 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4320590 179340 ) ( 4346000 179340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326590 173340 ) ( 4326590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326590 236940 ) ( 4384190 294540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4384190 294540 ) ( 4384190 870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5011790 4643340 ) ( 5104190 4643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5017790 4629000 ) ( 5017790 4649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 5023790 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4330000 175000 ) ( 4390190 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 169000 ) ( 4384190 870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 870540 ) ( 4297790 956940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4297790 956940 ) ( 4297790 1106940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4291790 1100940 ) ( 4311000 1100940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1094940 ) ( 4305000 1115000 ) - + ROUTED metal10 6860 + SHAPE IOWIRE ( 3824000 5821570 ) ( 3836000 5821570 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3824000 5824140 ) ( 3842990 5824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3836990 5795340 ) ( 3836990 5830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3750590 5708940 ) ( 3836990 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4297790 956940 ) ( 4297790 1049340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4291790 1043340 ) ( 4311000 1043340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1037340 ) ( 4305000 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5789340 ) ( 3830000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3802190 5795340 ) ( 3836000 5795340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3808190 5766540 ) ( 3808190 5801340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3750590 5708940 ) ( 3808190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3750590 4902540 ) ( 3750590 5708940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3664190 4816140 ) ( 3750590 4902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3664190 4637340 ) ( 3664190 4816140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3658190 4643340 ) ( 3671000 4643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4649340 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 2744000 ) ( 180195 2756000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 2736540 ) ( 179390 2756000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 2742540 ) ( 208190 2742540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2713740 ) ( 208190 2742540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2713740 ) ( 471000 2713740 ) - NEW metal10 7260 + SHAPE IOWIRE ( 459000 2711370 ) ( 471000 2711370 ) - + ROUTED metal10 10390 + SHAPE IOWIRE ( 180195 3704000 ) ( 180195 3716000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179390 3704000 ) ( 179390 3727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 173390 3721740 ) ( 208190 3721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 265790 3664140 ) ( 208190 3721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 265790 3664140 ) ( 471000 3664140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 3658140 ) ( 465000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3664190 4723740 ) ( 3664190 4816140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3658190 4729740 ) ( 3671000 4729740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4735740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2707740 ) ( 175000 2760000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 2713740 ) ( 386990 2713740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 2707740 ) ( 380990 2721000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 2715000 ) ( 465000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3710000 ) ( 214190 3710000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 208190 3686940 ) ( 208190 3716000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 202190 3692940 ) ( 386990 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 380990 3669000 ) ( 380990 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 374990 3675000 ) ( 465000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 2940 + SHAPE IOWIRE ( 5823000 2941470 ) ( 5827000 2941470 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2940940 ) ( 5827000 2940940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2940000 ) ( 5825000 2940000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2938000 ) ( 5811390 2942940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2940940 ) ( 5813390 2940940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2940940 ) ( 5763390 2979340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5532990 2979340 ) ( 5763390 2979340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5532990 2979340 ) ( 5475390 3036940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 3036940 ) ( 5475390 3036940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 5263000 3036970 ) ( 5267000 3036970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 3036940 ) ( 5475390 3036940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 3033000 ) ( 5321790 3038940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5323790 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 3828000 ) ( 176595 3832000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 3828000 ) ( 176190 3835740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 3833740 ) ( 204990 3833740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 272190 3766540 ) ( 204990 3833740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 272190 3766540 ) ( 540990 3766540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3830000 ) ( 197390 3830000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3824140 ) ( 195390 3832000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 252990 3766540 ) ( 195390 3824140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 252990 3766540 ) ( 540990 3766540 ) NEW metal10 4000 + SHAPE IOWIRE ( 579390 3728140 ) ( 540990 3766540 ) NEW metal10 4000 + SHAPE IOWIRE ( 579390 3728140 ) ( 1155390 3728140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3670540 ) ( 1155390 3728140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3670540 ) ( 1426190 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 3668540 ) ( 1424190 3677000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 3673000 ) ( 1423595 3677000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1203390 3680140 ) ( 1155390 3728140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1203390 3680140 ) ( 1368590 3680140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 3673000 ) ( 1366590 3682140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 3120000 ) ( 5825000 3125340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3123340 ) ( 5827000 3123340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3120000 ) ( 5825000 3120000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3118000 ) ( 5811390 3123340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3123340 ) ( 5801790 3132940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5187390 3132940 ) ( 5801790 3132940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5148990 3094540 ) ( 5187390 3132940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 3094540 ) ( 5148990 3094540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4515390 3036940 ) ( 4572990 3094540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 3036940 ) ( 4515390 3036940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 4303000 3036970 ) ( 4307000 3036970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 3036940 ) ( 4515390 3036940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 3033000 ) ( 4361790 3038940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4363790 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 3340 + SHAPE IOWIRE ( 173000 3651670 ) ( 177000 3651670 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 3651340 ) ( 195390 3651340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 224190 3622540 ) ( 195390 3651340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3650000 ) ( 197390 3650000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3639740 ) ( 195390 3652000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 3641740 ) ( 204990 3641740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 3622540 ) ( 204990 3641740 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 3622540 ) ( 838590 3622540 ) NEW metal10 4000 + SHAPE IOWIRE ( 838590 3622540 ) ( 886590 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 886590 3670540 ) ( 1107000 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1105000 3668540 ) ( 1105000 3675000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 886590 3670540 ) ( 1051790 3670540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1049790 3668540 ) ( 1049790 3677000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1047790 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 2140 + SHAPE IOWIRE ( 5823000 3201070 ) ( 5827000 3201070 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3200140 ) ( 5827000 3200140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3200000 ) ( 5825000 3200000 ) + NEW metal10 2140 + SHAPE IOWIRE ( 5809390 3199070 ) ( 5813390 3199070 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3200140 ) ( 5724990 3286540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5513790 3286540 ) ( 5724990 3286540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5513790 3286540 ) ( 5504190 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 3296140 ) ( 5504190 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 3296140 ) ( 4832190 3353740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 3353740 ) ( 4832190 3353740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 4623000 3353370 ) ( 4627000 3353370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 3353740 ) ( 4832190 3353740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 3351740 ) ( 4678590 3357000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4680590 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4030000 5818940 ) ( 4030000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4023790 5820940 ) ( 4032000 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4025790 5811340 ) ( 4025790 5822940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4030000 5809340 ) ( 4030000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4025790 5811340 ) ( 4032000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5772940 ) ( 4025790 5811340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5593000 ) ( 3987390 5772940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3989390 5595000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5646140 ) ( 3987390 5772940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 5648140 ) ( 3989390 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5650140 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4090000 5825000 ) ( 4094990 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4092990 5801740 ) ( 4092990 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4044990 5753740 ) ( 4092990 5801740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4044990 5542540 ) ( 4044990 5753740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4090000 5809340 ) ( 4090000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4083390 5811340 ) ( 4092000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4044990 5772940 ) ( 4083390 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4044990 5542540 ) ( 4044990 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5484940 ) ( 4044990 5542540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5273000 ) ( 3987390 5484940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3989390 5275000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5329340 ) ( 3987390 5484940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 5331340 ) ( 3989390 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5333340 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4244590 5825000 ) ( 4250000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4246590 5225740 ) ( 4246590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4250000 5809340 ) ( 4250000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4244590 5811340 ) ( 4252000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4246590 5225740 ) ( 4246590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5168140 ) ( 4246590 5225740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4304190 4954940 ) ( 4304190 5168140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4302190 4956940 ) ( 4307000 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 4303000 4956970 ) ( 4307000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5012540 ) ( 4304190 5168140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4302190 5014540 ) ( 4307000 5014540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5016540 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4300000 5818940 ) ( 4300000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4298000 5820940 ) ( 4306190 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5593000 ) ( 4304190 5822940 ) - NEW metal10 2810 + SHAPE IOWIRE ( 4303595 5593000 ) ( 4303595 5597000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4300000 5809340 ) ( 4300000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4298000 5811340 ) ( 4306190 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5646140 ) ( 4304190 5813340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4302190 5648140 ) ( 4307000 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5650140 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 2210 + SHAPE IOWIRE ( 4408895 5823000 ) ( 4408895 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4409790 5811340 ) ( 4409790 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4410000 5809340 ) ( 4410000 5825000 ) + NEW metal10 2210 + SHAPE IOWIRE ( 4410895 5809340 ) ( 4410895 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4361790 5763340 ) ( 4409790 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4361790 5532940 ) ( 4361790 5763340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5475340 ) ( 4361790 5532940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5273000 ) ( 4304190 5475340 ) - NEW metal10 2810 + SHAPE IOWIRE ( 4303595 5273000 ) ( 4303595 5277000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4304190 5329340 ) ( 4304190 5475340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4302190 5331340 ) ( 4307000 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5333340 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5040000 5818940 ) ( 5040000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5038000 5820940 ) ( 5045390 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5043390 5811340 ) ( 5043390 5822940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5004990 5772940 ) ( 5043390 5811340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5040000 5809340 ) ( 5040000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5031790 5811340 ) ( 5042000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5033790 5801740 ) ( 5033790 5813340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 5772940 ) ( 5033790 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5004990 5542540 ) ( 5004990 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4947390 5484940 ) ( 5004990 5542540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 5273000 ) ( 4947390 5484940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4949390 5275000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4947390 5329340 ) ( 4947390 5484940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4943000 5331340 ) ( 4949390 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5333340 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5300000 5825000 ) ( 5304590 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5302590 5801740 ) ( 5302590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5300000 5809340 ) ( 5300000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5298000 5811340 ) ( 5304590 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5302590 5801740 ) ( 5302590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5264190 5763340 ) ( 5302590 5801740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5264190 5593000 ) ( 5264190 5763340 ) - NEW metal10 2810 + SHAPE IOWIRE ( 5263595 5593000 ) ( 5263595 5597000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5264190 5646140 ) ( 5264190 5763340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5262190 5648140 ) ( 5267000 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5650140 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 5240000 ) ( 5825000 5240000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 5233340 ) ( 5820990 5242000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 5235340 ) ( 5822990 5235340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 5235340 ) ( 5772990 5273740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 5273740 ) ( 5772990 5273740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 5583000 5273370 ) ( 5587000 5273370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 5240000 ) ( 5825000 5240000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 5238000 ) ( 5811390 5246940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 5244940 ) ( 5813390 5244940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 5244940 ) ( 5772990 5273740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 5273740 ) ( 5772990 5273740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 5271740 ) ( 5638590 5277000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5640590 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 3860 + SHAPE IOWIRE ( 5823000 4928070 ) ( 5827000 4928070 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4928140 ) ( 5827000 4928140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4928140 ) ( 5782590 4956940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 4956940 ) ( 5782590 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 5583000 4956970 ) ( 5587000 4956970 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4930000 ) ( 5825000 4930000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4928000 ) ( 5811390 4939740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4937740 ) ( 5813390 4937740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4937740 ) ( 5782590 4956940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 4956940 ) ( 5782590 4956940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 4953000 ) ( 5638590 4958940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5640590 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4465390 5825000 ) ( 4470000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4467390 5811340 ) ( 4467390 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4470000 5809340 ) ( 4470000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4467390 5811340 ) ( 4472000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4371390 5715340 ) ( 4467390 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4371390 5523340 ) ( 4371390 5715340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4361790 5513740 ) ( 4371390 5523340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4361790 4899340 ) ( 4361790 5513740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4304190 4841740 ) ( 4361790 4899340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4304190 4633000 ) ( 4304190 4841740 ) - NEW metal10 2810 + SHAPE IOWIRE ( 4303595 4633000 ) ( 4303595 4637000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4304190 4686140 ) ( 4304190 4841740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4302190 4688140 ) ( 4307000 4688140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4690140 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4714990 5825000 ) ( 4720000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4716990 5811340 ) ( 4716990 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4688190 5782540 ) ( 4716990 5811340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4688190 5763340 ) ( 4688190 5782540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4678590 5753740 ) ( 4688190 5763340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4678590 5542540 ) ( 4678590 5753740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 5484940 ) ( 4678590 5542540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 5273000 ) ( 4620990 5484940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4618990 5275000 ) ( 4625000 5275000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4720000 5809340 ) ( 4720000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4716990 5811340 ) ( 4722000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 5772940 ) ( 4716990 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 5542540 ) ( 4678590 5772940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4630590 5494540 ) ( 4678590 5542540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4630590 5329340 ) ( 4630590 5494540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4623000 5331340 ) ( 4632590 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5333340 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 4780000 5818940 ) ( 4780000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4778000 5820940 ) ( 4786190 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4784190 5801740 ) ( 4784190 5822940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4688190 5705740 ) ( 4784190 5801740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4688190 5532940 ) ( 4688190 5705740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4780000 5809340 ) ( 4780000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4774590 5811340 ) ( 4782000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4688190 5724940 ) ( 4774590 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4688190 5532940 ) ( 4688190 5724940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4678590 5523340 ) ( 4688190 5532940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4678590 4889740 ) ( 4678590 5523340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 4832140 ) ( 4678590 4889740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 4633000 ) ( 4620990 4832140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4618990 4635000 ) ( 4625000 4635000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4630590 4841740 ) ( 4678590 4889740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4630590 4686140 ) ( 4630590 4841740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4623000 4688140 ) ( 4632590 4688140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4690140 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 2940 + SHAPE IOWIRE ( 5823000 4861470 ) ( 5827000 4861470 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4860940 ) ( 5827000 4860940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4860000 ) ( 5825000 4860000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4858000 ) ( 5811390 4862940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4860940 ) ( 5813390 4860940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4860940 ) ( 5763390 4899340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5532990 4899340 ) ( 5763390 4899340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5532990 4899340 ) ( 5475390 4956940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 4956940 ) ( 5475390 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 5263000 4956970 ) ( 5267000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 4956940 ) ( 5475390 4956940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 4953000 ) ( 5321790 4958940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5323790 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 4540000 ) ( 5825000 4540000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 4538000 ) ( 5820990 4546140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4544140 ) ( 5822990 4544140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4540000 ) ( 5825000 4540000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4538000 ) ( 5811390 4544140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4544140 ) ( 5772990 4582540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 4582540 ) ( 5772990 4582540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 4582540 ) ( 5475390 4630540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 4630540 ) ( 5475390 4630540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5265000 4628540 ) ( 5265000 4635000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 4630540 ) ( 5475390 4630540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 4628540 ) ( 5321790 4637000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5323790 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 4215740 ) ( 5825000 4220000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4217740 ) ( 5827000 4217740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4217740 ) ( 5772990 4256140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4220000 ) ( 5825000 4220000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4218000 ) ( 5811390 4229340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4227340 ) ( 5813390 4227340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4227340 ) ( 5772990 4256140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 4256140 ) ( 5772990 4256140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 4256140 ) ( 5465790 4313740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 4313740 ) ( 5465790 4313740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 5263000 4313370 ) ( 5267000 4313370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 4313740 ) ( 5465790 4313740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 4311740 ) ( 5321790 4317000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5323790 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 2140 + SHAPE IOWIRE ( 5823000 4161070 ) ( 5827000 4161070 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4160140 ) ( 5827000 4160140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4160000 ) ( 5825000 4160000 ) + NEW metal10 2140 + SHAPE IOWIRE ( 5809390 4159070 ) ( 5813390 4159070 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4160140 ) ( 5724990 4246540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5513790 4246540 ) ( 5724990 4246540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5513790 4246540 ) ( 5504190 4256140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 4256140 ) ( 5504190 4256140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 4256140 ) ( 4832190 4313740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 4313740 ) ( 4832190 4313740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 4623000 4313370 ) ( 4627000 4313370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 4313740 ) ( 4832190 4313740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 4311740 ) ( 4678590 4317000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4680590 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 4080000 ) ( 5825000 4085340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4083340 ) ( 5827000 4083340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5792190 4064140 ) ( 5811390 4083340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4080000 ) ( 5825000 4080000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4071740 ) ( 5811390 4082000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4073740 ) ( 5813390 4073740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5792190 4064140 ) ( 5801790 4073740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5196990 4064140 ) ( 5792190 4064140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5187390 4054540 ) ( 5196990 4064140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 4054540 ) ( 5187390 4054540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4515390 3996940 ) ( 4572990 4054540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 3996940 ) ( 4515390 3996940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 4303000 3996970 ) ( 4307000 3996970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 3996940 ) ( 4515390 3996940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 3993000 ) ( 4361790 3998940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4363790 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 2660 + SHAPE IOWIRE ( 5823000 3698670 ) ( 5827000 3698670 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3699340 ) ( 5827000 3699340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3699340 ) ( 5782590 3728140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3700000 ) ( 5825000 3700000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3698000 ) ( 5811390 3710940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3708940 ) ( 5813390 3708940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3708940 ) ( 5782590 3728140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5206590 3728140 ) ( 5782590 3728140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5148990 3670540 ) ( 5206590 3728140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945390 3670540 ) ( 5148990 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 3668540 ) ( 4947390 3677000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 4949390 3675000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5158590 3680140 ) ( 5206590 3728140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5002990 3680140 ) ( 5158590 3680140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 3673000 ) ( 5004990 3682140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5006990 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 3740 + SHAPE IOWIRE ( 5823000 3641870 ) ( 5827000 3641870 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3641740 ) ( 5827000 3641740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3640000 ) ( 5825000 3640000 ) + NEW metal10 3740 + SHAPE IOWIRE ( 5809390 3639870 ) ( 5813390 3639870 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3641740 ) ( 5782590 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 3670540 ) ( 5782590 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5585000 3668540 ) ( 5585000 3675000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 3670540 ) ( 5782590 3670540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 3668540 ) ( 5638590 3677000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5640590 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 2260 + SHAPE IOWIRE ( 5823000 3448870 ) ( 5827000 3448870 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5158590 3449740 ) ( 5827000 3449740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3450000 ) ( 5825000 3450000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3447740 ) ( 5811390 3452000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5158590 3449740 ) ( 5813390 3449740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5120190 3411340 ) ( 5158590 3449740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4563390 3411340 ) ( 5120190 3411340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4505790 3353740 ) ( 4563390 3411340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 3353740 ) ( 4505790 3353740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 4303000 3353370 ) ( 4307000 3353370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 3353740 ) ( 4505790 3353740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 3351740 ) ( 4361790 3357000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4363790 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 3265340 ) ( 5825000 3270000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3267340 ) ( 5827000 3267340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3270000 ) ( 5825000 3270000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3265340 ) ( 5811390 3272000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3267340 ) ( 5813390 3267340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3267340 ) ( 5772990 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 3296140 ) ( 5772990 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 3296140 ) ( 5465790 3353740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 3353740 ) ( 5465790 3353740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 5263000 3353370 ) ( 5267000 3353370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 3353740 ) ( 5465790 3353740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 3351740 ) ( 5321790 3357000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5323790 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 4020000 ) ( 5825000 4020000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 4014140 ) ( 5820990 4022000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4016140 ) ( 5822990 4016140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 4016140 ) ( 5763390 4054540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5206590 4054540 ) ( 5763390 4054540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 4020000 ) ( 5825000 4020000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4018000 ) ( 5811390 4025740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 4025740 ) ( 5782590 4054540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5206590 4054540 ) ( 5782590 4054540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5148990 3996940 ) ( 5206590 4054540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945390 3996940 ) ( 5148990 3996940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 3993000 ) ( 4947390 3998940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 4949390 3995000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5002990 3996940 ) ( 5148990 3996940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 3993000 ) ( 5004990 3998940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5006990 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 3840000 ) ( 5825000 3845340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3843340 ) ( 5827000 3843340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3840000 ) ( 5825000 3840000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3838000 ) ( 5811390 3845340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3843340 ) ( 5813390 3843340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3843340 ) ( 5734590 3910540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5465790 3910540 ) ( 5734590 3910540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5465790 3910540 ) ( 5436990 3939340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4880190 3939340 ) ( 5436990 3939340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4880190 3939340 ) ( 4822590 3996940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 3996940 ) ( 4822590 3996940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 4623000 3996970 ) ( 4627000 3996970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 3996940 ) ( 4822590 3996940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 3993000 ) ( 4678590 3998940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4680590 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 3764540 ) ( 5825000 3770000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3766540 ) ( 5827000 3766540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 3770000 ) ( 5825000 3770000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 3764540 ) ( 5811390 3772000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 3766540 ) ( 5813390 3766540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5772990 3737740 ) ( 5801790 3766540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5196990 3737740 ) ( 5772990 3737740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5187390 3728140 ) ( 5196990 3737740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 3728140 ) ( 5187390 3728140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4515390 3670540 ) ( 4572990 3728140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 3670540 ) ( 4515390 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4305000 3668540 ) ( 4305000 3675000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4524990 3680140 ) ( 4572990 3728140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 3680140 ) ( 4524990 3680140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 3673000 ) ( 4361790 3682140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4363790 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 2860000 5818940 ) ( 2860000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2858000 5820940 ) ( 2866190 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2864190 5801740 ) ( 2864190 5822940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2816190 5753740 ) ( 2864190 5801740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2816190 5504140 ) ( 2816190 5753740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2860000 5809340 ) ( 2860000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2854590 5811340 ) ( 2862000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2816190 5772940 ) ( 2854590 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2816190 5504140 ) ( 2816190 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2758590 5446540 ) ( 2816190 5504140 ) NEW metal10 4000 + SHAPE IOWIRE ( 2758590 4889740 ) ( 2758590 5446540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2700990 4832140 ) ( 2758590 4889740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2700990 4633000 ) ( 2700990 4832140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2698990 4635000 ) ( 2705000 4635000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2710590 4841740 ) ( 2758590 4889740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2710590 4686140 ) ( 2710590 4841740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2703000 4688140 ) ( 2712590 4688140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4690140 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 2990 + SHAPE IOWIRE ( 2941495 5823000 ) ( 2941495 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2940990 5801740 ) ( 2940990 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2940000 5809340 ) ( 2940000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2938000 5811340 ) ( 2942990 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2940990 5801740 ) ( 2940990 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2931390 5792140 ) ( 2940990 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2931390 5177740 ) ( 2931390 5792140 ) NEW metal10 4000 + SHAPE IOWIRE ( 2969790 5139340 ) ( 2931390 5177740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2969790 4582540 ) ( 2969790 5139340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3027390 4524940 ) ( 2969790 4582540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3027390 4313000 ) ( 3027390 4524940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3029390 4315000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3027390 4369340 ) ( 3027390 4524940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3023000 4371340 ) ( 3029390 4371340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4373340 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 3070000 5818940 ) ( 3070000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3063790 5820940 ) ( 3072000 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3065790 5811340 ) ( 3065790 5822940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3070000 5809340 ) ( 3070000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3065790 5811340 ) ( 3072000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3027390 5772940 ) ( 3065790 5811340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3027390 5593000 ) ( 3027390 5772940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3029390 5595000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3027390 5646140 ) ( 3027390 5772940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3023000 5648140 ) ( 3029390 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5650140 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 3340000 5818940 ) ( 3340000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3338000 5820940 ) ( 3346190 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3344190 5801740 ) ( 3344190 5822940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3286590 5744140 ) ( 3344190 5801740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3340000 5809340 ) ( 3340000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3334590 5811340 ) ( 3342000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3305790 5782540 ) ( 3334590 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3305790 5763340 ) ( 3305790 5782540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3286590 5744140 ) ( 3305790 5763340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3286590 5225740 ) ( 3286590 5744140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3344190 5168140 ) ( 3286590 5225740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3344190 4954940 ) ( 3344190 5168140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3342190 4956940 ) ( 3347000 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 3343000 4956970 ) ( 3347000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3344190 5012540 ) ( 3344190 5168140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3342190 5014540 ) ( 3347000 5014540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5016540 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 2590 + SHAPE IOWIRE ( 3191295 5823000 ) ( 3191295 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3190590 5801740 ) ( 3190590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3190000 5809340 ) ( 3190000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3188000 5811340 ) ( 3192590 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3190590 5801740 ) ( 3190590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3132990 5744140 ) ( 3190590 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3132990 5532940 ) ( 3132990 5744140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3084990 5484940 ) ( 3132990 5532940 ) NEW metal10 4000 + SHAPE IOWIRE ( 3084990 4908940 ) ( 3084990 5484940 ) NEW metal10 4000 + SHAPE IOWIRE ( 3027390 4851340 ) ( 3084990 4908940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3027390 4633000 ) ( 3027390 4851340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3029390 4635000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3027390 4686140 ) ( 3027390 4851340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3023000 4688140 ) ( 3029390 4688140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4690140 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 4018000 ) ( 176595 4022000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 4014140 ) ( 176190 4022000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 4016140 ) ( 195390 4016140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4020000 ) ( 197390 4020000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4016140 ) ( 195390 4022000 ) NEW metal10 4000 + SHAPE IOWIRE ( 214590 3996940 ) ( 195390 4016140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 214590 3996940 ) ( 466190 3996940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 3993000 ) ( 464190 3998940 ) - NEW metal10 2810 + SHAPE IOWIRE ( 463595 3993000 ) ( 463595 3997000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 214590 3996940 ) ( 408590 3996940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 406590 3993000 ) ( 406590 3998940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 404590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 4078000 ) ( 176595 4082000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 4078000 ) ( 176190 4085340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 4083340 ) ( 204990 4083340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 4054540 ) ( 204990 4083340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 4054540 ) ( 521790 4054540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4080000 ) ( 197390 4080000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4073740 ) ( 195390 4082000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 214590 4054540 ) ( 195390 4073740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 214590 4054540 ) ( 521790 4054540 ) NEW metal10 4000 + SHAPE IOWIRE ( 579390 3996940 ) ( 521790 4054540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 579390 3996940 ) ( 782990 3996940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 3993000 ) ( 780990 3998940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 3995000 ) ( 785000 3995000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 579390 3996940 ) ( 734990 3996940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 732990 3993000 ) ( 732990 3998940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 730990 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 4148000 ) ( 176595 4152000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 4148000 ) ( 176190 4152540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 4150540 ) ( 195390 4150540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 281790 4064140 ) ( 195390 4150540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4150000 ) ( 197390 4150000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4138940 ) ( 195390 4152000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 4140940 ) ( 204990 4140940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 281790 4064140 ) ( 204990 4140940 ) NEW metal10 4000 + SHAPE IOWIRE ( 281790 4064140 ) ( 531390 4064140 ) NEW metal10 4000 + SHAPE IOWIRE ( 540990 4054540 ) ( 531390 4064140 ) NEW metal10 4000 + SHAPE IOWIRE ( 540990 4054540 ) ( 1155390 4054540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3996940 ) ( 1155390 4054540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3996940 ) ( 1426190 3996940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 3993000 ) ( 1424190 3998940 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 3993000 ) ( 1423595 3997000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3996940 ) ( 1368590 3996940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 3993000 ) ( 1366590 3998940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 4218000 ) ( 176595 4222000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 4215740 ) ( 176190 4222000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 4217740 ) ( 204990 4217740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4220000 ) ( 197390 4220000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4215740 ) ( 195390 4222000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 4217740 ) ( 204990 4217740 ) NEW metal10 4000 + SHAPE IOWIRE ( 204990 4217740 ) ( 214590 4227340 ) NEW metal10 4000 + SHAPE IOWIRE ( 214590 4227340 ) ( 905790 4227340 ) NEW metal10 4000 + SHAPE IOWIRE ( 905790 4227340 ) ( 934590 4256140 ) NEW metal10 4000 + SHAPE IOWIRE ( 934590 4256140 ) ( 1472190 4256140 ) NEW metal10 4000 + SHAPE IOWIRE ( 1472190 4256140 ) ( 1529790 4313740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1529790 4313740 ) ( 1742990 4313740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 4311740 ) ( 1740990 4317000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 4315000 ) ( 1745000 4315000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1529790 4313740 ) ( 1694990 4313740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 4311740 ) ( 1692990 4317000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 2140 + SHAPE IOWIRE ( 173000 4401070 ) ( 177000 4401070 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 4400140 ) ( 195390 4400140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 224190 4371340 ) ( 195390 4400140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4400000 ) ( 197390 4400000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4388540 ) ( 195390 4402000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 4390540 ) ( 204990 4390540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 4371340 ) ( 204990 4390540 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 4371340 ) ( 512190 4371340 ) NEW metal10 4000 + SHAPE IOWIRE ( 569790 4313740 ) ( 512190 4371340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 569790 4313740 ) ( 782990 4313740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 4311740 ) ( 780990 4317000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 4315000 ) ( 785000 4315000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 569790 4313740 ) ( 734990 4313740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 732990 4311740 ) ( 732990 4317000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 730990 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 5038000 ) ( 176595 5042000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 5038000 ) ( 176190 5045340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 5043340 ) ( 204990 5043340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 5014540 ) ( 204990 5043340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 5014540 ) ( 521790 5014540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 5040000 ) ( 197390 5040000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 5033740 ) ( 195390 5042000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 214590 5014540 ) ( 195390 5033740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 214590 5014540 ) ( 521790 5014540 ) NEW metal10 4000 + SHAPE IOWIRE ( 579390 4956940 ) ( 521790 5014540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 579390 4956940 ) ( 782990 4956940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 4953000 ) ( 780990 4958940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 4955000 ) ( 785000 4955000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 579390 4956940 ) ( 734990 4956940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 732990 4953000 ) ( 732990 4958940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 730990 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 5298000 ) ( 176595 5302000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 5298000 ) ( 176190 5304540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 5302540 ) ( 195390 5302540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 224190 5273740 ) ( 195390 5302540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 224190 5273740 ) ( 466190 5273740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 5271740 ) ( 464190 5277000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 463595 5273000 ) ( 463595 5277000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 5300000 ) ( 197390 5300000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 5290940 ) ( 195390 5302000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 5292940 ) ( 204990 5292940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 5273740 ) ( 204990 5292940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 5273740 ) ( 408590 5273740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 406590 5271740 ) ( 406590 5277000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 404590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 2260 + SHAPE IOWIRE ( 173000 5368870 ) ( 177000 5368870 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 5369740 ) ( 204990 5369740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 5370000 ) ( 197390 5370000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 5367740 ) ( 195390 5372000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 5369740 ) ( 204990 5369740 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 5331340 ) ( 204990 5369740 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 5331340 ) ( 512190 5331340 ) NEW metal10 4000 + SHAPE IOWIRE ( 569790 5273740 ) ( 512190 5331340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 569790 5273740 ) ( 782990 5273740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 5271740 ) ( 780990 5277000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 5275000 ) ( 785000 5275000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 569790 5273740 ) ( 734990 5273740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 732990 5271740 ) ( 732990 5277000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 730990 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 2660 + SHAPE IOWIRE ( 173000 5618670 ) ( 177000 5618670 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 5619340 ) ( 204990 5619340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 5590540 ) ( 204990 5619340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 5590540 ) ( 466190 5590540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 5588540 ) ( 464190 5597000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 463595 5593000 ) ( 463595 5597000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 5620000 ) ( 197390 5620000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 5617340 ) ( 195390 5622000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 5619340 ) ( 204990 5619340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 5600140 ) ( 204990 5619340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 5600140 ) ( 408590 5600140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 406590 5593000 ) ( 406590 5602140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 404590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 4458000 ) ( 176595 4462000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 4455740 ) ( 176190 4462000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 4457740 ) ( 195390 4457740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4460000 ) ( 197390 4460000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4457740 ) ( 195390 4462000 ) NEW metal10 4000 + SHAPE IOWIRE ( 272190 4380940 ) ( 195390 4457740 ) NEW metal10 4000 + SHAPE IOWIRE ( 272190 4380940 ) ( 521790 4380940 ) NEW metal10 4000 + SHAPE IOWIRE ( 531390 4371340 ) ( 521790 4380940 ) NEW metal10 4000 + SHAPE IOWIRE ( 531390 4371340 ) ( 1164990 4371340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1222590 4313740 ) ( 1164990 4371340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1222590 4313740 ) ( 1426190 4313740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 4311740 ) ( 1424190 4317000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 4313000 ) ( 1423595 4317000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1222590 4313740 ) ( 1368590 4313740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 4311740 ) ( 1366590 4317000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 2940 + SHAPE IOWIRE ( 173000 4621470 ) ( 177000 4621470 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 4620940 ) ( 204990 4620940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4620000 ) ( 197390 4620000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4618000 ) ( 195390 4622940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 4620940 ) ( 204990 4620940 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 4582540 ) ( 204990 4620940 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 4582540 ) ( 838590 4582540 ) NEW metal10 4000 + SHAPE IOWIRE ( 838590 4582540 ) ( 886590 4630540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 886590 4630540 ) ( 1107000 4630540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1105000 4628540 ) ( 1105000 4635000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 886590 4630540 ) ( 1051790 4630540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1049790 4628540 ) ( 1049790 4637000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1047790 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 4678000 ) ( 176595 4682000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 4676540 ) ( 176190 4682000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 4678540 ) ( 204990 4678540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 252990 4630540 ) ( 204990 4678540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 252990 4630540 ) ( 466190 4630540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 4628540 ) ( 464190 4637000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 463595 4633000 ) ( 463595 4637000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 4680000 ) ( 197390 4680000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 4676540 ) ( 195390 4682000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 4678540 ) ( 204990 4678540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 243390 4640140 ) ( 204990 4678540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 243390 4640140 ) ( 408590 4640140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 406590 4633000 ) ( 406590 4642140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 404590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 1200000 5818940 ) ( 1200000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1198000 5820940 ) ( 1205390 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1203390 5811340 ) ( 1203390 5822940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1164990 5772940 ) ( 1203390 5811340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1200000 5809340 ) ( 1200000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1191790 5811340 ) ( 1202000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1193790 5801740 ) ( 1193790 5813340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1164990 5772940 ) ( 1193790 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 1164990 5542540 ) ( 1164990 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1107390 5484940 ) ( 1164990 5542540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1107390 5273000 ) ( 1107390 5484940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1109390 5275000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1107390 5329340 ) ( 1107390 5484940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1103000 5331340 ) ( 1109390 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5333340 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 1400000 5818940 ) ( 1400000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1393390 5820940 ) ( 1402000 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1395390 5811340 ) ( 1395390 5822940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1400000 5809340 ) ( 1400000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1395390 5811340 ) ( 1402000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1366590 5782540 ) ( 1395390 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1366590 5225740 ) ( 1366590 5782540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1424190 5168140 ) ( 1366590 5225740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 4954940 ) ( 1424190 5168140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1422190 4956940 ) ( 1427000 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 1423000 4956970 ) ( 1427000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1424190 5012540 ) ( 1424190 5168140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1422190 5014540 ) ( 1427000 5014540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5016540 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 1470000 5825000 ) ( 1474190 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1472190 5811340 ) ( 1472190 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 5763340 ) ( 1472190 5811340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 5593000 ) ( 1424190 5763340 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 5593000 ) ( 1423595 5597000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1470000 5809340 ) ( 1470000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1460590 5811340 ) ( 1472000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1462590 5801740 ) ( 1462590 5813340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1424190 5763340 ) ( 1462590 5801740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1424190 5646140 ) ( 1424190 5763340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1422190 5648140 ) ( 1427000 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5650140 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 2610 + SHAPE IOWIRE ( 1778695 5823000 ) ( 1778695 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1779390 5811340 ) ( 1779390 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 5772940 ) ( 1779390 5811340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 5593000 ) ( 1740990 5772940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 5595000 ) ( 1745000 5595000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1780000 5809340 ) ( 1780000 5825000 ) + NEW metal10 2610 + SHAPE IOWIRE ( 1780695 5809340 ) ( 1780695 5813340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1750590 5782540 ) ( 1779390 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1750590 5646140 ) ( 1750590 5782540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1743000 5648140 ) ( 1752590 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5650140 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 2990 + SHAPE IOWIRE ( 1981495 5823000 ) ( 1981495 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1980990 5801740 ) ( 1980990 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1980000 5809340 ) ( 1980000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1978000 5811340 ) ( 1982990 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1980990 5801740 ) ( 1980990 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2000190 5782540 ) ( 1980990 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2000190 5206540 ) ( 2000190 5782540 ) NEW metal10 4000 + SHAPE IOWIRE ( 2009790 5196940 ) ( 2000190 5206540 ) NEW metal10 4000 + SHAPE IOWIRE ( 2009790 4582540 ) ( 2009790 5196940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2067390 4524940 ) ( 2009790 4582540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 4313000 ) ( 2067390 4524940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2069390 4315000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 4369340 ) ( 2067390 4524940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 4371340 ) ( 2069390 4371340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4373340 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 2360000 5818940 ) ( 2360000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2353390 5820940 ) ( 2362000 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2355390 5811340 ) ( 2355390 5822940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2360000 5809340 ) ( 2360000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2355390 5811340 ) ( 2362000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2326590 5782540 ) ( 2355390 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2326590 5225740 ) ( 2326590 5782540 ) NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5168140 ) ( 2326590 5225740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2384190 4954940 ) ( 2384190 5168140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2382190 4956940 ) ( 2387000 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 2383000 4956970 ) ( 2387000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5012540 ) ( 2384190 5168140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2382190 5014540 ) ( 2387000 5014540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5016540 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 2420000 5825000 ) ( 2424590 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2422590 5801740 ) ( 2422590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2420000 5809340 ) ( 2420000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2418000 5811340 ) ( 2424590 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2422590 5801740 ) ( 2422590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5763340 ) ( 2422590 5801740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5593000 ) ( 2384190 5763340 ) - NEW metal10 2810 + SHAPE IOWIRE ( 2383595 5593000 ) ( 2383595 5597000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5646140 ) ( 2384190 5763340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2382190 5648140 ) ( 2387000 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5650140 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 2210 + SHAPE IOWIRE ( 2488895 5823000 ) ( 2488895 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2489790 5811340 ) ( 2489790 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2490000 5809340 ) ( 2490000 5825000 ) + NEW metal10 2210 + SHAPE IOWIRE ( 2490895 5809340 ) ( 2490895 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2441790 5763340 ) ( 2489790 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2441790 5532940 ) ( 2441790 5763340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5475340 ) ( 2441790 5532940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5273000 ) ( 2384190 5475340 ) - NEW metal10 2810 + SHAPE IOWIRE ( 2383595 5273000 ) ( 2383595 5277000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2384190 5329340 ) ( 2384190 5475340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2382190 5331340 ) ( 2387000 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5333340 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 2545390 5825000 ) ( 2550000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2547390 5811340 ) ( 2547390 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2550000 5809340 ) ( 2550000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2547390 5811340 ) ( 2552000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2451390 5715340 ) ( 2547390 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2451390 5523340 ) ( 2451390 5715340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2441790 5513740 ) ( 2451390 5523340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2441790 4899340 ) ( 2441790 5513740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2384190 4841740 ) ( 2441790 4899340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2384190 4633000 ) ( 2384190 4841740 ) - NEW metal10 2810 + SHAPE IOWIRE ( 2383595 4633000 ) ( 2383595 4637000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2384190 4686140 ) ( 2384190 4841740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2382190 4688140 ) ( 2387000 4688140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4690140 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 3410 + SHAPE IOWIRE ( 2038295 5823000 ) ( 2038295 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2038590 5801740 ) ( 2038590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2040000 5809340 ) ( 2040000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2036590 5811340 ) ( 2042000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2038590 5801740 ) ( 2038590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2009790 5772940 ) ( 2038590 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2009790 5216140 ) ( 2009790 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5158540 ) ( 2009790 5216140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 4954940 ) ( 2067390 5158540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2063000 4956940 ) ( 2069390 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 2063000 4956970 ) ( 2067000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5012540 ) ( 2067390 5158540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 5014540 ) ( 2069390 5014540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5016540 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 2110000 5818940 ) ( 2110000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2103790 5820940 ) ( 2112000 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2105790 5811340 ) ( 2105790 5822940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2110000 5809340 ) ( 2110000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2105790 5811340 ) ( 2112000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5772940 ) ( 2105790 5811340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5593000 ) ( 2067390 5772940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2069390 5595000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5646140 ) ( 2067390 5772940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 5648140 ) ( 2069390 5648140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5650140 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 2170000 5825000 ) ( 2174990 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2172990 5801740 ) ( 2172990 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2124990 5753740 ) ( 2172990 5801740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2124990 5542540 ) ( 2124990 5753740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2170000 5809340 ) ( 2170000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2163390 5811340 ) ( 2172000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2124990 5772940 ) ( 2163390 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2124990 5542540 ) ( 2124990 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5484940 ) ( 2124990 5542540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5273000 ) ( 2067390 5484940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2069390 5275000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 5329340 ) ( 2067390 5484940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 5331340 ) ( 2069390 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5333340 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 3410 + SHAPE IOWIRE ( 3958295 5823000 ) ( 3958295 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3958590 5801740 ) ( 3958590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3960000 5809340 ) ( 3960000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3956590 5811340 ) ( 3962000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3958590 5801740 ) ( 3958590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3929790 5772940 ) ( 3958590 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3929790 5216140 ) ( 3929790 5772940 ) NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5158540 ) ( 3929790 5216140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 4954940 ) ( 3987390 5158540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3983000 4956940 ) ( 3989390 4956940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 3983000 4956970 ) ( 3987000 4956970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 5012540 ) ( 3987390 5158540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 5014540 ) ( 3989390 5014540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5016540 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3318000 176570 ) ( 3322000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3313390 176140 ) ( 3322000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3315390 174140 ) ( 3315390 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3315390 204940 ) ( 3344190 233740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3344190 233740 ) ( 3344190 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3342190 473740 ) ( 3347000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 3343000 473370 ) ( 3347000 473370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3318000 195340 ) ( 3324990 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3324990 195340 ) ( 3344190 214540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3344190 214540 ) ( 3344190 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3342190 416140 ) ( 3347000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3345000 414140 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2158000 176570 ) ( 2162000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2158000 176140 ) ( 2165390 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2163390 174140 ) ( 2163390 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2163390 204940 ) ( 2134590 233740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2134590 233740 ) ( 2134590 848140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2153790 195340 ) ( 2162000 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2153790 195340 ) ( 2134590 214540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2134590 214540 ) ( 2134590 848140 ) NEW metal10 4000 + SHAPE IOWIRE ( 2134590 848140 ) ( 2124990 857740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2124990 857740 ) ( 2124990 1491340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2124990 1491340 ) ( 2067390 1548940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 1548940 ) ( 2067390 1752540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2063000 1750540 ) ( 2069390 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2065000 1748540 ) ( 2065000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 1548940 ) ( 2067390 1704540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 1702540 ) ( 2069390 1702540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 1700540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2098000 176570 ) ( 2102000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2094190 176140 ) ( 2102000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2096190 174140 ) ( 2096190 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2096190 195340 ) ( 2124990 224140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2100000 175000 ) ( 2100000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2098000 195340 ) ( 2107790 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2105790 193340 ) ( 2105790 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2105790 204940 ) ( 2124990 224140 ) NEW metal10 4000 + SHAPE IOWIRE ( 2124990 224140 ) ( 2124990 838540 ) NEW metal10 4000 + SHAPE IOWIRE ( 2124990 838540 ) ( 2067390 896140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 896140 ) ( 2067390 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2065000 1115000 ) ( 2069390 1115000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 896140 ) ( 2067390 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 1059340 ) ( 2069390 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 1057340 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1918000 176570 ) ( 1922000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1918000 176140 ) ( 1925390 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1923390 174140 ) ( 1923390 195340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1918000 195340 ) ( 1923390 195340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1923390 195340 ) ( 1980990 252940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1980990 252940 ) ( 1980990 560140 ) NEW metal10 4000 + SHAPE IOWIRE ( 1980990 560140 ) ( 2009790 588940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2009790 588940 ) ( 2009790 1164940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2009790 1164940 ) ( 2067390 1222540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2067390 1222540 ) ( 2067390 1435740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2063000 1433740 ) ( 2069390 1433740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 2063000 1433370 ) ( 2067000 1433370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2067390 1222540 ) ( 2067390 1378140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2063000 1376140 ) ( 2069390 1376140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2065000 1374140 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1848000 176570 ) ( 1852000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1844590 176140 ) ( 1852000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1846590 174140 ) ( 1846590 195340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1846590 195340 ) ( 1852000 195340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1846590 195340 ) ( 1808190 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 1808190 233740 ) ( 1808190 867340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1808190 867340 ) ( 1798590 876940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1798590 876940 ) ( 1798590 1491340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1798590 1491340 ) ( 1740990 1548940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 1548940 ) ( 1740990 1752540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 1750540 ) ( 1747000 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1745000 1748540 ) ( 1745000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1798590 1491340 ) ( 1750590 1539340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1750590 1539340 ) ( 1750590 1704540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1743000 1702540 ) ( 1752590 1702540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1745000 1700540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1778000 176570 ) ( 1782000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1777390 176140 ) ( 1782000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1779390 174140 ) ( 1779390 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1777390 195340 ) ( 1782000 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1779390 193340 ) ( 1779390 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1779390 204940 ) ( 1798590 224140 ) NEW metal10 4000 + SHAPE IOWIRE ( 1798590 224140 ) ( 1798590 857740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1798590 857740 ) ( 1740990 915340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 915340 ) ( 1740990 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 1115000 ) ( 1745000 1115000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1798590 857740 ) ( 1750590 905740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1750590 905740 ) ( 1750590 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1743000 1059340 ) ( 1752590 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1745000 1057340 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1718000 176570 ) ( 1722000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1718000 176140 ) ( 1723790 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1721790 174140 ) ( 1721790 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1718000 195340 ) ( 1723790 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1721790 193340 ) ( 1721790 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1721790 204940 ) ( 1740990 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 224140 ) ( 1740990 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 473740 ) ( 1747000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 1743000 473370 ) ( 1747000 473370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1740990 224140 ) ( 1740990 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1738990 416140 ) ( 1747000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1745000 414140 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3128000 176570 ) ( 3132000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3128000 176140 ) ( 3134990 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3132990 174140 ) ( 3132990 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3132990 195340 ) ( 3094590 233740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3121390 195340 ) ( 3132000 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3123390 193340 ) ( 3123390 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3123390 204940 ) ( 3094590 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3094590 233740 ) ( 3094590 848140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3094590 848140 ) ( 3084990 857740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3084990 857740 ) ( 3084990 1491340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3084990 1491340 ) ( 3027390 1548940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3027390 1548940 ) ( 3027390 1752540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3023000 1750540 ) ( 3029390 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3025000 1748540 ) ( 3025000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3027390 1548940 ) ( 3027390 1704540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3023000 1702540 ) ( 3029390 1702540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3025000 1700540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3058000 176570 ) ( 3062000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3054190 176140 ) ( 3062000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3056190 174140 ) ( 3056190 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3056190 195340 ) ( 3084990 224140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3058000 195340 ) ( 3067790 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3065790 193340 ) ( 3065790 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3065790 204940 ) ( 3084990 224140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3084990 224140 ) ( 3084990 838540 ) NEW metal10 4000 + SHAPE IOWIRE ( 3084990 838540 ) ( 3027390 896140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3027390 896140 ) ( 3027390 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3025000 1115000 ) ( 3029390 1115000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3027390 896140 ) ( 3027390 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3023000 1059340 ) ( 3029390 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3025000 1057340 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2998000 176570 ) ( 3002000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2996590 176140 ) ( 3002000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2998590 174140 ) ( 2998590 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2998590 195340 ) ( 3027390 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3027390 224140 ) ( 3027390 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3023000 473740 ) ( 3029390 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 3023000 473370 ) ( 3027000 473370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2998000 195340 ) ( 3010190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3008190 193340 ) ( 3008190 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3008190 204940 ) ( 3027390 224140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3027390 224140 ) ( 3027390 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3023000 416140 ) ( 3029390 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3025000 414140 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2808000 176570 ) ( 2812000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2804590 176140 ) ( 2812000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2806590 174140 ) ( 2806590 195340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2806590 195340 ) ( 2812000 195340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2806590 195340 ) ( 2768190 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2768190 233740 ) ( 2768190 867340 ) NEW metal10 4000 + SHAPE IOWIRE ( 2768190 867340 ) ( 2758590 876940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2758590 876940 ) ( 2758590 1491340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2758590 1491340 ) ( 2700990 1548940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2700990 1548940 ) ( 2700990 1752540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2698990 1750540 ) ( 2707000 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2705000 1748540 ) ( 2705000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2758590 1491340 ) ( 2710590 1539340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2710590 1539340 ) ( 2710590 1704540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2703000 1702540 ) ( 2712590 1702540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2705000 1700540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 3010 + SHAPE IOWIRE ( 2748495 173000 ) ( 2748495 177000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2748990 173000 ) ( 2748990 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2748990 195340 ) ( 2758590 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2758590 204940 ) ( 2758590 857740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2758590 857740 ) ( 2700990 915340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2700990 915340 ) ( 2700990 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2698990 1115000 ) ( 2705000 1115000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2748000 195340 ) ( 2760590 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2758590 193340 ) ( 2758590 857740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2758590 857740 ) ( 2710590 905740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2710590 905740 ) ( 2710590 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2703000 1059340 ) ( 2712590 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2705000 1057340 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2678000 176570 ) ( 2682000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2678000 176140 ) ( 2683790 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2681790 174140 ) ( 2681790 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2678000 195340 ) ( 2683790 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2681790 193340 ) ( 2681790 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2681790 204940 ) ( 2700990 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2700990 224140 ) ( 2700990 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2698990 473740 ) ( 2707000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 2703000 473370 ) ( 2707000 473370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2700990 224140 ) ( 2700990 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2698990 416140 ) ( 2707000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2705000 414140 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2618000 176570 ) ( 2622000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2618000 176140 ) ( 2626190 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2624190 174140 ) ( 2624190 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2618000 195340 ) ( 2626190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2624190 193340 ) ( 2624190 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2624190 204940 ) ( 2652990 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 2652990 233740 ) ( 2652990 540940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2652990 540940 ) ( 2700990 588940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2700990 588940 ) ( 2700990 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2698990 790540 ) ( 2707000 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2705000 788540 ) ( 2705000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2700990 588940 ) ( 2700990 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2698990 742540 ) ( 2707000 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2705000 740540 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2428000 176570 ) ( 2432000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2428000 176140 ) ( 2434190 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2432190 174140 ) ( 2432190 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2428000 195340 ) ( 2434190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2432190 193340 ) ( 2432190 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2432190 204940 ) ( 2441790 214540 ) NEW metal10 4000 + SHAPE IOWIRE ( 2441790 214540 ) ( 2441790 848140 ) NEW metal10 4000 + SHAPE IOWIRE ( 2441790 848140 ) ( 2384190 905740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2384190 905740 ) ( 2384190 1117000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 2383595 1113000 ) ( 2383595 1117000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2384190 905740 ) ( 2384190 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2382190 1059340 ) ( 2387000 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2385000 1057340 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 2368000 176570 ) ( 2372000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2368000 176140 ) ( 2376590 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2374590 174140 ) ( 2374590 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2368000 195340 ) ( 2376590 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2374590 193340 ) ( 2374590 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 2374590 204940 ) ( 2384190 214540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2384190 214540 ) ( 2384190 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 2382190 473740 ) ( 2387000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 2383000 473370 ) ( 2387000 473370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 2384190 214540 ) ( 2384190 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2382190 416140 ) ( 2387000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 2385000 414140 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1468000 176570 ) ( 1472000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1468000 176140 ) ( 1474190 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1472190 174140 ) ( 1472190 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1468000 195340 ) ( 1474190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1472190 193340 ) ( 1472190 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1472190 204940 ) ( 1481790 214540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1481790 214540 ) ( 1481790 848140 ) NEW metal10 4000 + SHAPE IOWIRE ( 1481790 848140 ) ( 1424190 905740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 905740 ) ( 1424190 1117000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 1113000 ) ( 1423595 1117000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1424190 905740 ) ( 1424190 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1422190 1059340 ) ( 1427000 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1425000 1057340 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1408000 176570 ) ( 1412000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1408000 176140 ) ( 1416590 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1414590 174140 ) ( 1414590 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1408000 195340 ) ( 1416590 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1414590 193340 ) ( 1414590 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1414590 204940 ) ( 1424190 214540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 214540 ) ( 1424190 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1422190 473740 ) ( 1427000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 1423000 473370 ) ( 1427000 473370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1424190 214540 ) ( 1424190 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1422190 416140 ) ( 1427000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1425000 414140 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1338000 176570 ) ( 1342000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1335790 176140 ) ( 1342000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1337790 174140 ) ( 1337790 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1335790 195340 ) ( 1342000 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1337790 193340 ) ( 1337790 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 1337790 204940 ) ( 1366590 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 1366590 233740 ) ( 1366590 521740 ) NEW metal10 4000 + SHAPE IOWIRE ( 1366590 521740 ) ( 1424190 579340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 579340 ) ( 1424190 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1422190 790540 ) ( 1427000 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1425000 788540 ) ( 1425000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1424190 579340 ) ( 1424190 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1422190 742540 ) ( 1427000 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1425000 740540 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3708000 176570 ) ( 3712000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3706990 176140 ) ( 3712000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3708990 174140 ) ( 3708990 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3708990 195340 ) ( 3718590 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3718590 204940 ) ( 3718590 857740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3718590 857740 ) ( 3660990 915340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 915340 ) ( 3660990 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3658990 1115000 ) ( 3665000 1115000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3708000 195340 ) ( 3720590 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3718590 193340 ) ( 3718590 857740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3718590 857740 ) ( 3670590 905740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3670590 905740 ) ( 3670590 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3663000 1059340 ) ( 3672590 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3665000 1057340 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 4088000 176570 ) ( 4092000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4088000 176140 ) ( 4094990 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4092990 174140 ) ( 4092990 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4092990 195340 ) ( 4054590 233740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4081390 195340 ) ( 4092000 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4083390 193340 ) ( 4083390 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4083390 204940 ) ( 4054590 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4054590 233740 ) ( 4054590 848140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4054590 848140 ) ( 4044990 857740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4044990 857740 ) ( 4044990 1491340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4044990 1491340 ) ( 3987390 1548940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 1548940 ) ( 3987390 1752540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3983000 1750540 ) ( 3989390 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3985000 1748540 ) ( 3985000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 1548940 ) ( 3987390 1704540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 1702540 ) ( 3989390 1702540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 1700540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 4538000 176570 ) ( 4542000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4538000 176140 ) ( 4546190 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4544190 174140 ) ( 4544190 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4538000 195340 ) ( 4546190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4544190 193340 ) ( 4544190 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4544190 204940 ) ( 4572990 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 233740 ) ( 4572990 540940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 540940 ) ( 4620990 588940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 588940 ) ( 4620990 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4618990 790540 ) ( 4627000 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4625000 788540 ) ( 4625000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4620990 588940 ) ( 4620990 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4618990 742540 ) ( 4627000 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 740540 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 4028000 176570 ) ( 4032000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4023790 176140 ) ( 4032000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4025790 174140 ) ( 4025790 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4025790 204940 ) ( 4044990 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4044990 224140 ) ( 4044990 838540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4028000 195340 ) ( 4035390 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4035390 195340 ) ( 4044990 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4044990 204940 ) ( 4044990 838540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4044990 838540 ) ( 3987390 896140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 896140 ) ( 3987390 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3985000 1115000 ) ( 3989390 1115000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 896140 ) ( 3987390 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 1059340 ) ( 3989390 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 1057340 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3958000 176570 ) ( 3962000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3956590 176140 ) ( 3962000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3958590 174140 ) ( 3958590 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3958590 195340 ) ( 3987390 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 224140 ) ( 3987390 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3983000 473740 ) ( 3989390 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 3983000 473370 ) ( 3987000 473370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3958000 195340 ) ( 3970190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3968190 193340 ) ( 3968190 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3968190 204940 ) ( 3987390 224140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 224140 ) ( 3987390 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 416140 ) ( 3989390 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 414140 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2678000 ) ( 176595 2682000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2678000 ) ( 176190 2683740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2681740 ) ( 204990 2681740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2680000 ) ( 197390 2680000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2678000 ) ( 195390 2683740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 2681740 ) ( 204990 2681740 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 2662540 ) ( 204990 2681740 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 2662540 ) ( 838590 2662540 ) NEW metal10 4000 + SHAPE IOWIRE ( 838590 2662540 ) ( 886590 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 886590 2710540 ) ( 1107000 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1105000 2708540 ) ( 1105000 2715000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 886590 2710540 ) ( 1051790 2710540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1049790 2708540 ) ( 1049790 2717000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1047790 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 438000 176570 ) ( 442000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 433390 176140 ) ( 442000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 435390 174140 ) ( 435390 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 435390 204940 ) ( 464190 233740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 233740 ) ( 464190 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 462190 473740 ) ( 467000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 463000 473370 ) ( 467000 473370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 438000 195340 ) ( 444990 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 444990 195340 ) ( 464190 214540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 464190 214540 ) ( 464190 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 462190 416140 ) ( 467000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 465000 414140 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 2990 + SHAPE IOWIRE ( 4861495 173000 ) ( 4861495 177000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4860990 173000 ) ( 4860990 195340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 197340 ) + NEW metal10 2990 + SHAPE IOWIRE ( 4859495 193340 ) ( 4859495 197340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4860990 195340 ) ( 4889790 224140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 224140 ) ( 4889790 531340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 531340 ) ( 4947390 588940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 588940 ) ( 4947390 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4943000 790540 ) ( 4949390 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 788540 ) ( 4945000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4947390 588940 ) ( 4947390 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4943000 742540 ) ( 4949390 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 740540 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 2880000 ) ( 5825000 2885340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2883340 ) ( 5827000 2883340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2880000 ) ( 5825000 2880000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2878000 ) ( 5811390 2885340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2883340 ) ( 5813390 2883340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2883340 ) ( 5715390 2969740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 2969740 ) ( 5715390 2969740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 2969740 ) ( 5513790 2979340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4880190 2979340 ) ( 5513790 2979340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4880190 2979340 ) ( 4822590 3036940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 3036940 ) ( 4822590 3036940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 4623000 3036970 ) ( 4627000 3036970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 3036940 ) ( 4822590 3036940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 3033000 ) ( 4678590 3038940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4680590 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2868000 ) ( 176595 2872000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2868000 ) ( 176190 2875740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2873740 ) ( 204990 2873740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 262590 2816140 ) ( 204990 2873740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 262590 2816140 ) ( 569790 2816140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2870000 ) ( 197390 2870000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2864140 ) ( 195390 2872000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 243390 2816140 ) ( 195390 2864140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 243390 2816140 ) ( 569790 2816140 ) NEW metal10 4000 + SHAPE IOWIRE ( 617790 2768140 ) ( 569790 2816140 ) NEW metal10 4000 + SHAPE IOWIRE ( 617790 2768140 ) ( 1155390 2768140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 2710540 ) ( 1155390 2768140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 2710540 ) ( 1426190 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 2708540 ) ( 1424190 2717000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 2713000 ) ( 1423595 2717000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1203390 2720140 ) ( 1155390 2768140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1203390 2720140 ) ( 1368590 2720140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 2713000 ) ( 1366590 2722140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 1898000 ) ( 176595 1902000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 1898000 ) ( 176190 1906140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 1904140 ) ( 195390 1904140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 281790 1817740 ) ( 195390 1904140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 1900000 ) ( 197390 1900000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 1892540 ) ( 195390 1902000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 1894540 ) ( 204990 1894540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 281790 1817740 ) ( 204990 1894540 ) NEW metal10 4000 + SHAPE IOWIRE ( 281790 1817740 ) ( 531390 1817740 ) NEW metal10 4000 + SHAPE IOWIRE ( 540990 1808140 ) ( 531390 1817740 ) NEW metal10 4000 + SHAPE IOWIRE ( 540990 1808140 ) ( 1155390 1808140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 1750540 ) ( 1155390 1808140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 1750540 ) ( 1426190 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 1748540 ) ( 1424190 1757000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 1753000 ) ( 1423595 1757000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1203390 1760140 ) ( 1155390 1808140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1203390 1760140 ) ( 1368590 1760140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 1753000 ) ( 1366590 1762140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 1978000 ) ( 176595 1982000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 1978000 ) ( 176190 1982940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 1980940 ) ( 195390 1980940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 1980000 ) ( 197390 1980000 ) + NEW metal10 2940 + SHAPE IOWIRE ( 193390 1979470 ) ( 197390 1979470 ) NEW metal10 4000 + SHAPE IOWIRE ( 195390 1980940 ) ( 224190 2009740 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 2009740 ) ( 857790 2009740 ) NEW metal10 4000 + SHAPE IOWIRE ( 857790 2009740 ) ( 867390 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 867390 2019340 ) ( 1481790 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1481790 2019340 ) ( 1539390 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1539390 2076940 ) ( 1742990 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 2073000 ) ( 1740990 2078940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 2075000 ) ( 1745000 2075000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1539390 2076940 ) ( 1694990 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 2073000 ) ( 1692990 2078940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2038000 ) ( 176595 2042000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2036540 ) ( 176190 2042000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2038540 ) ( 195390 2038540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2040000 ) ( 197390 2040000 ) + NEW metal10 3460 + SHAPE IOWIRE ( 193390 2040270 ) ( 197390 2040270 ) NEW metal10 4000 + SHAPE IOWIRE ( 214590 2019340 ) ( 195390 2038540 ) NEW metal10 4000 + SHAPE IOWIRE ( 214590 2019340 ) ( 848190 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 848190 2019340 ) ( 905790 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 905790 2076940 ) ( 1107000 2076940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 1103000 2076970 ) ( 1107000 2076970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 905790 2076940 ) ( 1051790 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1049790 2073000 ) ( 1049790 2078940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1047790 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 2940 + SHAPE IOWIRE ( 173000 2221470 ) ( 177000 2221470 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 2220940 ) ( 204990 2220940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2220000 ) ( 197390 2220000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2218000 ) ( 195390 2222940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 2220940 ) ( 204990 2220940 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 2182540 ) ( 204990 2220940 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 2182540 ) ( 569790 2182540 ) NEW metal10 4000 + SHAPE IOWIRE ( 617790 2134540 ) ( 569790 2182540 ) NEW metal10 4000 + SHAPE IOWIRE ( 617790 2134540 ) ( 1155390 2134540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1212990 2076940 ) ( 1155390 2134540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 2076940 ) ( 1426190 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 2073000 ) ( 1424190 2078940 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 2073000 ) ( 1423595 2077000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1212990 2076940 ) ( 1368590 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 2073000 ) ( 1366590 2078940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2298000 ) ( 176595 2302000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2295740 ) ( 176190 2302000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2297740 ) ( 204990 2297740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2300000 ) ( 197390 2300000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2295740 ) ( 195390 2302000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 2297740 ) ( 204990 2297740 ) NEW metal10 4000 + SHAPE IOWIRE ( 204990 2297740 ) ( 233790 2326540 ) NEW metal10 4000 + SHAPE IOWIRE ( 233790 2326540 ) ( 848190 2326540 ) NEW metal10 4000 + SHAPE IOWIRE ( 848190 2326540 ) ( 857790 2336140 ) NEW metal10 4000 + SHAPE IOWIRE ( 857790 2336140 ) ( 1472190 2336140 ) NEW metal10 4000 + SHAPE IOWIRE ( 1472190 2336140 ) ( 1529790 2393740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1529790 2393740 ) ( 1742990 2393740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 2391740 ) ( 1740990 2397000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 2395000 ) ( 1745000 2395000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1529790 2393740 ) ( 1694990 2393740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 2391740 ) ( 1692990 2397000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2368000 ) ( 176595 2372000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2368000 ) ( 176190 2376540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2374540 ) ( 204990 2374540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 243390 2336140 ) ( 204990 2374540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 243390 2336140 ) ( 838590 2336140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2370000 ) ( 197390 2370000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2364940 ) ( 195390 2372000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 2336140 ) ( 195390 2364940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 2336140 ) ( 838590 2336140 ) NEW metal10 4000 + SHAPE IOWIRE ( 838590 2336140 ) ( 896190 2393740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 896190 2393740 ) ( 1107000 2393740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 1103000 2393370 ) ( 1107000 2393370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 896190 2393740 ) ( 1051790 2393740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1049790 2391740 ) ( 1049790 2397000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1047790 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 1660000 ) ( 5825000 1660000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 1658000 ) ( 5820990 1666140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1664140 ) ( 5822990 1664140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1660000 ) ( 5825000 1660000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1658000 ) ( 5811390 1664140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1664140 ) ( 5772990 1702540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 1702540 ) ( 5772990 1702540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 1702540 ) ( 5475390 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 1750540 ) ( 5475390 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5265000 1748540 ) ( 5265000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 1750540 ) ( 5475390 1750540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 1748540 ) ( 5321790 1757000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5323790 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 1594940 ) ( 5825000 1600000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1596940 ) ( 5827000 1596940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1596940 ) ( 5705790 1692940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5513790 1692940 ) ( 5705790 1692940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1600000 ) ( 5825000 1600000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1598000 ) ( 5811390 1606540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1606540 ) ( 5724990 1692940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5513790 1692940 ) ( 5724990 1692940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5513790 1692940 ) ( 5504190 1702540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 1702540 ) ( 5504190 1702540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 1702540 ) ( 4841790 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 1750540 ) ( 4841790 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4625000 1748540 ) ( 4625000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 1750540 ) ( 4841790 1750540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 1748540 ) ( 4678590 1757000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4680590 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 1460000 ) ( 5825000 1464540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1462540 ) ( 5827000 1462540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1460000 ) ( 5825000 1460000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1458000 ) ( 5811390 1464540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1462540 ) ( 5813390 1462540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1462540 ) ( 5772990 1491340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5216190 1491340 ) ( 5772990 1491340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5158590 1433740 ) ( 5216190 1491340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945390 1433740 ) ( 5158590 1433740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 1431740 ) ( 4947390 1437000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 4949390 1435000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5002990 1433740 ) ( 5158590 1433740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 1431740 ) ( 5004990 1437000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5006990 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 1400000 ) ( 5825000 1400000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 1393340 ) ( 5820990 1402000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1395340 ) ( 5822990 1395340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1395340 ) ( 5772990 1433740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 1433740 ) ( 5772990 1433740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 5583000 1433370 ) ( 5587000 1433370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1400000 ) ( 5825000 1400000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1398000 ) ( 5811390 1406940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1404940 ) ( 5813390 1404940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1404940 ) ( 5772990 1433740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 1433740 ) ( 5772990 1433740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 1431740 ) ( 5638590 1437000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5640590 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 2940 + SHAPE IOWIRE ( 173000 2941470 ) ( 177000 2941470 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 2940940 ) ( 195390 2940940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 204990 2931340 ) ( 195390 2940940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 204990 2931340 ) ( 876990 2931340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2940000 ) ( 197390 2940000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2929340 ) ( 195390 2942000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 2931340 ) ( 876990 2931340 ) NEW metal10 4000 + SHAPE IOWIRE ( 876990 2931340 ) ( 924990 2979340 ) NEW metal10 4000 + SHAPE IOWIRE ( 924990 2979340 ) ( 1481790 2979340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1481790 2979340 ) ( 1539390 3036940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1539390 3036940 ) ( 1742990 3036940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 3033000 ) ( 1740990 3038940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 3035000 ) ( 1745000 3035000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1539390 3036940 ) ( 1694990 3036940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 3033000 ) ( 1692990 3038940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 1140000 ) ( 5825000 1140000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 1134140 ) ( 5820990 1142000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1136140 ) ( 5822990 1136140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1136140 ) ( 5763390 1174540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5206590 1174540 ) ( 5763390 1174540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1140000 ) ( 5825000 1140000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1138000 ) ( 5811390 1145740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1145740 ) ( 5782590 1174540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5206590 1174540 ) ( 5782590 1174540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5148990 1116940 ) ( 5206590 1174540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945390 1116940 ) ( 5148990 1116940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 1113000 ) ( 4947390 1118940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 4949390 1115000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5002990 1116940 ) ( 5148990 1116940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 1113000 ) ( 5004990 1118940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5006990 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 3340 + SHAPE IOWIRE ( 5823000 771670 ) ( 5827000 771670 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 771340 ) ( 5827000 771340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 770000 ) ( 5825000 770000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 768000 ) ( 5811390 773340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 771340 ) ( 5813390 771340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 771340 ) ( 5782590 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 790540 ) ( 5782590 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5585000 788540 ) ( 5585000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 790540 ) ( 5782590 790540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 788540 ) ( 5638590 797000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5640590 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 700000 ) ( 5825000 700000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 698000 ) ( 5820990 706140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 704140 ) ( 5822990 704140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 700000 ) ( 5825000 700000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 698000 ) ( 5811390 704140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 704140 ) ( 5772990 742540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 742540 ) ( 5772990 742540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 742540 ) ( 5475390 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 790540 ) ( 5475390 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5265000 788540 ) ( 5265000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 790540 ) ( 5475390 790540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 788540 ) ( 5321790 797000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5323790 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5818990 440000 ) ( 5825000 440000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5820990 433340 ) ( 5820990 442000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 435340 ) ( 5822990 435340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 435340 ) ( 5772990 473740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 473740 ) ( 5772990 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 5583000 473370 ) ( 5587000 473370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 440000 ) ( 5825000 440000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 438000 ) ( 5811390 446940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 444940 ) ( 5813390 444940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 444940 ) ( 5772990 473740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 473740 ) ( 5772990 473740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 471740 ) ( 5638590 477000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5640590 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 2554940 ) ( 5825000 2560000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2556940 ) ( 5827000 2556940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2556940 ) ( 5744190 2614540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5494590 2614540 ) ( 5744190 2614540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2560000 ) ( 5825000 2560000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2558000 ) ( 5811390 2566540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2566540 ) ( 5763390 2614540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5494590 2614540 ) ( 5763390 2614540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5494590 2614540 ) ( 5446590 2662540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 2662540 ) ( 5446590 2662540 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 2662540 ) ( 4841790 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 2710540 ) ( 4841790 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4625000 2708540 ) ( 4625000 2715000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 2710540 ) ( 4841790 2710540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 2708540 ) ( 4678590 2717000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4680590 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 2260 + SHAPE IOWIRE ( 5823000 2488870 ) ( 5827000 2488870 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2489740 ) ( 5827000 2489740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2490000 ) ( 5825000 2490000 ) + NEW metal10 2260 + SHAPE IOWIRE ( 5809390 2490870 ) ( 5813390 2490870 ) NEW metal10 4000 + SHAPE IOWIRE ( 5782590 2460940 ) ( 5811390 2489740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5206590 2460940 ) ( 5782590 2460940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5196990 2451340 ) ( 5206590 2460940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4563390 2451340 ) ( 5196990 2451340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4505790 2393740 ) ( 4563390 2451340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 2393740 ) ( 4505790 2393740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 4303000 2393370 ) ( 4307000 2393370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 2393740 ) ( 4505790 2393740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 2391740 ) ( 4361790 2397000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4363790 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 2420000 ) ( 5825000 2424540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2422540 ) ( 5827000 2422540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2420000 ) ( 5825000 2420000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2418000 ) ( 5811390 2424540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2422540 ) ( 5813390 2422540 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2422540 ) ( 5772990 2451340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5216190 2451340 ) ( 5772990 2451340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5158590 2393740 ) ( 5216190 2451340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945390 2393740 ) ( 5158590 2393740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 2391740 ) ( 4947390 2397000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 4949390 2395000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5002990 2393740 ) ( 5158590 2393740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 2391740 ) ( 5004990 2397000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5006990 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 2140 + SHAPE IOWIRE ( 5823000 2241070 ) ( 5827000 2241070 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2240140 ) ( 5827000 2240140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2240000 ) ( 5825000 2240000 ) + NEW metal10 2140 + SHAPE IOWIRE ( 5809390 2239070 ) ( 5813390 2239070 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2240140 ) ( 5753790 2297740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5465790 2297740 ) ( 5753790 2297740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5465790 2297740 ) ( 5427390 2336140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 2336140 ) ( 5427390 2336140 ) NEW metal10 4000 + SHAPE IOWIRE ( 4889790 2336140 ) ( 4832190 2393740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 2393740 ) ( 4832190 2393740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 4623000 2393370 ) ( 4627000 2393370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 2393740 ) ( 4832190 2393740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 2391740 ) ( 4678590 2397000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4680590 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 3860 + SHAPE IOWIRE ( 5823000 2048070 ) ( 5827000 2048070 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2048140 ) ( 5827000 2048140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2048140 ) ( 5782590 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5583000 2076940 ) ( 5782590 2076940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 5583000 2076970 ) ( 5587000 2076970 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2050000 ) ( 5825000 2050000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2048000 ) ( 5811390 2059740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2057740 ) ( 5813390 2057740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2057740 ) ( 5782590 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5636590 2076940 ) ( 5782590 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5638590 2073000 ) ( 5638590 2078940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5640590 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 2540 + SHAPE IOWIRE ( 5823000 1991270 ) ( 5827000 1991270 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1990540 ) ( 5827000 1990540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1990000 ) ( 5825000 1990000 ) + NEW metal10 2540 + SHAPE IOWIRE ( 5809390 1989270 ) ( 5813390 1989270 ) NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1990540 ) ( 5782590 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5532990 2019340 ) ( 5782590 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5532990 2019340 ) ( 5475390 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5263000 2076940 ) ( 5475390 2076940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 5263000 2076970 ) ( 5267000 2076970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5319790 2076940 ) ( 5475390 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5321790 2073000 ) ( 5321790 2078940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5323790 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 3118000 ) ( 176595 3122000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 3118000 ) ( 176190 3125340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 3123340 ) ( 204990 3123340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 3094540 ) ( 204990 3123340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 3094540 ) ( 521790 3094540 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3120000 ) ( 197390 3120000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3113740 ) ( 195390 3122000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 214590 3094540 ) ( 195390 3113740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 214590 3094540 ) ( 521790 3094540 ) NEW metal10 4000 + SHAPE IOWIRE ( 579390 3036940 ) ( 521790 3094540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 579390 3036940 ) ( 782990 3036940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 3033000 ) ( 780990 3038940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 3035000 ) ( 785000 3035000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 579390 3036940 ) ( 734990 3036940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 732990 3033000 ) ( 732990 3038940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 730990 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 1920000 ) ( 5825000 1925340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1923340 ) ( 5827000 1923340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1920000 ) ( 5825000 1920000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1918000 ) ( 5811390 1925340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1923340 ) ( 5813390 1923340 ) NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1923340 ) ( 5715390 2009740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 2009740 ) ( 5715390 2009740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5523390 2009740 ) ( 5513790 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4880190 2019340 ) ( 5513790 2019340 ) NEW metal10 4000 + SHAPE IOWIRE ( 4880190 2019340 ) ( 4822590 2076940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4623000 2076940 ) ( 4822590 2076940 ) - NEW metal10 3940 + SHAPE IOWIRE ( 4623000 2076970 ) ( 4627000 2076970 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4676590 2076940 ) ( 4822590 2076940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 2073000 ) ( 4678590 2078940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4680590 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 1834940 ) ( 5825000 1840000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1836940 ) ( 5827000 1836940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1836940 ) ( 5782590 1865740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 1840000 ) ( 5825000 1840000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 1838000 ) ( 5811390 1848540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1846540 ) ( 5813390 1846540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 1846540 ) ( 5782590 1865740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5187390 1865740 ) ( 5782590 1865740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5129790 1808140 ) ( 5187390 1865740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 1808140 ) ( 5129790 1808140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4515390 1750540 ) ( 4572990 1808140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 1750540 ) ( 4515390 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4305000 1748540 ) ( 4305000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4524990 1760140 ) ( 4572990 1808140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 1760140 ) ( 4524990 1760140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 1753000 ) ( 4361790 1762140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4363790 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 2940 + SHAPE IOWIRE ( 173000 3181470 ) ( 177000 3181470 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 3180940 ) ( 204990 3180940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3180000 ) ( 197390 3180000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3178000 ) ( 195390 3182940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 3180940 ) ( 204990 3180940 ) NEW metal10 4000 + SHAPE IOWIRE ( 281790 3104140 ) ( 204990 3180940 ) NEW metal10 4000 + SHAPE IOWIRE ( 281790 3104140 ) ( 531390 3104140 ) NEW metal10 4000 + SHAPE IOWIRE ( 540990 3094540 ) ( 531390 3104140 ) NEW metal10 4000 + SHAPE IOWIRE ( 540990 3094540 ) ( 1155390 3094540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3036940 ) ( 1155390 3094540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3036940 ) ( 1426190 3036940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 3033000 ) ( 1424190 3038940 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 3033000 ) ( 1423595 3037000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1212990 3036940 ) ( 1368590 3036940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 3033000 ) ( 1366590 3038940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 3258000 ) ( 176595 3262000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 3255740 ) ( 176190 3262000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 3257740 ) ( 204990 3257740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3260000 ) ( 197390 3260000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3255740 ) ( 195390 3262000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 3257740 ) ( 204990 3257740 ) NEW metal10 4000 + SHAPE IOWIRE ( 204990 3257740 ) ( 233790 3286540 ) NEW metal10 4000 + SHAPE IOWIRE ( 233790 3286540 ) ( 848190 3286540 ) NEW metal10 4000 + SHAPE IOWIRE ( 848190 3286540 ) ( 857790 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 857790 3296140 ) ( 1472190 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 1472190 3296140 ) ( 1529790 3353740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1529790 3353740 ) ( 1742990 3353740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 3351740 ) ( 1740990 3357000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 3355000 ) ( 1745000 3355000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1529790 3353740 ) ( 1694990 3353740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 3351740 ) ( 1692990 3357000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 3318000 ) ( 176595 3322000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 3313340 ) ( 176190 3322000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 3315340 ) ( 204990 3315340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3320000 ) ( 197390 3320000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3313340 ) ( 195390 3322000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 3315340 ) ( 204990 3315340 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 3296140 ) ( 204990 3315340 ) NEW metal10 4000 + SHAPE IOWIRE ( 224190 3296140 ) ( 838590 3296140 ) NEW metal10 4000 + SHAPE IOWIRE ( 838590 3296140 ) ( 896190 3353740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 896190 3353740 ) ( 1107000 3353740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 1103000 3353370 ) ( 1107000 3353370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 896190 3353740 ) ( 1051790 3353740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1049790 3351740 ) ( 1049790 3357000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1047790 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 3498000 ) ( 176595 3502000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 3495740 ) ( 176190 3502000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 3497740 ) ( 195390 3497740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3500000 ) ( 197390 3500000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3497740 ) ( 195390 3502000 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 3449740 ) ( 195390 3497740 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 3449740 ) ( 569790 3449740 ) NEW metal10 4000 + SHAPE IOWIRE ( 608190 3411340 ) ( 569790 3449740 ) NEW metal10 4000 + SHAPE IOWIRE ( 608190 3411340 ) ( 1164990 3411340 ) NEW metal10 4000 + SHAPE IOWIRE ( 1222590 3353740 ) ( 1164990 3411340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1222590 3353740 ) ( 1426190 3353740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1424190 3351740 ) ( 1424190 3357000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 1423595 3353000 ) ( 1423595 3357000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1222590 3353740 ) ( 1368590 3353740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1366590 3351740 ) ( 1366590 3357000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1364590 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 3578000 ) ( 176595 3582000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 3578000 ) ( 176190 3586140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 3584140 ) ( 204990 3584140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 3580000 ) ( 197390 3580000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 3578000 ) ( 195390 3586140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 3584140 ) ( 204990 3584140 ) NEW metal10 4000 + SHAPE IOWIRE ( 204990 3584140 ) ( 233790 3612940 ) NEW metal10 4000 + SHAPE IOWIRE ( 233790 3612940 ) ( 848190 3612940 ) NEW metal10 4000 + SHAPE IOWIRE ( 848190 3612940 ) ( 857790 3622540 ) NEW metal10 4000 + SHAPE IOWIRE ( 857790 3622540 ) ( 1491390 3622540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1491390 3622540 ) ( 1539390 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1539390 3670540 ) ( 1742990 3670540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 3668540 ) ( 1740990 3677000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 3675000 ) ( 1745000 3675000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1539390 3670540 ) ( 1694990 3670540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 3668540 ) ( 1692990 3677000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 2660 + SHAPE IOWIRE ( 173000 1778670 ) ( 177000 1778670 ) - NEW metal10 4000 + SHAPE IOWIRE ( 173000 1779340 ) ( 204990 1779340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 1750540 ) ( 204990 1779340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 233790 1750540 ) ( 466190 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 1748540 ) ( 464190 1757000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 463595 1753000 ) ( 463595 1757000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 1780000 ) ( 197390 1780000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 1777340 ) ( 195390 1782000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 1779340 ) ( 204990 1779340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 1760140 ) ( 204990 1779340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 224190 1760140 ) ( 408590 1760140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 406590 1753000 ) ( 406590 1762140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 404590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 1838000 ) ( 176595 1842000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 1834940 ) ( 176190 1842000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 1836940 ) ( 204990 1836940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 1840000 ) ( 197390 1840000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 1834940 ) ( 195390 1842000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 1836940 ) ( 204990 1836940 ) NEW metal10 4000 + SHAPE IOWIRE ( 233790 1808140 ) ( 204990 1836940 ) NEW metal10 4000 + SHAPE IOWIRE ( 233790 1808140 ) ( 521790 1808140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 579390 1750540 ) ( 521790 1808140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 579390 1750540 ) ( 782990 1750540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 1748540 ) ( 780990 1757000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 1755000 ) ( 785000 1755000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 569790 1760140 ) ( 521790 1808140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 569790 1760140 ) ( 734990 1760140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 732990 1753000 ) ( 732990 1762140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 730990 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2618000 ) ( 176595 2622000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2618000 ) ( 176190 2626140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2624140 ) ( 204990 2624140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2620000 ) ( 197390 2620000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2618000 ) ( 195390 2626140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 2624140 ) ( 204990 2624140 ) NEW metal10 4000 + SHAPE IOWIRE ( 204990 2624140 ) ( 233790 2652940 ) NEW metal10 4000 + SHAPE IOWIRE ( 233790 2652940 ) ( 848190 2652940 ) NEW metal10 4000 + SHAPE IOWIRE ( 848190 2652940 ) ( 857790 2662540 ) NEW metal10 4000 + SHAPE IOWIRE ( 857790 2662540 ) ( 1491390 2662540 ) NEW metal10 4000 + SHAPE IOWIRE ( 1491390 2662540 ) ( 1539390 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1539390 2710540 ) ( 1742990 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1740990 2708540 ) ( 1740990 2717000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1738990 2715000 ) ( 1745000 2715000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 1539390 2710540 ) ( 1694990 2710540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1692990 2708540 ) ( 1692990 2717000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1690990 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 698000 176570 ) ( 702000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 698000 176140 ) ( 706190 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 704190 174140 ) ( 704190 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 698000 195340 ) ( 706190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 704190 193340 ) ( 704190 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 704190 204940 ) ( 732990 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 732990 233740 ) ( 732990 540940 ) NEW metal10 4000 + SHAPE IOWIRE ( 732990 540940 ) ( 780990 588940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 780990 588940 ) ( 780990 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 778990 790540 ) ( 787000 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 785000 788540 ) ( 785000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 780990 588940 ) ( 780990 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 778990 742540 ) ( 787000 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 785000 740540 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 4598000 176570 ) ( 4602000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4598000 176140 ) ( 4603790 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4601790 174140 ) ( 4601790 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4598000 195340 ) ( 4603790 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4601790 193340 ) ( 4601790 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 4601790 204940 ) ( 4620990 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 224140 ) ( 4620990 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4618990 473740 ) ( 4627000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 4623000 473370 ) ( 4627000 473370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4620990 224140 ) ( 4620990 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4618990 416140 ) ( 4627000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 414140 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 2660 + SHAPE IOWIRE ( 5823000 2738670 ) ( 5827000 2738670 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2739340 ) ( 5827000 2739340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2739340 ) ( 5782590 2768140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2740000 ) ( 5825000 2740000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2738000 ) ( 5811390 2750940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2748940 ) ( 5813390 2748940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5801790 2748940 ) ( 5782590 2768140 ) NEW metal10 4000 + SHAPE IOWIRE ( 5206590 2768140 ) ( 5782590 2768140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5148990 2710540 ) ( 5206590 2768140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945390 2710540 ) ( 5148990 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4947390 2708540 ) ( 4947390 2717000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 4949390 2715000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 5158590 2720140 ) ( 5206590 2768140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5002990 2720140 ) ( 5158590 2720140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5004990 2713000 ) ( 5004990 2722140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5006990 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 3190 + SHAPE IOWIRE ( 176595 2428000 ) ( 176595 2432000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 176190 2428000 ) ( 176190 2434140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 174190 2432140 ) ( 204990 2432140 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 175000 2430000 ) ( 197390 2430000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 195390 2428000 ) ( 195390 2434140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 193390 2432140 ) ( 204990 2432140 ) NEW metal10 4000 + SHAPE IOWIRE ( 243390 2393740 ) ( 204990 2432140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 243390 2393740 ) ( 466190 2393740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 464190 2391740 ) ( 464190 2397000 ) - NEW metal10 2810 + SHAPE IOWIRE ( 463595 2393000 ) ( 463595 2397000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 243390 2393740 ) ( 408590 2393740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 406590 2391740 ) ( 406590 2397000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 404590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 1078000 176570 ) ( 1082000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1076590 176140 ) ( 1082000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1078590 174140 ) ( 1078590 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1078590 195340 ) ( 1107390 224140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1107390 224140 ) ( 1107390 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 1103000 473740 ) ( 1109390 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 1103000 473370 ) ( 1107000 473370 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1078000 195340 ) ( 1090190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1088190 193340 ) ( 1088190 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1088190 204940 ) ( 1107390 224140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1107390 224140 ) ( 1107390 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1103000 416140 ) ( 1109390 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 1105000 414140 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 3010 + SHAPE IOWIRE ( 4668495 173000 ) ( 4668495 177000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4668990 173000 ) ( 4668990 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4668990 195340 ) ( 4678590 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4678590 204940 ) ( 4678590 857740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4678590 857740 ) ( 4620990 915340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4620990 915340 ) ( 4620990 1117000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4618990 1115000 ) ( 4625000 1115000 ) ; + + ROUTED metal10 4000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4668000 195340 ) ( 4680590 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 193340 ) ( 4678590 857740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4678590 857740 ) ( 4630590 905740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4630590 905740 ) ( 4630590 1061340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4623000 1059340 ) ( 4632590 1059340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4625000 1057340 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 5825000 2794940 ) ( 5825000 2800000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2796940 ) ( 5827000 2796940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 5809390 2800000 ) ( 5825000 2800000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 5811390 2796940 ) ( 5811390 2802000 ) NEW metal10 4000 + SHAPE IOWIRE ( 5792190 2777740 ) ( 5811390 2796940 ) NEW metal10 4000 + SHAPE IOWIRE ( 5196990 2777740 ) ( 5792190 2777740 ) NEW metal10 4000 + SHAPE IOWIRE ( 5187390 2768140 ) ( 5196990 2777740 ) NEW metal10 4000 + SHAPE IOWIRE ( 4572990 2768140 ) ( 5187390 2768140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4515390 2710540 ) ( 4572990 2768140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4303000 2710540 ) ( 4515390 2710540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 4305000 2708540 ) ( 4305000 2715000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 4524990 2720140 ) ( 4572990 2768140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4359790 2720140 ) ( 4524990 2720140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4361790 2713000 ) ( 4361790 2722140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4363790 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3518000 176570 ) ( 3522000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3514990 176140 ) ( 3522000 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3516990 174140 ) ( 3516990 195340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3516990 195340 ) ( 3603390 281740 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3518000 195340 ) ( 3528590 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3526590 193340 ) ( 3526590 204940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3526590 204940 ) ( 3603390 281740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3603390 281740 ) ( 3603390 550540 ) NEW metal10 4000 + SHAPE IOWIRE ( 3603390 550540 ) ( 3612990 560140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3612990 560140 ) ( 3612990 1174540 ) NEW metal10 4000 + SHAPE IOWIRE ( 3612990 1174540 ) ( 3660990 1222540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 1222540 ) ( 3660990 1435740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3658990 1433740 ) ( 3667000 1433740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 3663000 1433370 ) ( 3667000 1433370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3660990 1222540 ) ( 3660990 1378140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3658990 1376140 ) ( 3667000 1376140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3665000 1374140 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 2990 + SHAPE IOWIRE ( 3901495 173000 ) ( 3901495 177000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3900990 173000 ) ( 3900990 195340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 197340 ) + NEW metal10 2990 + SHAPE IOWIRE ( 3899495 193340 ) ( 3899495 197340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3900990 195340 ) ( 3929790 224140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3929790 224140 ) ( 3929790 531340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3929790 531340 ) ( 3987390 588940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3987390 588940 ) ( 3987390 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3983000 790540 ) ( 3989390 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3985000 788540 ) ( 3985000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3987390 588940 ) ( 3987390 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3983000 742540 ) ( 3989390 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3985000 740540 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3578000 176570 ) ( 3582000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3578000 176140 ) ( 3586190 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3584190 174140 ) ( 3584190 204940 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 197340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3578000 195340 ) ( 3586190 195340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3584190 193340 ) ( 3584190 204940 ) NEW metal10 4000 + SHAPE IOWIRE ( 3584190 204940 ) ( 3612990 233740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3612990 233740 ) ( 3612990 540940 ) NEW metal10 4000 + SHAPE IOWIRE ( 3612990 540940 ) ( 3660990 588940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 588940 ) ( 3660990 792540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3658990 790540 ) ( 3667000 790540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3665000 788540 ) ( 3665000 795000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3660990 588940 ) ( 3660990 744540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3658990 742540 ) ( 3667000 742540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3665000 740540 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 3140 + SHAPE IOWIRE ( 3648000 176570 ) ( 3652000 176570 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3648000 176140 ) ( 3653390 176140 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3651390 174140 ) ( 3651390 195340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 197340 ) + NEW metal10 3390 + SHAPE IOWIRE ( 3649695 193340 ) ( 3649695 197340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3651390 195340 ) ( 3660990 204940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 204940 ) ( 3660990 475740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3658990 473740 ) ( 3667000 473740 ) - NEW metal10 3260 + SHAPE IOWIRE ( 3663000 473370 ) ( 3667000 473370 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3660990 204940 ) ( 3660990 418140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3658990 416140 ) ( 3667000 416140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3665000 414140 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 2190 + SHAPE IOWIRE ( 3441095 5823000 ) ( 3441095 5827000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3440190 5801740 ) ( 3440190 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3440000 5809340 ) ( 3440000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3438000 5811340 ) ( 3442190 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3440190 5801740 ) ( 3440190 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3401790 5763340 ) ( 3440190 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3401790 5532940 ) ( 3401790 5763340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3344190 5475340 ) ( 3401790 5532940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3344190 5273000 ) ( 3344190 5475340 ) - NEW metal10 2810 + SHAPE IOWIRE ( 3343595 5273000 ) ( 3343595 5277000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3344190 5329340 ) ( 3344190 5475340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3342190 5331340 ) ( 3347000 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5333340 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 3505390 5825000 ) ( 3510000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3507390 5811340 ) ( 3507390 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3510000 5809340 ) ( 3510000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3507390 5811340 ) ( 3512000 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3411390 5715340 ) ( 3507390 5811340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3411390 5523340 ) ( 3411390 5715340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3401790 5513740 ) ( 3411390 5523340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3401790 4899340 ) ( 3401790 5513740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3344190 4841740 ) ( 3401790 4899340 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3344190 4633000 ) ( 3344190 4841740 ) - NEW metal10 2810 + SHAPE IOWIRE ( 3343595 4633000 ) ( 3343595 4637000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3344190 4686140 ) ( 3344190 4841740 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3342190 4688140 ) ( 3347000 4688140 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4690140 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 3580000 5818940 ) ( 3580000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3578000 5820940 ) ( 3586190 5820940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3584190 5811340 ) ( 3584190 5822940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3564990 5792140 ) ( 3584190 5811340 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3580000 5809340 ) ( 3580000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3572590 5811340 ) ( 3582000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3574590 5801740 ) ( 3574590 5813340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3564990 5792140 ) ( 3574590 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3564990 5177740 ) ( 3564990 5792140 ) NEW metal10 4000 + SHAPE IOWIRE ( 3612990 5129740 ) ( 3564990 5177740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3612990 4572940 ) ( 3612990 5129740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3660990 4524940 ) ( 3612990 4572940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 4313000 ) ( 3660990 4524940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3658990 4315000 ) ( 3665000 4315000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3660990 4369340 ) ( 3660990 4524940 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3658990 4371340 ) ( 3667000 4371340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4373340 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 4000 + SHAPE IOWIRE ( 3764590 5825000 ) ( 3770000 5825000 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3766590 5801740 ) ( 3766590 5827000 ) + + ROUTED metal10 4000 + SHAPE IOWIRE ( 3770000 5809340 ) ( 3770000 5825000 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3764590 5811340 ) ( 3772000 5811340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3766590 5801740 ) ( 3766590 5813340 ) NEW metal10 4000 + SHAPE IOWIRE ( 3718590 5753740 ) ( 3766590 5801740 ) NEW metal10 4000 + SHAPE IOWIRE ( 3718590 5542540 ) ( 3718590 5753740 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 5484940 ) ( 3718590 5542540 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3660990 5273000 ) ( 3660990 5484940 ) - NEW metal10 4000 + SHAPE IOWIRE ( 3658990 5275000 ) ( 3665000 5275000 ) ; + NEW metal10 4000 + SHAPE IOWIRE ( 3670590 5494540 ) ( 3718590 5542540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3670590 5329340 ) ( 3670590 5494540 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3663000 5331340 ) ( 3672590 5331340 ) + NEW metal10 4000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5333340 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_45_with_2port_bump.defok b/src/pad/test/rdl_route_45_with_2port_bump.defok index 692e8b7e417..9ae6450b892 100644 --- a/src/pad/test/rdl_route_45_with_2port_bump.defok +++ b/src/pad/test/rdl_route_45_with_2port_bump.defok @@ -2513,253 +2513,275 @@ SPECIALNETS 139 ; ( BUMP_12_5 PAD1 ) ( BUMP_14_4 PAD0 ) ( BUMP_14_4 PAD1 ) ( BUMP_15_3 PAD0 ) ( BUMP_15_3 PAD1 ) ( BUMP_15_0 PAD0 ) ( BUMP_15_0 PAD1 ) ( BUMP_12_1 PAD0 ) ( BUMP_12_1 PAD1 ) ( BUMP_11_3 PAD0 ) ( BUMP_11_3 PAD1 ) ( BUMP_9_4 PAD0 ) ( BUMP_9_4 PAD1 ) ( BUMP_8_1 PAD0 ) ( BUMP_8_1 PAD1 ) ( BUMP_7_3 PAD0 ) ( BUMP_7_3 PAD1 ) ( BUMP_5_0 PAD0 ) ( BUMP_5_0 PAD1 ) ( BUMP_4_1 PAD0 ) ( BUMP_4_1 PAD1 ) ( BUMP_2_1 PAD0 ) ( BUMP_2_1 PAD1 ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 175000 ) ( 3450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 169000 ) ( 3436990 928140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3430990 211340 ) ( 3446000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3436990 205340 ) ( 3436990 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 928140 ) ( 3411390 953740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 953740 ) ( 3411390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1516940 ) ( 3334590 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1593740 ) ( 3334590 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1721740 ) ( 3351000 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1715740 ) ( 3345000 1731000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5811740 ) ( 3010000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5817740 ) ( 3016000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1516940 ) ( 3360190 1568140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 1568140 ) ( 3360190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1670540 ) ( 3366190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1664540 ) ( 3345000 1731000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5786140 ) ( 3010000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5792140 ) ( 3016000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5715340 ) ( 3001790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5203340 ) ( 2950590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5126540 ) ( 2950590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4973000 ) ( 3027390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 4973000 ) ( 3029195 4985000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2930000 175000 ) ( 2956590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 169000 ) ( 2950590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5018140 ) ( 3027390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5024140 ) ( 3033390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4979000 ) ( 3025000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2934000 211340 ) ( 2956590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2950590 205340 ) ( 2950590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 544140 ) ( 3027390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 620940 ) ( 3027390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 765000 ) ( 3029195 777000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 175000 ) ( 2572590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2566590 169000 ) ( 2566590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 620940 ) ( 3027390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 723340 ) ( 3033390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 717340 ) ( 3025000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2554000 211340 ) ( 2566590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2566590 211340 ) ( 2592190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 236940 ) ( 2592190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 544140 ) ( 2617790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 569740 ) ( 2617790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 1184140 ) ( 2694590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1260940 ) ( 2694590 1417000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1411000 ) ( 2705000 1411000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 5825000 ) ( 2630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5792140 ) ( 2617790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1260940 ) ( 2694590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1363340 ) ( 2711000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1357340 ) ( 2705000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 5786140 ) ( 2620000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 2621895 5786140 ) ( 2621895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5766540 ) ( 2617790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5203340 ) ( 2592190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5177740 ) ( 2592190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 4563340 ) ( 2617790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4486540 ) ( 2617790 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4333000 ) ( 2694590 4486540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4339000 ) ( 2705000 4339000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2220000 5825000 ) ( 2240000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4378140 ) ( 2694590 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4384140 ) ( 2711000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4339000 ) ( 2705000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2230000 5786140 ) ( 2230000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2224000 5792140 ) ( 2239790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5689740 ) ( 2233790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5484940 ) ( 2156990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5459340 ) ( 2156990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 4844940 ) ( 2131390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4768140 ) ( 2131390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4659740 ) ( 2054590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4665740 ) ( 2071000 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4659000 ) ( 2065000 4671740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 185740 ) ( 2046000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 179740 ) ( 2028990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 236940 ) ( 2054590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 262540 ) ( 2054590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 441740 ) ( 2071000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 435740 ) ( 2065000 451000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5811740 ) ( 1840000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1834000 5817740 ) ( 1855790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5792140 ) ( 1849790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5766540 ) ( 1849790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1824190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4793740 ) ( 2131390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4710940 ) ( 2080190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 4716940 ) ( 2086190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4659000 ) ( 2065000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2034000 211340 ) ( 2060590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 205340 ) ( 2054590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 390540 ) ( 2071000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 384540 ) ( 2065000 451000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5786140 ) ( 1840000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1818190 5792140 ) ( 1846000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1824190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5433740 ) ( 1824190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5299740 ) ( 1747390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5305740 ) ( 1753390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5299000 ) ( 1745000 5311740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3890000 ) ( 175000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3897740 ) ( 876990 3897740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5350940 ) ( 1747390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5356940 ) ( 1753390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5299000 ) ( 1745000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3900000 ) ( 217390 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3891740 ) ( 211390 3906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3897740 ) ( 876990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3897740 ) ( 902590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3923340 ) ( 1516990 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 3923340 ) ( 1593790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4000140 ) ( 1727000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1715000 4000570 ) ( 1727000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1650000 175000 ) ( 1676590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1670590 169000 ) ( 1670590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4000140 ) ( 1676590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3989000 ) ( 1670590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3995000 ) ( 1742000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1654000 211340 ) ( 1676590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 205340 ) ( 1670590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 544140 ) ( 1747390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 620940 ) ( 1747390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 765000 ) ( 1749195 777000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2990000 ) ( 175000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3001740 ) ( 236990 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 620940 ) ( 1747390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 723340 ) ( 1753390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 717340 ) ( 1745000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3000000 ) ( 217390 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2994000 ) ( 211390 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3001740 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 851390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2950540 ) ( 928190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 3027340 ) ( 1087000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081000 3021340 ) ( 1081000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1400000 ) ( 191790 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1382940 ) ( 185790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1388940 ) ( 211390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 211390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 851390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 3027340 ) ( 1036590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3021340 ) ( 1030590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3035000 ) ( 1102000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1357340 ) ( 175000 1410000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 1363340 ) ( 851390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1363340 ) ( 928190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1087000 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1075000 1440570 ) ( 1087000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4270000 ) ( 175000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4281740 ) ( 236990 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1036590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1429000 ) ( 1030590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1435000 ) ( 1102000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4280000 ) ( 217390 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4274000 ) ( 211390 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4281740 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 851390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 4230540 ) ( 928190 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4307340 ) ( 1087000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081000 4301340 ) ( 1081000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1010000 175000 ) ( 1036590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1030590 169000 ) ( 1030590 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4307340 ) ( 1036590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4301340 ) ( 1030590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4315000 ) ( 1102000 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014000 211340 ) ( 1036590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 205340 ) ( 1030590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1030590 569740 ) ( 1107390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 646540 ) ( 1107390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1109195 765000 ) ( 1109195 777000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2480000 ) ( 175000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2489740 ) ( 236990 2489740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 646540 ) ( 1107390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 723340 ) ( 1113390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 717340 ) ( 1105000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2490000 ) ( 217390 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2483740 ) ( 211390 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2489740 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 569790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 2387340 ) ( 569790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 2387340 ) ( 754990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 2381340 ) ( 748990 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 2395000 ) ( 782000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 810000 5825000 ) ( 831790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 5792140 ) ( 825790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5740940 ) ( 825790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5613000 ) ( 774590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5619000 ) ( 785000 5619000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4730000 ) ( 175000 4750000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4742540 ) ( 236990 4742540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2412940 ) ( 569790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2412940 ) ( 703790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2389000 ) ( 697790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2395000 ) ( 782000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 820000 5786140 ) ( 820000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 794190 5792140 ) ( 826000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 800190 5658140 ) ( 800190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 779000 5664140 ) ( 806190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 5619000 ) ( 785000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4740000 ) ( 217390 4740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4734000 ) ( 211390 4748540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4742540 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 518590 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 518590 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 754990 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 4629000 ) ( 748990 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 4635000 ) ( 782000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2680000 ) ( 5825000 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2662940 ) ( 5817790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5823790 2668940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 2720140 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 2709000 ) ( 5612990 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 2715000 ) ( 5630000 2715000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3960000 ) ( 5825000 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3942940 ) ( 5817790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5823790 3948940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 4000140 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 3989000 ) ( 5612990 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3995000 ) ( 5630000 3995000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1330000 ) ( 5825000 1350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5831000 1337740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5766590 1363340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5766590 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 703790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4629000 ) ( 697790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4635000 ) ( 782000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2680000 ) ( 5825000 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2674000 ) ( 5792190 2700540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5798190 2694540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2720140 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2709000 ) ( 5664190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 2715000 ) ( 5670190 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3960000 ) ( 5825000 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3954000 ) ( 5792190 3980540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5798190 3974540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4000140 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3989000 ) ( 5664190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3995000 ) ( 5670190 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1340000 ) ( 5825000 1340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1334000 ) ( 5792190 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5798190 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1363340 ) ( 5433790 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 1440140 ) ( 5433790 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 1440570 ) ( 5295000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5350000 5825000 ) ( 5370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1440140 ) ( 5433790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1429000 ) ( 5331390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 1435000 ) ( 5337390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5360000 5786140 ) ( 5360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5350990 5792140 ) ( 5366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5740940 ) ( 5356990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5484940 ) ( 5331390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5408140 ) ( 5331390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5299740 ) ( 5254590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5305740 ) ( 5271000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5299000 ) ( 5265000 5311740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3580000 ) ( 5825000 3580000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3574000 ) ( 5817790 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5823790 3590540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5433740 ) ( 5331390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5350940 ) ( 5280190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5356940 ) ( 5286190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5299000 ) ( 5265000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3580000 ) ( 5825000 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3574000 ) ( 5792190 3596540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5798190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 3667340 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 3661340 ) ( 5289000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5222990 185740 ) ( 5246000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 179740 ) ( 5228990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 211340 ) ( 5254590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 236940 ) ( 5254590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 441740 ) ( 5271000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 435740 ) ( 5265000 451000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3046940 ) ( 5825000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5831000 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3667340 ) ( 5459390 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3661340 ) ( 5331390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 3675000 ) ( 5337390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5234000 211340 ) ( 5260590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5254590 205340 ) ( 5254590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5248590 390540 ) ( 5271000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 384540 ) ( 5265000 451000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3060000 ) ( 5825000 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3046940 ) ( 5792190 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5798190 3052940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5715390 3104140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3104140 ) ( 5715390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3027340 ) ( 5203390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 3027340 ) ( 5126590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 3021340 ) ( 4972990 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3035000 ) ( 4990000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1766940 ) ( 5825000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5831000 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3052940 ) ( 5203390 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3052940 ) ( 5152190 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3029000 ) ( 5024190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3035000 ) ( 5030190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1780000 ) ( 5825000 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1766940 ) ( 5792190 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5798190 1772940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5715390 1824140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1824140 ) ( 5715390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1747340 ) ( 5203390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 1747340 ) ( 5126590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 1741340 ) ( 4972990 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 1755000 ) ( 4990000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4326940 ) ( 5825000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5831000 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1772940 ) ( 5203390 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1772940 ) ( 5152190 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1749000 ) ( 5024190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 1755000 ) ( 5030190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4340000 ) ( 5825000 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4326940 ) ( 5792190 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5798190 4332940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5715390 4384140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4384140 ) ( 5715390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4307340 ) ( 5203390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 4307340 ) ( 5126590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 4301340 ) ( 4972990 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 4315000 ) ( 4990000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5811740 ) ( 4600000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5817740 ) ( 4606000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4332940 ) ( 5203390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4332940 ) ( 5152190 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4309000 ) ( 5024190 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 4315000 ) ( 5030190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5786140 ) ( 4600000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5792140 ) ( 4606000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5715340 ) ( 4588990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5203340 ) ( 4537790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5126540 ) ( 4537790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4973000 ) ( 4614590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4979000 ) ( 4625000 4979000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2170000 ) ( 5825000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2164000 ) ( 5817790 2188540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2182540 ) ( 5823790 2182540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5018140 ) ( 4614590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5024140 ) ( 4631000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4979000 ) ( 4625000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2170000 ) ( 5825000 2170000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2164000 ) ( 5792190 2188540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2182540 ) ( 5798190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2156940 ) ( 5152190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2156940 ) ( 5126590 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2080140 ) ( 4563390 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 2080140 ) ( 4486590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 2069000 ) ( 4332990 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 2075000 ) ( 4350000 2075000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4210000 175000 ) ( 4236590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 169000 ) ( 4230590 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2080140 ) ( 4486590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2069000 ) ( 4384190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 2075000 ) ( 4390190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4214000 211340 ) ( 4236590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 205340 ) ( 4230590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 544140 ) ( 4307390 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 620940 ) ( 4307390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 765000 ) ( 4309195 777000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 175000 ) ( 3852590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3846590 169000 ) ( 3846590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 620940 ) ( 4307390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 723340 ) ( 4313390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 717340 ) ( 4305000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3834000 211340 ) ( 3846590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3846590 211340 ) ( 3872190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 236940 ) ( 3872190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 544140 ) ( 3897790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 569740 ) ( 3897790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 1184140 ) ( 3974590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1260940 ) ( 3974590 1417000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1411000 ) ( 3985000 1411000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4140000 5825000 ) ( 4160000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5792140 ) ( 4153790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5715340 ) ( 4153790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1260940 ) ( 3974590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1363340 ) ( 3991000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1357340 ) ( 3985000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4150000 5786140 ) ( 4150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4122190 5792140 ) ( 4156000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4128190 5766540 ) ( 4128190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5715340 ) ( 4128190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5459340 ) ( 4076990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5433740 ) ( 4076990 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 4870540 ) ( 4051390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4793740 ) ( 4051390 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4659740 ) ( 3974590 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4665740 ) ( 3991000 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4659000 ) ( 3985000 4671740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3686990 5825000 ) ( 3710000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3692990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4819340 ) ( 4051390 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4710940 ) ( 4000190 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 4716940 ) ( 4006190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4659000 ) ( 3985000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 5786140 ) ( 3700000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3706000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5766540 ) ( 3692990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5613000 ) ( 3667390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 5613000 ) ( 3669195 5625000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3370000 ) ( 175000 3391740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3385740 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 447000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 3360570 ) ( 447000 3360570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2090000 ) ( 175000 2111740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2105740 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 447000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 2080570 ) ( 447000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5658140 ) ( 3667390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5664140 ) ( 3673390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5619000 ) ( 3665000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3380000 ) ( 217390 3380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3354140 ) ( 211390 3386000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3360140 ) ( 396590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3349000 ) ( 390590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3355000 ) ( 462000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2100000 ) ( 217390 2100000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2074140 ) ( 211390 2106000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2080140 ) ( 396590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2069000 ) ( 390590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2075000 ) ( 462000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2916,253 +2938,276 @@ SPECIALNETS 139 ; ( BUMP_14_5 PAD1 ) ( BUMP_16_4 PAD0 ) ( BUMP_16_4 PAD1 ) ( BUMP_13_3 PAD0 ) ( BUMP_13_3 PAD1 ) ( BUMP_14_0 PAD0 ) ( BUMP_14_0 PAD1 ) ( BUMP_12_3 PAD0 ) ( BUMP_12_3 PAD1 ) ( BUMP_10_4 PAD0 ) ( BUMP_10_4 PAD1 ) ( BUMP_9_2 PAD0 ) ( BUMP_9_2 PAD1 ) ( BUMP_8_3 PAD0 ) ( BUMP_8_3 PAD1 ) ( BUMP_6_4 PAD0 ) ( BUMP_6_4 PAD1 ) ( BUMP_5_1 PAD0 ) ( BUMP_5_1 PAD1 ) ( BUMP_4_3 PAD0 ) ( BUMP_4_3 PAD1 ) ( BUMP_1_0 PAD0 ) ( BUMP_1_0 PAD1 ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3391790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 169000 ) ( 3385790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 211340 ) ( 3411390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 236940 ) ( 3411390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3334590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 979340 ) ( 3334590 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1081740 ) ( 3351000 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1075740 ) ( 3345000 1091000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2867790 175000 ) ( 2890000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 169000 ) ( 2873790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 211340 ) ( 2924990 262540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3417390 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 169000 ) ( 3411390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3360190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 953740 ) ( 3360190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1030540 ) ( 3366190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1024540 ) ( 3345000 1091000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2874000 211340 ) ( 2905390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2899390 205340 ) ( 2899390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2899390 236940 ) ( 2924990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 262540 ) ( 2924990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 569740 ) ( 2950590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 595340 ) ( 2950590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 1209740 ) ( 3027390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1286540 ) ( 3027390 1417000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 1405000 ) ( 3029195 1417000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 5825000 ) ( 3140000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5792140 ) ( 3129790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1286540 ) ( 3027390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1363340 ) ( 3033390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1357340 ) ( 3025000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 5786140 ) ( 3130000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 3132895 5786140 ) ( 3132895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5766540 ) ( 3129790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5510540 ) ( 3104190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5433740 ) ( 3104190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5299740 ) ( 3027390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5305740 ) ( 3033390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5299000 ) ( 3025000 5311740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5811740 ) ( 2680000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 5817740 ) ( 2686000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2668990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5350940 ) ( 3027390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5356940 ) ( 3033390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5299000 ) ( 3025000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5786140 ) ( 2680000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2686000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5740940 ) ( 2668990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5228940 ) ( 2617790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5152140 ) ( 2617790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4973000 ) ( 2694590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4979000 ) ( 2705000 4979000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 175000 ) ( 2500000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 169000 ) ( 2489790 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5018140 ) ( 2694590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5024140 ) ( 2711000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4979000 ) ( 2705000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 211340 ) ( 2496000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 205340 ) ( 2489790 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 902540 ) ( 2464190 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 928140 ) ( 2464190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 1491340 ) ( 2387390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1721740 ) ( 2393390 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1715740 ) ( 2385000 1731000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5811740 ) ( 2300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2294000 5817740 ) ( 2316590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5792140 ) ( 2310590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5766540 ) ( 2310590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5152140 ) ( 2284990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1670540 ) ( 2393390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1664540 ) ( 2385000 1731000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5786140 ) ( 2300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2278990 5792140 ) ( 2306000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5152140 ) ( 2284990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5126540 ) ( 2284990 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 4563340 ) ( 2310590 5126540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4486540 ) ( 2310590 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4333000 ) ( 2387390 4486540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 4333000 ) ( 2389195 4345000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 175000 ) ( 1990000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 169000 ) ( 1977790 544140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4378140 ) ( 2387390 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4384140 ) ( 2393390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4339000 ) ( 2385000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 211340 ) ( 1986000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 205340 ) ( 1977790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 544140 ) ( 2054590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 620940 ) ( 2054590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 771000 ) ( 2065000 771000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1587790 175000 ) ( 1610000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 169000 ) ( 1593790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 211340 ) ( 1644990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 620940 ) ( 2054590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 723340 ) ( 2071000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 717340 ) ( 2065000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1594000 211340 ) ( 1625390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 205340 ) ( 1619390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 236940 ) ( 1644990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 262540 ) ( 1644990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 569740 ) ( 1670590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 595340 ) ( 1670590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 1209740 ) ( 1747390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1286540 ) ( 1747390 1417000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 1405000 ) ( 1749195 1417000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1890000 5825000 ) ( 1910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1900990 5792140 ) ( 1900990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1900990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1286540 ) ( 1747390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1363340 ) ( 1753390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1357340 ) ( 1745000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1900000 5786140 ) ( 1900000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1869390 5792140 ) ( 1906000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1875390 5766540 ) ( 1875390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1875390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5484940 ) ( 1849790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5459340 ) ( 1849790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 4896140 ) ( 1824190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4819340 ) ( 1824190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4659740 ) ( 1747390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4665740 ) ( 1753390 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4659000 ) ( 1745000 4671740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2534940 ) ( 175000 2560000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2540940 ) ( 236990 2540940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4710940 ) ( 1747390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4716940 ) ( 1753390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4659000 ) ( 1745000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2550000 ) ( 217390 2550000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2534940 ) ( 211390 2556000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2540940 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 595390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2464140 ) ( 595390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2464140 ) ( 1184190 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2387340 ) ( 1184190 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2387340 ) ( 1394990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 2381340 ) ( 1388990 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 2395000 ) ( 1422000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4787740 ) ( 175000 4810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4793740 ) ( 236990 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 236990 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2412940 ) ( 1184190 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2412940 ) ( 1343790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2389000 ) ( 1337790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2395000 ) ( 1422000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4762140 ) ( 175000 4810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 4768140 ) ( 262590 4768140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 262590 4768140 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 544190 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 544190 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 1184190 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1184190 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1394990 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 4629000 ) ( 1388990 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 4635000 ) ( 1422000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 5825000 ) ( 1150000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 5792140 ) ( 1132990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5766540 ) ( 1132990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5613000 ) ( 1107390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1109195 5613000 ) ( 1109195 5625000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 191790 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3942940 ) ( 185790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3948940 ) ( 211390 3948940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4640140 ) ( 1343790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4629000 ) ( 1337790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4635000 ) ( 1422000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1101390 5825000 ) ( 1150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5658140 ) ( 1107390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5664140 ) ( 1113390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5619000 ) ( 1105000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 217390 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3948940 ) ( 211390 3966000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 211390 3948940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 851390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 3923340 ) ( 928190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1087000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1075000 4000570 ) ( 1087000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3430000 ) ( 175000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3436940 ) ( 518590 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1036590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3989000 ) ( 1030590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3995000 ) ( 1102000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3440000 ) ( 217390 3440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3430940 ) ( 211390 3446000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3436940 ) ( 518590 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 518590 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 754990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 3349000 ) ( 748990 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 3355000 ) ( 782000 3355000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2150000 ) ( 175000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2156940 ) ( 518590 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 703790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3349000 ) ( 697790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3355000 ) ( 782000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2160000 ) ( 217390 2160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2150940 ) ( 211390 2166000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2156940 ) ( 518590 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 518590 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 754990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 2069000 ) ( 748990 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 2075000 ) ( 782000 2075000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 742990 185740 ) ( 766000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 179740 ) ( 748990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 236940 ) ( 774590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 262540 ) ( 774590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 441740 ) ( 791000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 785000 435740 ) ( 785000 451000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2990000 ) ( 5825000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5831000 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 703790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2069000 ) ( 697790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2075000 ) ( 782000 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 754000 211340 ) ( 780590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 774590 205340 ) ( 774590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 390540 ) ( 791000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 384540 ) ( 785000 451000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3000000 ) ( 5825000 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2994000 ) ( 5792190 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5798190 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 3027340 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 3021340 ) ( 5612990 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3035000 ) ( 5630000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1710000 ) ( 5825000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5831000 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3027340 ) ( 5740990 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3021340 ) ( 5664190 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3035000 ) ( 5670190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1720000 ) ( 5825000 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1714000 ) ( 5792190 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5798190 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 1747340 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 1741340 ) ( 5612990 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 1755000 ) ( 5630000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5606990 5825000 ) ( 5630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1747340 ) ( 5740990 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1741340 ) ( 5664190 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 1755000 ) ( 5670190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5620000 5786140 ) ( 5620000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5606990 5792140 ) ( 5626000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5740940 ) ( 5612990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5613000 ) ( 5587390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 5589195 5613000 ) ( 5589195 5625000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4270000 ) ( 5825000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5831000 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5658140 ) ( 5587390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5579000 5664140 ) ( 5593390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5619000 ) ( 5585000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4280000 ) ( 5825000 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4274000 ) ( 5792190 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5798190 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 4307340 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 4301340 ) ( 5612990 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 4315000 ) ( 5630000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2610000 ) ( 5825000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5831000 2617740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5766590 2643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5766590 2643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4307340 ) ( 5740990 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4301340 ) ( 5664190 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 4315000 ) ( 5670190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2620000 ) ( 5825000 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2614000 ) ( 5792190 2649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5798190 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2643340 ) ( 5433790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 2720140 ) ( 5433790 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 2720570 ) ( 5295000 2720570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3890000 ) ( 5825000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5831000 3897740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5766590 3923340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5766590 3923340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2720140 ) ( 5433790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2709000 ) ( 5331390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 2715000 ) ( 5337390 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3900000 ) ( 5825000 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3894000 ) ( 5792190 3929340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5798190 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3923340 ) ( 5433790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 4000140 ) ( 5433790 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 4000570 ) ( 5295000 4000570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2099740 ) ( 5825000 2120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5831000 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5740990 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4000140 ) ( 5433790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3989000 ) ( 5331390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 3995000 ) ( 5337390 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2110000 ) ( 5825000 2110000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2104000 ) ( 5792190 2137340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2131340 ) ( 5798190 2131340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2131340 ) ( 5740990 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2156940 ) ( 5740990 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 2080140 ) ( 5177790 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 2080140 ) ( 5100990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 2069000 ) ( 4972990 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 2075000 ) ( 4990000 2075000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 175000 ) ( 4930000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 169000 ) ( 4921790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2080140 ) ( 5100990 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2069000 ) ( 5024190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 2075000 ) ( 5030190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 4917895 205340 ) ( 4917895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 211340 ) ( 4947390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 441740 ) ( 4953390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 435740 ) ( 4945000 451000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1270000 ) ( 5825000 1292540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5831000 1286540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 390540 ) ( 4953390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 384540 ) ( 4945000 451000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1280000 ) ( 5825000 1280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1274000 ) ( 5792190 1286540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5740990 1337740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1337740 ) ( 5740990 1337740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1337740 ) ( 5459390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 1363340 ) ( 5459390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 1363340 ) ( 4768190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 1440140 ) ( 4768190 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4643000 1440570 ) ( 4655000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4650000 5825000 ) ( 4671790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 5792140 ) ( 4665790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5740940 ) ( 4665790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5613000 ) ( 4614590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5619000 ) ( 4625000 5619000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3507740 ) ( 5825000 3530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5831000 3513740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1440140 ) ( 4768190 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1429000 ) ( 4691390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 1435000 ) ( 4697390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4660000 5786140 ) ( 4660000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4634190 5792140 ) ( 4666000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5658140 ) ( 4640190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5664140 ) ( 4646190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5619000 ) ( 4625000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3520000 ) ( 5825000 3520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3507740 ) ( 5792190 3526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5798190 3513740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3590540 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3590540 ) ( 4793790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 3667340 ) ( 4793790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4649000 3661340 ) ( 4649000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4147790 175000 ) ( 4170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 169000 ) ( 4153790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 211340 ) ( 4204990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3667340 ) ( 4793790 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3661340 ) ( 4691390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 3675000 ) ( 4697390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4154000 211340 ) ( 4185390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4179390 205340 ) ( 4179390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4179390 236940 ) ( 4204990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 262540 ) ( 4204990 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 569740 ) ( 4230590 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 595340 ) ( 4230590 1209740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 1209740 ) ( 4307390 1286540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1286540 ) ( 4307390 1417000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 1405000 ) ( 4309195 1417000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4190000 5825000 ) ( 4210990 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5177740 ) ( 4204990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1286540 ) ( 4307390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1363340 ) ( 4313390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1357340 ) ( 4305000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4200000 5786140 ) ( 4200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4194000 5792140 ) ( 4210990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5177740 ) ( 4204990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5152140 ) ( 4204990 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 4537740 ) ( 4230590 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4460940 ) ( 4230590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4333000 ) ( 4307390 4460940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 4333000 ) ( 4309195 4345000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 175000 ) ( 3780000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 169000 ) ( 3769790 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4378140 ) ( 4307390 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4384140 ) ( 4313390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4339000 ) ( 4305000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 211340 ) ( 3776000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 205340 ) ( 3769790 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 902540 ) ( 3744190 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 928140 ) ( 3744190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 1491340 ) ( 3667390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1721740 ) ( 3673390 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1715740 ) ( 3665000 1731000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3630000 5825000 ) ( 3650000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 5792140 ) ( 3641790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3641790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1670540 ) ( 3673390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1664540 ) ( 3665000 1731000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3640000 5786140 ) ( 3640000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3610190 5792140 ) ( 3646000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3616190 5766540 ) ( 3616190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3616190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5177740 ) ( 3590590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5100940 ) ( 3590590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4973000 ) ( 3667390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 4973000 ) ( 3669195 4985000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3046940 ) ( 175000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3052940 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 447000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 3021340 ) ( 441000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1450000 ) ( 175000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1465740 ) ( 236990 1465740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5018140 ) ( 3667390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5024140 ) ( 3673390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4979000 ) ( 3665000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3060000 ) ( 217390 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3046940 ) ( 211390 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3052940 ) ( 396590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3029000 ) ( 390590 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3035000 ) ( 462000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1460000 ) ( 217390 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1454000 ) ( 211390 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1465740 ) ( 236990 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 236990 1465740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 447000 1440140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 1440570 ) ( 447000 1440570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4326940 ) ( 175000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4332940 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 447000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 4301340 ) ( 441000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 396590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1429000 ) ( 390590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1435000 ) ( 462000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4340000 ) ( 217390 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4326940 ) ( 211390 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4332940 ) ( 396590 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4309000 ) ( 390590 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4315000 ) ( 462000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3315,130 +3360,142 @@ SPECIALNETS 139 ; ( BUMP_11_12 PAD0 ) ( BUMP_11_12 PAD1 ) ( BUMP_14_16 PAD0 ) ( BUMP_14_16 PAD1 ) ( BUMP_16_13 PAD0 ) ( BUMP_16_13 PAD1 ) ( BUMP_16_9 PAD0 ) ( BUMP_16_9 PAD1 ) ( BUMP_15_6 PAD0 ) ( BUMP_15_6 PAD1 ) ( BUMP_15_2 PAD0 ) ( BUMP_15_2 PAD1 ) ( BUMP_12_0 PAD0 ) ( BUMP_12_0 PAD1 ) ( BUMP_9_3 PAD0 ) ( BUMP_9_3 PAD1 ) ( BUMP_6_3 PAD0 ) ( BUMP_6_3 PAD1 ) ( BUMP_2_2 PAD0 ) ( BUMP_2_2 PAD1 ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 175000 ) ( 3212590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3206590 169000 ) ( 3206590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3194000 211340 ) ( 3212590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3206590 205340 ) ( 3206590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3206590 236940 ) ( 3232190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 262540 ) ( 3232190 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 569740 ) ( 3257790 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 595340 ) ( 3257790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 1158540 ) ( 3334590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1417000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1411000 ) ( 3345000 1411000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3277390 5825000 ) ( 3300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3283390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1363340 ) ( 3351000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1357340 ) ( 3345000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3290000 5786140 ) ( 3290000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3296000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5740940 ) ( 3283390 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5177740 ) ( 3232190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5152140 ) ( 3232190 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 4588940 ) ( 3257790 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4512140 ) ( 3257790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4333000 ) ( 3334590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4339000 ) ( 3345000 4339000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2790000 5825000 ) ( 2810000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4378140 ) ( 3334590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4384140 ) ( 3351000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4339000 ) ( 3345000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2800000 5786140 ) ( 2800000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 5792140 ) ( 2806000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5740940 ) ( 2796990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5484940 ) ( 2771390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5408140 ) ( 2771390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5299740 ) ( 2694590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5305740 ) ( 2711000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5299000 ) ( 2705000 5311740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2227790 175000 ) ( 2250000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 169000 ) ( 2233790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5433740 ) ( 2771390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5350940 ) ( 2720190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5356940 ) ( 2726190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5299000 ) ( 2705000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2227790 211340 ) ( 2246000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 205340 ) ( 2233790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2233790 236940 ) ( 2284990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 288140 ) ( 2284990 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 595340 ) ( 2310590 620940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 620940 ) ( 2310590 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 1184140 ) ( 2387390 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1260940 ) ( 2387390 1417000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 1405000 ) ( 2389195 1417000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 5825000 ) ( 1730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1260940 ) ( 2387390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1363340 ) ( 2393390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1357340 ) ( 2385000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 5786140 ) ( 1720000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 5792140 ) ( 1727790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5715340 ) ( 1721790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5203340 ) ( 1670590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5126540 ) ( 1670590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4973000 ) ( 1747390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 4973000 ) ( 1749195 4985000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1710000 ) ( 175000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1721740 ) ( 236990 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5018140 ) ( 1747390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5024140 ) ( 1753390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4979000 ) ( 1745000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1720000 ) ( 217390 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1714000 ) ( 211390 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1721740 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 851390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1670540 ) ( 928190 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1747340 ) ( 1087000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081000 1741340 ) ( 1081000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 175000 ) ( 1150000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 169000 ) ( 1132990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 211340 ) ( 1184190 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1747340 ) ( 1036590 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1741340 ) ( 1030590 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1755000 ) ( 1102000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1134000 211340 ) ( 1164590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 205340 ) ( 1158590 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 236940 ) ( 1184190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 262540 ) ( 1184190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 876940 ) ( 1107390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 953740 ) ( 1107390 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1081740 ) ( 1113390 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1075740 ) ( 1105000 1091000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 191790 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2804000 ) ( 185790 2828540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2822540 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 544190 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 953740 ) ( 1107390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1030540 ) ( 1113390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1024540 ) ( 1105000 1091000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 217390 2810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2790940 ) ( 211390 2816000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2796940 ) ( 544190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 544190 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 754990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 2709000 ) ( 748990 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 2715000 ) ( 782000 2715000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3760000 ) ( 175000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3769740 ) ( 236990 3769740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 2720140 ) ( 703790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2709000 ) ( 697790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2715000 ) ( 782000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3770000 ) ( 217390 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3763740 ) ( 211390 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3769740 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 569790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 3667340 ) ( 569790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 3667340 ) ( 754990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 3661340 ) ( 748990 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 3675000 ) ( 782000 3675000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3340540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5831000 3334540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 3360140 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 3349000 ) ( 5612990 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3355000 ) ( 5630000 3355000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4600000 ) ( 5825000 4600000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4582940 ) ( 5817790 4606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5823790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 4640140 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 4629000 ) ( 5612990 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 4635000 ) ( 5630000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2300000 ) ( 5825000 2300000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2294000 ) ( 5817790 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5823790 2310540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 3692940 ) ( 569790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 3692940 ) ( 703790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3669000 ) ( 697790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3675000 ) ( 782000 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3360140 ) ( 5831000 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3349000 ) ( 5664190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3355000 ) ( 5670190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4600000 ) ( 5825000 4600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4594000 ) ( 5792190 4614540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4614540 ) ( 5766590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4640140 ) ( 5766590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4629000 ) ( 5664190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 4635000 ) ( 5670190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2300000 ) ( 5825000 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2294000 ) ( 5792190 2316540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5798190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 2387340 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 2381340 ) ( 5289000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1020000 ) ( 5825000 1020000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1014000 ) ( 5817790 1036540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5823790 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2387340 ) ( 5459390 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2381340 ) ( 5331390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 2395000 ) ( 5337390 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1020000 ) ( 5825000 1020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1014000 ) ( 5792190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5798190 1030540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 1107340 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 1101340 ) ( 5289000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4966990 5825000 ) ( 4990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4972990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1107340 ) ( 5459390 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1101340 ) ( 5331390 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 1115000 ) ( 5337390 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4980000 5786140 ) ( 4980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4986000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5766540 ) ( 4972990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5613000 ) ( 4947390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 5613000 ) ( 4949195 5625000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4270000 175000 ) ( 4290000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4281790 169000 ) ( 4281790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5658140 ) ( 4947390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5664140 ) ( 4953390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5619000 ) ( 4945000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4274000 211340 ) ( 4287790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4281790 205340 ) ( 4281790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4281790 236940 ) ( 4307390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 441740 ) ( 4313390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 435740 ) ( 4305000 451000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 5825000 ) ( 3910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5792140 ) ( 3897790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 390540 ) ( 4313390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 384540 ) ( 4305000 451000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 5786140 ) ( 3900000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 3901895 5786140 ) ( 3901895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5766540 ) ( 3897790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5203340 ) ( 3872190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5177740 ) ( 3872190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 4563340 ) ( 3897790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4486540 ) ( 3897790 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4333000 ) ( 3974590 4486540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4339000 ) ( 3985000 4339000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4966940 ) ( 175000 4990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4972940 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 447000 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 4941340 ) ( 441000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4378140 ) ( 3974590 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4384140 ) ( 3991000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4339000 ) ( 3985000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4980000 ) ( 217390 4980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4966940 ) ( 211390 4986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4972940 ) ( 396590 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4949000 ) ( 390590 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4955000 ) ( 462000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3591,1299 +3648,1372 @@ SPECIALNETS 139 ; ( BUMP_10_13 PAD0 ) ( BUMP_10_13 PAD1 ) ( BUMP_14_14 PAD0 ) ( BUMP_14_14 PAD1 ) ( BUMP_14_13 PAD0 ) ( BUMP_14_13 PAD1 ) ( BUMP_14_9 PAD0 ) ( BUMP_14_9 PAD1 ) ( BUMP_16_6 PAD0 ) ( BUMP_16_6 PAD1 ) ( BUMP_16_2 PAD0 ) ( BUMP_16_2 PAD1 ) ( BUMP_12_2 PAD0 ) ( BUMP_12_2 PAD1 ) ( BUMP_9_1 PAD0 ) ( BUMP_9_1 PAD1 ) ( BUMP_6_1 PAD0 ) ( BUMP_6_1 PAD1 ) ( BUMP_3_3 PAD0 ) ( BUMP_3_3 PAD1 ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3379790 5825000 ) ( 3400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 5792140 ) ( 3385790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5740940 ) ( 3385790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5613000 ) ( 3334590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5619000 ) ( 3345000 5619000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3250000 175000 ) ( 3270000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3257790 169000 ) ( 3257790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3390000 5786140 ) ( 3390000 5825000 ) + NEW metal10 10210 + SHAPE IOWIRE ( 3390895 5786140 ) ( 3390895 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5766540 ) ( 3385790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5658140 ) ( 3360190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5664140 ) ( 3366190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5619000 ) ( 3345000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3251790 211340 ) ( 3266000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3257790 205340 ) ( 3257790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 544140 ) ( 3334590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 620940 ) ( 3334590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 771000 ) ( 3345000 771000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2730000 5825000 ) ( 2751790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5715340 ) ( 2745790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5613000 ) ( 2694590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5619000 ) ( 2705000 5619000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2290000 175000 ) ( 2316590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 169000 ) ( 2310590 569740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 620940 ) ( 3334590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 723340 ) ( 3351000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 717340 ) ( 3345000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2740000 5786140 ) ( 2740000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2734000 5792140 ) ( 2751790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5740940 ) ( 2745790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5658140 ) ( 2720190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5664140 ) ( 2726190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5619000 ) ( 2705000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2294000 211340 ) ( 2316590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 205340 ) ( 2310590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 569740 ) ( 2387390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 646540 ) ( 2387390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 765000 ) ( 2389195 777000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1270000 175000 ) ( 1292590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 169000 ) ( 1286590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 646540 ) ( 2387390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 723340 ) ( 2393390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 717340 ) ( 2385000 771000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1274000 211340 ) ( 1286590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1286590 211340 ) ( 1312190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 236940 ) ( 1312190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 544140 ) ( 1337790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 569740 ) ( 1337790 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1184140 ) ( 1414590 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1260940 ) ( 1414590 1417000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1411000 ) ( 1425000 1411000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5811740 ) ( 1530000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1524000 5817740 ) ( 1548590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 5792140 ) ( 1542590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1542590 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1260940 ) ( 1414590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1363340 ) ( 1431000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1357340 ) ( 1425000 1411000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5786140 ) ( 1530000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1510990 5792140 ) ( 1536000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1516990 5766540 ) ( 1516990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1516990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5484940 ) ( 1491390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5408140 ) ( 1491390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5299740 ) ( 1414590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5305740 ) ( 1431000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5299000 ) ( 1425000 5311740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4910000 ) ( 175000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4921740 ) ( 211390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 211390 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5433740 ) ( 1491390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5350940 ) ( 1440190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5356940 ) ( 1446190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5299000 ) ( 1425000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4920000 ) ( 217390 4920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4890140 ) ( 211390 4926000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4896140 ) ( 236990 4896140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 236990 4896140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 876990 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4870540 ) ( 953790 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 4947340 ) ( 1087000 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081000 4941340 ) ( 1081000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1510000 ) ( 175000 1530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1516940 ) ( 544190 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 953790 4947340 ) ( 1036590 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4941340 ) ( 1030590 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4955000 ) ( 1102000 4955000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1520000 ) ( 217390 1520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1510940 ) ( 211390 1526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1516940 ) ( 544190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 544190 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 754990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 1429000 ) ( 748990 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 1435000 ) ( 782000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2350000 ) ( 5825000 2370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5831000 2361740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 1440140 ) ( 703790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1429000 ) ( 697790 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1435000 ) ( 782000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2360000 ) ( 5825000 2360000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 2357870 ) ( 5798190 2357870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 2387340 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 2381340 ) ( 5612990 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 2395000 ) ( 5630000 2395000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1070000 ) ( 5825000 1090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5831000 1081740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2387340 ) ( 5766590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2381340 ) ( 5664190 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 2395000 ) ( 5670190 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1080000 ) ( 5825000 1080000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 1077870 ) ( 5798190 1077870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 1107340 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 1101340 ) ( 5612990 1121000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 1115000 ) ( 5630000 1115000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3379740 ) ( 5825000 3400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5831000 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5740990 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1107340 ) ( 5766590 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1101340 ) ( 5664190 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 1115000 ) ( 5670190 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3390000 ) ( 5825000 3390000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3384000 ) ( 5792190 3417340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3411340 ) ( 5798190 3411340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3411340 ) ( 5740990 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3436940 ) ( 5740990 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 3360140 ) ( 5177790 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 3360140 ) ( 5100990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 3349000 ) ( 4972990 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3355000 ) ( 4990000 3355000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 5825000 ) ( 4930000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 5792140 ) ( 4921790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4921790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3360140 ) ( 5100990 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3349000 ) ( 5024190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3355000 ) ( 5030190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 5786140 ) ( 4920000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4890190 5792140 ) ( 4926000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4896190 5766540 ) ( 4896190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4896190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5177740 ) ( 4870590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5100940 ) ( 4870590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4973000 ) ( 4947390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 4973000 ) ( 4949195 4985000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4650000 ) ( 5825000 4671740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5831000 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5018140 ) ( 4947390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5024140 ) ( 4953390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4979000 ) ( 4945000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4660000 ) ( 5825000 4660000 ) + NEW metal10 11740 + SHAPE IOWIRE ( 5786190 4659870 ) ( 5798190 4659870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5740990 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4716940 ) ( 5740990 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5100990 4640140 ) ( 5177790 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 4640140 ) ( 5100990 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 4629000 ) ( 4972990 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 4635000 ) ( 4990000 4635000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4326990 175000 ) ( 4350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 169000 ) ( 4332990 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4640140 ) ( 5100990 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4629000 ) ( 5024190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 4635000 ) ( 5030190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4326990 211340 ) ( 4346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4332990 205340 ) ( 4332990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4332990 236940 ) ( 4384190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 288140 ) ( 4384190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 851340 ) ( 4307390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1081740 ) ( 4313390 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1075740 ) ( 4305000 1091000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5811740 ) ( 3830000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5817740 ) ( 3836000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1030540 ) ( 4313390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1024540 ) ( 4305000 1091000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5786140 ) ( 3830000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5792140 ) ( 3836000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5715340 ) ( 3820990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5510540 ) ( 3769790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5484940 ) ( 3769790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 4870540 ) ( 3744190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4793740 ) ( 3744190 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4659740 ) ( 3667390 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4665740 ) ( 3673390 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4659000 ) ( 3665000 4671740 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2739740 ) ( 175000 2760000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2745740 ) ( 236990 2745740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4710940 ) ( 3667390 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4716940 ) ( 3673390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4659000 ) ( 3665000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2750000 ) ( 217390 2750000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2739740 ) ( 211390 2756000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2745740 ) ( 236990 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 236990 2745740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 447000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 2720570 ) ( 447000 2720570 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3700000 ) ( 175000 3724540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3718540 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 447000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 3661340 ) ( 441000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 396590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2709000 ) ( 390590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2715000 ) ( 462000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3710000 ) ( 217390 3710000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3686940 ) ( 211390 3716000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3692940 ) ( 396590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3669000 ) ( 390590 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3675000 ) ( 462000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD0 ) ( BUMP_15_8 PAD1 ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2940000 ) ( 5825000 2940000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2934000 ) ( 5817790 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5823790 2950540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2940000 ) ( 5825000 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2934000 ) ( 5792190 2956540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5798190 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5433790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 3027340 ) ( 5433790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 3021340 ) ( 5289000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3027340 ) ( 5433790 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3021340 ) ( 5331390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 3035000 ) ( 5337390 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD0 ) ( BUMP_3_10 PAD1 ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3814940 ) ( 175000 3840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3820940 ) ( 236990 3820940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3830000 ) ( 217390 3830000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3814940 ) ( 211390 3836000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3820940 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 595390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 3744140 ) ( 595390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 3744140 ) ( 1184190 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3667340 ) ( 1184190 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3667340 ) ( 1394990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 3661340 ) ( 1388990 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 3675000 ) ( 1422000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 3692940 ) ( 1184190 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 3692940 ) ( 1343790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3669000 ) ( 1337790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3675000 ) ( 1422000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD0 ) ( BUMP_12_8 PAD1 ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3120000 ) ( 5825000 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3114000 ) ( 5817790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5823790 3129740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3120000 ) ( 5825000 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3114000 ) ( 5792190 3135740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5798190 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3104140 ) ( 5177790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 3104140 ) ( 5152190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 3027340 ) ( 4537790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 3027340 ) ( 4460990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 3021340 ) ( 4332990 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3035000 ) ( 4350000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3052940 ) ( 4537790 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3052940 ) ( 4486590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3029000 ) ( 4384190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3035000 ) ( 4390190 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD0 ) ( BUMP_2_10 PAD1 ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3635740 ) ( 175000 3660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3641740 ) ( 211390 3641740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 211390 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3610140 ) ( 175000 3660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 3616140 ) ( 236990 3616140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 236990 3616140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 876990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3590540 ) ( 953790 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 3667340 ) ( 1087000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081000 3661340 ) ( 1081000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 3667340 ) ( 1036590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3661340 ) ( 1030590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3675000 ) ( 1102000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD0 ) ( BUMP_13_9 PAD1 ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3190000 ) ( 5825000 3212540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5831000 3206540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3200000 ) ( 5825000 3200000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3194000 ) ( 5792190 3212540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5798190 3206540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 3257740 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 3257740 ) ( 5433790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3283340 ) ( 5433790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 3283340 ) ( 4793790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 3360140 ) ( 4793790 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4643000 3360570 ) ( 4655000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3360140 ) ( 4793790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3349000 ) ( 4691390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 3355000 ) ( 4697390 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD0 ) ( BUMP_11_16 PAD1 ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 5825000 ) ( 4040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5715340 ) ( 4025790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5613000 ) ( 3974590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5619000 ) ( 3985000 5619000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 5786140 ) ( 4030000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 5792140 ) ( 4036000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5740940 ) ( 4025790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5658140 ) ( 4000190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5664140 ) ( 4006190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5619000 ) ( 3985000 5670140 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD0 ) ( BUMP_11_15 PAD1 ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5811740 ) ( 4090000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 5817740 ) ( 4108590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 5792140 ) ( 4102590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4102590 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5786140 ) ( 4090000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 5792140 ) ( 4096000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5766540 ) ( 4076990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4076990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5484940 ) ( 4051390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5408140 ) ( 4051390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5299740 ) ( 3974590 5408140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5305740 ) ( 3991000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5299000 ) ( 3985000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5433740 ) ( 4051390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5350940 ) ( 4000190 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5356940 ) ( 4006190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5299000 ) ( 3985000 5362940 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD0 ) ( BUMP_12_14 PAD1 ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4240000 5825000 ) ( 4262190 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4256190 5792140 ) ( 4256190 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5766540 ) ( 4256190 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4230590 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4250000 5786140 ) ( 4250000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4224590 5792140 ) ( 4256000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4230590 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5126540 ) ( 4230590 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4973000 ) ( 4307390 5126540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 4973000 ) ( 4309195 4985000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5018140 ) ( 4307390 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5024140 ) ( 4313390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4979000 ) ( 4305000 5030140 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD0 ) ( BUMP_12_16 PAD1 ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5811740 ) ( 4300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5817740 ) ( 4313390 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5613000 ) ( 4307390 5823740 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4309195 5613000 ) ( 4309195 5625000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5786140 ) ( 4300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5792140 ) ( 4313390 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5658140 ) ( 4307390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5664140 ) ( 4313390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5619000 ) ( 4305000 5670140 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD0 ) ( BUMP_12_15 PAD1 ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4400000 5825000 ) ( 4420000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5792140 ) ( 4409790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4410000 5786140 ) ( 4410000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 4412895 5786140 ) ( 4412895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5766540 ) ( 4409790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5510540 ) ( 4384190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5433740 ) ( 4384190 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5299740 ) ( 4307390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5305740 ) ( 4313390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5299000 ) ( 4305000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5350940 ) ( 4307390 5433740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5356940 ) ( 4313390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5299000 ) ( 4305000 5362940 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD0 ) ( BUMP_14_15 PAD1 ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5811740 ) ( 5040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5034000 5817740 ) ( 5055790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5049790 5766540 ) ( 5049790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5740940 ) ( 5049790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5786140 ) ( 5040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 5792140 ) ( 5046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5459340 ) ( 5024190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5299740 ) ( 4947390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5305740 ) ( 4953390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5299000 ) ( 4945000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5350940 ) ( 4947390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5356940 ) ( 4953390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5299000 ) ( 4945000 5362940 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD0 ) ( BUMP_15_16 PAD1 ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5290000 5825000 ) ( 5311790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5715340 ) ( 5305790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5613000 ) ( 5254590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5619000 ) ( 5265000 5619000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5300000 5786140 ) ( 5300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5294000 5792140 ) ( 5311790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5740940 ) ( 5305790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5658140 ) ( 5280190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5664140 ) ( 5286190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5619000 ) ( 5265000 5670140 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD0 ) ( BUMP_16_15 PAD1 ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 5240000 ) ( 5825000 5240000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 5222940 ) ( 5817790 5246000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5823790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 5280140 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5269000 ) ( 5612990 5286140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 5275000 ) ( 5630000 5275000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 5240000 ) ( 5825000 5240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5234000 ) ( 5792190 5260540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5798190 5254540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 5280140 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 5269000 ) ( 5664190 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 5275000 ) ( 5670190 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD0 ) ( BUMP_16_14 PAD1 ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4930000 ) ( 5825000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4915740 ) ( 5817790 4936000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5823790 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 4947340 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 4941340 ) ( 5612990 4961000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 4955000 ) ( 5630000 4955000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4930000 ) ( 5825000 4930000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4924000 ) ( 5792190 4953340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4947340 ) ( 5798190 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4941340 ) ( 5664190 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 4955000 ) ( 5670190 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD0 ) ( BUMP_12_13 PAD1 ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5811740 ) ( 4470000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4454990 5817740 ) ( 4476000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4460990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5786140 ) ( 4470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4476000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5740940 ) ( 4460990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5484940 ) ( 4409790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5459340 ) ( 4409790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 4896140 ) ( 4384190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4819340 ) ( 4384190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4659740 ) ( 4307390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4665740 ) ( 4313390 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4659000 ) ( 4305000 4671740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4710940 ) ( 4307390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4716940 ) ( 4313390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4659000 ) ( 4305000 4722940 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD0 ) ( BUMP_13_15 PAD1 ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4710000 5825000 ) ( 4730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5792140 ) ( 4716990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5766540 ) ( 4716990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5510540 ) ( 4691390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5433740 ) ( 4691390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5299740 ) ( 4614590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5305740 ) ( 4631000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5299000 ) ( 4625000 5311740 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4685390 5825000 ) ( 4730000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5510540 ) ( 4691390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5459340 ) ( 4691390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5350940 ) ( 4640190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5356940 ) ( 4646190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5299000 ) ( 4625000 5362940 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD0 ) ( BUMP_13_13 PAD1 ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5811740 ) ( 4780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4762190 5817740 ) ( 4786000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4768190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5786140 ) ( 4780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4786000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5740940 ) ( 4768190 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5484940 ) ( 4716990 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5459340 ) ( 4716990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4844940 ) ( 4691390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4768140 ) ( 4691390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4659740 ) ( 4614590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4665740 ) ( 4631000 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4659000 ) ( 4625000 4671740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4793740 ) ( 4691390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4710940 ) ( 4640190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4716940 ) ( 4646190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4659000 ) ( 4625000 4722940 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD0 ) ( BUMP_15_14 PAD1 ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4860000 ) ( 5825000 4860000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4854000 ) ( 5817790 4876540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5823790 4870540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4860000 ) ( 5825000 4860000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4854000 ) ( 5792190 4876540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5798190 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 4947340 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 4941340 ) ( 5289000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4947340 ) ( 5459390 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4941340 ) ( 5331390 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 4955000 ) ( 5337390 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD0 ) ( BUMP_15_13 PAD1 ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4530000 ) ( 5825000 4550000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5831000 4537740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4540000 ) ( 5825000 4540000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4531740 ) ( 5792190 4546000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5798190 4537740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4563340 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4563340 ) ( 5408190 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 4640140 ) ( 5408190 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 4640570 ) ( 5295000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4640140 ) ( 5408190 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4629000 ) ( 5331390 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 4635000 ) ( 5337390 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD0 ) ( BUMP_15_12 PAD1 ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4220000 ) ( 5825000 4220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4214000 ) ( 5817790 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5823790 4230540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4220000 ) ( 5825000 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4214000 ) ( 5792190 4236540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5798190 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5433790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 4307340 ) ( 5433790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 4301340 ) ( 5289000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4307340 ) ( 5433790 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4301340 ) ( 5331390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 4315000 ) ( 5337390 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD0 ) ( BUMP_13_12 PAD1 ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4147740 ) ( 5825000 4170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5831000 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5740990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4160000 ) ( 5825000 4160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4154000 ) ( 5792190 4185340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4179340 ) ( 5798190 4179340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4179340 ) ( 5740990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5740990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 4204940 ) ( 5459390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 5459390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 4307340 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4649000 4301340 ) ( 4649000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4307340 ) ( 4819390 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4301340 ) ( 4691390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 4315000 ) ( 4697390 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD0 ) ( BUMP_12_11 PAD1 ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4070000 ) ( 5825000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5831000 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4080000 ) ( 5825000 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4070940 ) ( 5792190 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5798190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4102540 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4076940 ) ( 5177790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 4076940 ) ( 5152190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4460990 4000140 ) ( 4537790 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 4000140 ) ( 4460990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 3989000 ) ( 4332990 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3995000 ) ( 4350000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 4000140 ) ( 4460990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3989000 ) ( 4384190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3995000 ) ( 4390190 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD0 ) ( BUMP_14_10 PAD1 ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3686940 ) ( 5825000 3710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5831000 3692940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5740990 3744140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3700000 ) ( 5825000 3700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3694000 ) ( 5792190 3724540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3718540 ) ( 5798190 3718540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3718540 ) ( 5740990 3744140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3744140 ) ( 5740990 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 3667340 ) ( 5177790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 3667340 ) ( 5100990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 3661340 ) ( 4972990 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3675000 ) ( 4990000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3692940 ) ( 5177790 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3692940 ) ( 5126590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3669000 ) ( 5024190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3675000 ) ( 5030190 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD0 ) ( BUMP_16_10 PAD1 ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3630000 ) ( 5825000 3650000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5831000 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3640000 ) ( 5825000 3640000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 3637870 ) ( 5798190 3637870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 3667340 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 3661340 ) ( 5612990 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3675000 ) ( 5630000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3667340 ) ( 5766590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3661340 ) ( 5664190 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 3675000 ) ( 5670190 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD0 ) ( BUMP_12_9 PAD1 ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3450000 ) ( 5825000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3444000 ) ( 5817790 3468540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3462540 ) ( 5823790 3462540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3450000 ) ( 5825000 3450000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3444000 ) ( 5792190 3468540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3462540 ) ( 5798190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3436940 ) ( 5152190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3436940 ) ( 5126590 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3360140 ) ( 4563390 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 3360140 ) ( 4486590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 3349000 ) ( 4332990 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3355000 ) ( 4350000 3355000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3360140 ) ( 4486590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3349000 ) ( 4384190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3355000 ) ( 4390190 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD0 ) ( BUMP_15_9 PAD1 ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3270000 ) ( 5825000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3251740 ) ( 5817790 3276000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5823790 3257740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5740990 3283340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5740990 3283340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3270000 ) ( 5825000 3270000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3264000 ) ( 5792190 3289340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5798190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3283340 ) ( 5408190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 3360140 ) ( 5408190 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 3360570 ) ( 5295000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3360140 ) ( 5408190 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3349000 ) ( 5331390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 3355000 ) ( 5337390 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD0 ) ( BUMP_14_11 PAD1 ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4010000 ) ( 5825000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5831000 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4020000 ) ( 5825000 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4014000 ) ( 5792190 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5798190 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4076940 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4000140 ) ( 5203390 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 4000140 ) ( 5126590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 3989000 ) ( 4972990 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3995000 ) ( 4990000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4000140 ) ( 5126590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3989000 ) ( 5024190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 3995000 ) ( 5030190 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD0 ) ( BUMP_13_11 PAD1 ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3830000 ) ( 5825000 3852540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5831000 3846540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3840000 ) ( 5825000 3840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3834000 ) ( 5792190 3846540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5740990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3897740 ) ( 5740990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 3897740 ) ( 5459390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 3923340 ) ( 5459390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 3923340 ) ( 4768190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 4000140 ) ( 4768190 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4643000 4000570 ) ( 4655000 4000570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4000140 ) ( 4768190 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3989000 ) ( 4691390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 3995000 ) ( 4697390 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD0 ) ( BUMP_12_10 PAD1 ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3760000 ) ( 5825000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3769740 ) ( 5831000 3769740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3770000 ) ( 5825000 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3763740 ) ( 5792190 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3769740 ) ( 5798190 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3744140 ) ( 5152190 3769740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3744140 ) ( 5126590 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3667340 ) ( 4563390 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 3667340 ) ( 4486590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 3661340 ) ( 4332990 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3675000 ) ( 4350000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 3744140 ) ( 5126590 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4409790 3692940 ) ( 4460990 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3692940 ) ( 4409790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3669000 ) ( 4384190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 3675000 ) ( 4390190 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD0 ) ( BUMP_7_13 PAD1 ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5811740 ) ( 2860000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5817740 ) ( 2866000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5786140 ) ( 2860000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5792140 ) ( 2866000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5715340 ) ( 2848190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5459340 ) ( 2796990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5433740 ) ( 2796990 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 4870540 ) ( 2771390 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4793740 ) ( 2771390 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4659740 ) ( 2694590 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4665740 ) ( 2711000 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4659000 ) ( 2705000 4671740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4819340 ) ( 2771390 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4710940 ) ( 2720190 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 4716940 ) ( 2726190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4659000 ) ( 2705000 4722940 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD0 ) ( BUMP_8_12 PAD1 ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5811740 ) ( 2940000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2934000 5817740 ) ( 2956590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5766540 ) ( 2950590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5740940 ) ( 2950590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5177740 ) ( 2924990 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5786140 ) ( 2940000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2918990 5792140 ) ( 2946000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5177740 ) ( 2924990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5152140 ) ( 2924990 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 4537740 ) ( 2950590 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4460940 ) ( 2950590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4333000 ) ( 3027390 4460940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 4333000 ) ( 3029195 4345000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4378140 ) ( 3027390 4460940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4384140 ) ( 3033390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4339000 ) ( 3025000 4390140 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD0 ) ( BUMP_8_16 PAD1 ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5811740 ) ( 3070000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3064000 5817740 ) ( 3084590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3078590 5792140 ) ( 3078590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3078590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5613000 ) ( 3027390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3029195 5613000 ) ( 3029195 5625000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5786140 ) ( 3070000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 5792140 ) ( 3076000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 5766540 ) ( 3052990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3052990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5658140 ) ( 3027390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5664140 ) ( 3033390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5619000 ) ( 3025000 5670140 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD0 ) ( BUMP_9_14 PAD1 ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3328590 5825000 ) ( 3350000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5792140 ) ( 3334590 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3340000 5786140 ) ( 3340000 5825000 ) + NEW metal10 11410 + SHAPE IOWIRE ( 3340295 5786140 ) ( 3340295 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5715340 ) ( 3334590 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5203340 ) ( 3257790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5126540 ) ( 3257790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4973000 ) ( 3334590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4979000 ) ( 3345000 4979000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5018140 ) ( 3334590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5024140 ) ( 3351000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4979000 ) ( 3345000 5030140 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD0 ) ( BUMP_8_13 PAD1 ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5811740 ) ( 3190000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3174990 5817740 ) ( 3196000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3180990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5786140 ) ( 3190000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3196000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5740940 ) ( 3180990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5484940 ) ( 3129790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5459340 ) ( 3129790 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 4896140 ) ( 3104190 5459340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4819340 ) ( 3104190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4659740 ) ( 3027390 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4665740 ) ( 3033390 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4659000 ) ( 3025000 4671740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4710940 ) ( 3027390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4716940 ) ( 3033390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4659000 ) ( 3025000 4722940 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD0 ) ( BUMP_0_11 PAD1 ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4010000 ) ( 175000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4025740 ) ( 236990 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4020000 ) ( 217390 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4014000 ) ( 211390 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4025740 ) ( 236990 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 236990 4025740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 447000 4000140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 4000570 ) ( 447000 4000570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 396590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3989000 ) ( 390590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3995000 ) ( 462000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD0 ) ( BUMP_1_11 PAD1 ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4070000 ) ( 175000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4076940 ) ( 544190 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4080000 ) ( 217390 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4070940 ) ( 211390 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4076940 ) ( 544190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 544190 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 754990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 3989000 ) ( 748990 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 3995000 ) ( 782000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4000140 ) ( 703790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3989000 ) ( 697790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3995000 ) ( 782000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD0 ) ( BUMP_3_11 PAD1 ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4140000 ) ( 175000 4160000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4153740 ) ( 211390 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 211390 4153740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4150000 ) ( 217390 4150000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4122140 ) ( 211390 4156000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4128140 ) ( 236990 4128140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 236990 4128140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 569790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4076940 ) ( 569790 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4076940 ) ( 1158590 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1158590 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1394990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 3989000 ) ( 1388990 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 3995000 ) ( 1422000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1343790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3989000 ) ( 1337790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3995000 ) ( 1422000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD0 ) ( BUMP_4_12 PAD1 ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4210000 ) ( 175000 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4230540 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 876990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4220000 ) ( 217390 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4198940 ) ( 211390 4226000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4204940 ) ( 876990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4204940 ) ( 902590 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 4230540 ) ( 1516990 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 4230540 ) ( 1593790 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4307340 ) ( 1727000 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721000 4301340 ) ( 1721000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 4307340 ) ( 1676590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 4301340 ) ( 1670590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 4315000 ) ( 1742000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD0 ) ( BUMP_1_12 PAD1 ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 191790 4400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4394000 ) ( 185790 4415740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4409740 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 544190 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4307340 ) ( 544190 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 4307340 ) ( 754990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 4301340 ) ( 748990 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 4315000 ) ( 782000 4315000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 217390 4400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4378140 ) ( 211390 4406000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4384140 ) ( 544190 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4332940 ) ( 544190 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4332940 ) ( 703790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4309000 ) ( 697790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4315000 ) ( 782000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD0 ) ( BUMP_1_14 PAD1 ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 191790 5040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5034000 ) ( 185790 5055740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5049740 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 569790 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 4947340 ) ( 569790 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 646590 4947340 ) ( 754990 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 4941340 ) ( 748990 4961000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 4955000 ) ( 782000 4955000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 217390 5040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5018140 ) ( 211390 5046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5024140 ) ( 569790 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4972940 ) ( 569790 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 620990 4972940 ) ( 703790 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4949000 ) ( 697790 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4955000 ) ( 782000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD0 ) ( BUMP_0_15 PAD1 ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5290000 ) ( 175000 5311740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5305740 ) ( 236990 5305740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5300000 ) ( 217390 5300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5294000 ) ( 211390 5311740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5305740 ) ( 236990 5305740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 236990 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 447000 5280140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 5280570 ) ( 447000 5280570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 396590 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5269000 ) ( 390590 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5275000 ) ( 462000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD0 ) ( BUMP_1_15 PAD1 ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 191790 5370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5364000 ) ( 185790 5388540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5382540 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 544190 5356940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 217390 5370000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5350940 ) ( 211390 5376000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5356940 ) ( 544190 5356940 ) NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 544190 5356940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 754990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 5269000 ) ( 748990 5286140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 5275000 ) ( 782000 5275000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 620990 5280140 ) ( 703790 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 5269000 ) ( 697790 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 5275000 ) ( 782000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD0 ) ( BUMP_0_16 PAD1 ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5606940 ) ( 175000 5630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5612940 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 447000 5587340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 5581340 ) ( 441000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5620000 ) ( 217390 5620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5606940 ) ( 211390 5626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5612940 ) ( 396590 5612940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5589000 ) ( 390590 5618940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5595000 ) ( 462000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD0 ) ( BUMP_3_12 PAD1 ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4450000 ) ( 175000 4470000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4460940 ) ( 211390 4460940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 211390 4460940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4460000 ) ( 217390 4460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4429340 ) ( 211390 4466000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4435340 ) ( 236990 4435340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 236990 4435340 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 569790 4409740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4384140 ) ( 569790 4409740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 4384140 ) ( 1209790 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 4307340 ) ( 1209790 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 4307340 ) ( 1394990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 4301340 ) ( 1388990 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 4315000 ) ( 1422000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4332940 ) ( 1209790 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 4332940 ) ( 1343790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4309000 ) ( 1337790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4315000 ) ( 1422000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD0 ) ( BUMP_2_13 PAD1 ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4608540 ) ( 175000 4630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4614540 ) ( 211390 4614540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4620000 ) ( 217390 4620000 ) + NEW metal10 11460 + SHAPE IOWIRE ( 205390 4620270 ) ( 217390 4620270 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4563340 ) ( 211390 4614540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4563340 ) ( 876990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 4563340 ) ( 953790 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 4640140 ) ( 1087000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1075000 4640570 ) ( 1087000 4640570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 4640140 ) ( 1036590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4629000 ) ( 1030590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4635000 ) ( 1102000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD0 ) ( BUMP_0_13 PAD1 ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 191790 4680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4674000 ) ( 185790 4697340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4691340 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 447000 4640140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 435000 4640570 ) ( 447000 4640570 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 217390 4680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4665740 ) ( 211390 4686000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 211390 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 396590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4629000 ) ( 390590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4635000 ) ( 462000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD0 ) ( BUMP_2_15 PAD1 ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5811740 ) ( 1200000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1194000 5817740 ) ( 1215790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1209790 5766540 ) ( 1209790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5740940 ) ( 1209790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5786140 ) ( 1200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1178190 5792140 ) ( 1206000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5459340 ) ( 1184190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5299740 ) ( 1107390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5305740 ) ( 1113390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5299000 ) ( 1105000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5350940 ) ( 1107390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5356940 ) ( 1113390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5299000 ) ( 1105000 5362940 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD0 ) ( BUMP_3_14 PAD1 ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5811740 ) ( 1400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1382990 5817740 ) ( 1406000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1388990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5786140 ) ( 1400000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1406000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5740940 ) ( 1388990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5228940 ) ( 1337790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5152140 ) ( 1337790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4973000 ) ( 1414590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4979000 ) ( 1425000 4979000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5018140 ) ( 1414590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5024140 ) ( 1431000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 4979000 ) ( 1425000 5030140 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD0 ) ( BUMP_3_16 PAD1 ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 5825000 ) ( 1480000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5715340 ) ( 1465790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5613000 ) ( 1414590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5619000 ) ( 1425000 5619000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 5786140 ) ( 1470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 5792140 ) ( 1476000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5740940 ) ( 1465790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5658140 ) ( 1440190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5664140 ) ( 1446190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5619000 ) ( 1425000 5670140 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD0 ) ( BUMP_4_16 PAD1 ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 5825000 ) ( 1790000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 5786140 ) ( 1780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 5792140 ) ( 1786000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5740940 ) ( 1772990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5613000 ) ( 1747390 5740940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 1749195 5613000 ) ( 1749195 5625000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5658140 ) ( 1747390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5664140 ) ( 1753390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5619000 ) ( 1745000 5670140 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD0 ) ( BUMP_5_12 PAD1 ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 5825000 ) ( 1990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 5786140 ) ( 1980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 5792140 ) ( 1986000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5740940 ) ( 1977790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5177740 ) ( 1952190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5152140 ) ( 1952190 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 4588940 ) ( 1977790 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4512140 ) ( 1977790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4333000 ) ( 2054590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4339000 ) ( 2065000 4339000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4378140 ) ( 2054590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4384140 ) ( 2071000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4339000 ) ( 2065000 4390140 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD0 ) ( BUMP_6_14 PAD1 ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2350000 5825000 ) ( 2370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 5792140 ) ( 2361790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2361790 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2360000 5786140 ) ( 2360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2330190 5792140 ) ( 2366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2336190 5766540 ) ( 2336190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2336190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5177740 ) ( 2310590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5100940 ) ( 2310590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4973000 ) ( 2387390 5100940 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 4973000 ) ( 2389195 4985000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5018140 ) ( 2387390 5100940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5024140 ) ( 2393390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4979000 ) ( 2385000 5030140 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD0 ) ( BUMP_6_16 PAD1 ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2406990 5825000 ) ( 2430000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2412990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 5786140 ) ( 2420000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2426000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5766540 ) ( 2412990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5613000 ) ( 2387390 5766540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 2389195 5613000 ) ( 2389195 5625000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5658140 ) ( 2387390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5664140 ) ( 2393390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5619000 ) ( 2385000 5670140 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD0 ) ( BUMP_6_15 PAD1 ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 5825000 ) ( 2500000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 5786140 ) ( 2490000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 5792140 ) ( 2496000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5740940 ) ( 2489790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5536140 ) ( 2464190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5459340 ) ( 2464190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5299740 ) ( 2387390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5305740 ) ( 2393390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5299000 ) ( 2385000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5350940 ) ( 2387390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5356940 ) ( 2393390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5299000 ) ( 2385000 5362940 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD0 ) ( BUMP_6_13 PAD1 ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5811740 ) ( 2550000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5817740 ) ( 2556000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5786140 ) ( 2550000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5792140 ) ( 2556000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5715340 ) ( 2540990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5510540 ) ( 2489790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5484940 ) ( 2489790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 4870540 ) ( 2464190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4793740 ) ( 2464190 4870540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4659740 ) ( 2387390 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4665740 ) ( 2393390 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4659000 ) ( 2385000 4671740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4710940 ) ( 2387390 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4716940 ) ( 2393390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4659000 ) ( 2385000 4722940 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD0 ) ( BUMP_5_14 PAD1 ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5811740 ) ( 2040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5817740 ) ( 2046000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5786140 ) ( 2040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5792140 ) ( 2046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5715340 ) ( 2028990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5203340 ) ( 1977790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5126540 ) ( 1977790 5203340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4973000 ) ( 2054590 5126540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4979000 ) ( 2065000 4979000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5018140 ) ( 2054590 5126540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5024140 ) ( 2071000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4979000 ) ( 2065000 5030140 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD0 ) ( BUMP_5_16 PAD1 ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2099790 5825000 ) ( 2120000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 5792140 ) ( 2105790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5740940 ) ( 2105790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5613000 ) ( 2054590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5619000 ) ( 2065000 5619000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2074190 5825000 ) ( 2120000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5658140 ) ( 2080190 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5664140 ) ( 2086190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5619000 ) ( 2065000 5670140 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD0 ) ( BUMP_5_15 PAD1 ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5811740 ) ( 2170000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2164000 5817740 ) ( 2188590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2182590 5766540 ) ( 2182590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5715340 ) ( 2182590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2131390 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5433740 ) ( 2131390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5299740 ) ( 2054590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5305740 ) ( 2071000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5299000 ) ( 2065000 5311740 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5786140 ) ( 2170000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5792140 ) ( 2176000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5766540 ) ( 2156990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2131390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5459340 ) ( 2131390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5350940 ) ( 2080190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5356940 ) ( 2086190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5299000 ) ( 2065000 5362940 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD0 ) ( BUMP_11_14 PAD1 ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5811740 ) ( 3960000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 5817740 ) ( 3966000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3948990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5786140 ) ( 3960000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3966000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5740940 ) ( 3948990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5228940 ) ( 3897790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5152140 ) ( 3897790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4973000 ) ( 3974590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4979000 ) ( 3985000 4979000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5018140 ) ( 3974590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5024140 ) ( 3991000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4979000 ) ( 3985000 5030140 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD0 ) ( BUMP_9_0 PAD1 ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3302990 185740 ) ( 3326000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 179740 ) ( 3308990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 236940 ) ( 3334590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 262540 ) ( 3334590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 441740 ) ( 3351000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 435740 ) ( 3345000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3314000 211340 ) ( 3340590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 205340 ) ( 3334590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 390540 ) ( 3351000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 384540 ) ( 3345000 451000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD0 ) ( BUMP_5_4 PAD1 ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2150000 175000 ) ( 2170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2156990 169000 ) ( 2156990 928140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2150990 211340 ) ( 2166000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 205340 ) ( 2156990 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 928140 ) ( 2131390 953740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 953740 ) ( 2131390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1516940 ) ( 2054590 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1593740 ) ( 2054590 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1721740 ) ( 2071000 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1715740 ) ( 2065000 1731000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1516940 ) ( 2080190 1568140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 1568140 ) ( 2080190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1670540 ) ( 2086190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1664540 ) ( 2065000 1731000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD0 ) ( BUMP_5_2 PAD1 ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2111790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 169000 ) ( 2105790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 211340 ) ( 2131390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 236940 ) ( 2131390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2054590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 979340 ) ( 2054590 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1081740 ) ( 2071000 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1075740 ) ( 2065000 1091000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2137390 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 169000 ) ( 2131390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2080190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 953740 ) ( 2080190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1030540 ) ( 2086190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1024540 ) ( 2065000 1091000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD0 ) ( BUMP_5_3 PAD1 ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1910000 175000 ) ( 1932590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1926590 169000 ) ( 1926590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1914000 211340 ) ( 1932590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1926590 205340 ) ( 1926590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1926590 236940 ) ( 1952190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 262540 ) ( 1952190 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 569740 ) ( 1977790 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 595340 ) ( 1977790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 1158540 ) ( 2054590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1417000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1411000 ) ( 2065000 1411000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1363340 ) ( 2071000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1357340 ) ( 2065000 1411000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD0 ) ( BUMP_4_4 PAD1 ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 175000 ) ( 1860000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 169000 ) ( 1849790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1843790 211340 ) ( 1856000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 205340 ) ( 1849790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 876940 ) ( 1824190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 902540 ) ( 1824190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 1516940 ) ( 1747390 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1593740 ) ( 1747390 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1721740 ) ( 1753390 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1715740 ) ( 1745000 1731000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1593740 ) ( 1747390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1670540 ) ( 1753390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1664540 ) ( 1745000 1731000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD0 ) ( BUMP_4_2 PAD1 ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 175000 ) ( 1790000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 169000 ) ( 1772990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 211340 ) ( 1786000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 205340 ) ( 1772990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1772990 236940 ) ( 1824190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 288140 ) ( 1824190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 851340 ) ( 1747390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1081740 ) ( 1753390 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1075740 ) ( 1745000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1030540 ) ( 1753390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1024540 ) ( 1745000 1091000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD0 ) ( BUMP_4_0 PAD1 ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 175000 ) ( 1730000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 169000 ) ( 1721790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 211340 ) ( 1727790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 205340 ) ( 1721790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1721790 236940 ) ( 1747390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 441740 ) ( 1753390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 435740 ) ( 1745000 451000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 390540 ) ( 1753390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 384540 ) ( 1745000 451000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD0 ) ( BUMP_8_4 PAD1 ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 175000 ) ( 3140000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 169000 ) ( 3129790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3123790 211340 ) ( 3136000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3129790 205340 ) ( 3129790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 876940 ) ( 3104190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 902540 ) ( 3104190 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 1516940 ) ( 3027390 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1593740 ) ( 3027390 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1721740 ) ( 3033390 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1715740 ) ( 3025000 1731000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1593740 ) ( 3027390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1670540 ) ( 3033390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1664540 ) ( 3025000 1731000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD0 ) ( BUMP_8_2 PAD1 ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3046990 175000 ) ( 3070000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3052990 169000 ) ( 3052990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 211340 ) ( 3066000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 205340 ) ( 3052990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3052990 236940 ) ( 3104190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 288140 ) ( 3104190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 851340 ) ( 3027390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1081740 ) ( 3033390 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1075740 ) ( 3025000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1030540 ) ( 3033390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1024540 ) ( 3025000 1091000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD0 ) ( BUMP_8_0 PAD1 ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2990000 175000 ) ( 3010000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 169000 ) ( 3001790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2994000 211340 ) ( 3007790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 205340 ) ( 3001790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3001790 236940 ) ( 3027390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 441740 ) ( 3033390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 435740 ) ( 3025000 451000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 390540 ) ( 3033390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 384540 ) ( 3025000 451000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD0 ) ( BUMP_7_4 PAD1 ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2804000 185740 ) ( 2828590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 179740 ) ( 2822590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 211340 ) ( 2796990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 236940 ) ( 2796990 902540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 211340 ) ( 2816000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 205340 ) ( 2796990 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 902540 ) ( 2771390 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 928140 ) ( 2771390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1542540 ) ( 2694590 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1619340 ) ( 2694590 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1721740 ) ( 2711000 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1715740 ) ( 2705000 1731000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1542540 ) ( 2720190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 1593740 ) ( 2720190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1670540 ) ( 2726190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1664540 ) ( 2705000 1731000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD0 ) ( BUMP_7_2 PAD1 ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2739790 175000 ) ( 2760000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 169000 ) ( 2745790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2739790 211340 ) ( 2756000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 205340 ) ( 2745790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2745790 236940 ) ( 2771390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 262540 ) ( 2771390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2694590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 953740 ) ( 2694590 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1081740 ) ( 2711000 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1075740 ) ( 2705000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2720190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 928140 ) ( 2720190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1030540 ) ( 2726190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1024540 ) ( 2705000 1091000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD0 ) ( BUMP_7_0 PAD1 ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 185740 ) ( 2686000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 179740 ) ( 2668990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 211340 ) ( 2694590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 236940 ) ( 2694590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 441740 ) ( 2711000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 435740 ) ( 2705000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2674000 211340 ) ( 2700590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 205340 ) ( 2694590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 390540 ) ( 2711000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 384540 ) ( 2705000 451000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD0 ) ( BUMP_7_1 PAD1 ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 175000 ) ( 2630000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 169000 ) ( 2617790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2611790 211340 ) ( 2626000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2617790 205340 ) ( 2617790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 518540 ) ( 2694590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 771000 ) ( 2705000 771000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 723340 ) ( 2711000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 717340 ) ( 2705000 771000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD0 ) ( BUMP_6_2 PAD1 ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 175000 ) ( 2444590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2438590 169000 ) ( 2438590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2424000 211340 ) ( 2444590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2438590 205340 ) ( 2438590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2438590 236940 ) ( 2464190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 262540 ) ( 2464190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 876940 ) ( 2387390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 953740 ) ( 2387390 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1081740 ) ( 2393390 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1075740 ) ( 2385000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 953740 ) ( 2387390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1030540 ) ( 2393390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1024540 ) ( 2385000 1091000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD0 ) ( BUMP_6_0 PAD1 ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2355790 175000 ) ( 2380000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 169000 ) ( 2361790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 211340 ) ( 2387390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 236940 ) ( 2387390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 441740 ) ( 2393390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 435740 ) ( 2385000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2364000 211340 ) ( 2393390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 205340 ) ( 2387390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 390540 ) ( 2393390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 384540 ) ( 2385000 451000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD0 ) ( BUMP_3_2 PAD1 ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 175000 ) ( 1480000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 169000 ) ( 1465790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 211340 ) ( 1476000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 205340 ) ( 1465790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 236940 ) ( 1491390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 262540 ) ( 1491390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 876940 ) ( 1414590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 953740 ) ( 1414590 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1081740 ) ( 1431000 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1075740 ) ( 1425000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 876940 ) ( 1440190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 928140 ) ( 1440190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 1030540 ) ( 1446190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1024540 ) ( 1425000 1091000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD0 ) ( BUMP_3_0 PAD1 ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 175000 ) ( 1420590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 169000 ) ( 1414590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 441740 ) ( 1431000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 435740 ) ( 1425000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1404000 211340 ) ( 1420590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 205340 ) ( 1414590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 390540 ) ( 1431000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 384540 ) ( 1425000 451000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD0 ) ( BUMP_3_1 PAD1 ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1330000 175000 ) ( 1350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1337790 169000 ) ( 1337790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 211340 ) ( 1346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 205340 ) ( 1337790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 518540 ) ( 1414590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 771000 ) ( 1425000 771000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 723340 ) ( 1431000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 717340 ) ( 1425000 771000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD0 ) ( BUMP_10_2 PAD1 ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 175000 ) ( 3724590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3718590 169000 ) ( 3718590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3704000 211340 ) ( 3724590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3718590 205340 ) ( 3718590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3718590 236940 ) ( 3744190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 262540 ) ( 3744190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 876940 ) ( 3667390 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 953740 ) ( 3667390 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1081740 ) ( 3673390 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1075740 ) ( 3665000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 953740 ) ( 3667390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1030540 ) ( 3673390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1024540 ) ( 3665000 1091000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD0 ) ( BUMP_11_4 PAD1 ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 185740 ) ( 4108590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 179740 ) ( 4102590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 211340 ) ( 4076990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 236940 ) ( 4076990 902540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 211340 ) ( 4096000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 205340 ) ( 4076990 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 902540 ) ( 4051390 928140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 928140 ) ( 4051390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1542540 ) ( 3974590 1619340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1619340 ) ( 3974590 1727740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1721740 ) ( 3991000 1721740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1715740 ) ( 3985000 1731000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1542540 ) ( 4000190 1593740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 1593740 ) ( 4000190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1670540 ) ( 4006190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1664540 ) ( 3985000 1731000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD0 ) ( BUMP_13_1 PAD1 ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4530000 175000 ) ( 4550000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4537790 169000 ) ( 4537790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4531790 211340 ) ( 4546000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4537790 205340 ) ( 4537790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 544140 ) ( 4614590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 620940 ) ( 4614590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 771000 ) ( 4625000 771000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 620940 ) ( 4614590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 723340 ) ( 4631000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 717340 ) ( 4625000 771000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD0 ) ( BUMP_11_2 PAD1 ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 175000 ) ( 4040000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 169000 ) ( 4025790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 211340 ) ( 4036000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 205340 ) ( 4025790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4025790 236940 ) ( 4051390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 262540 ) ( 4051390 876940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 3974590 953740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 953740 ) ( 3974590 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1081740 ) ( 3991000 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1075740 ) ( 3985000 1091000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 4000190 928140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 928140 ) ( 4000190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1030540 ) ( 4006190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1024540 ) ( 3985000 1091000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD0 ) ( BUMP_11_0 PAD1 ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 185740 ) ( 3966000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 179740 ) ( 3948990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 211340 ) ( 3974590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 236940 ) ( 3974590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 441740 ) ( 3991000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 435740 ) ( 3985000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3954000 211340 ) ( 3980590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 205340 ) ( 3974590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 390540 ) ( 3991000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 384540 ) ( 3985000 451000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD0 ) ( BUMP_2_7 PAD1 ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 191790 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2662940 ) ( 185790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2668940 ) ( 211390 2668940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 217390 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2668940 ) ( 211390 2686000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 211390 2668940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 851390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2643340 ) ( 928190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1087000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1075000 2720570 ) ( 1087000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1036590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2709000 ) ( 1030590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2715000 ) ( 1102000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD0 ) ( BUMP_0_0 PAD1 ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 430000 175000 ) ( 450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441790 169000 ) ( 441790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 434000 211340 ) ( 447790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 441790 205340 ) ( 441790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 441790 236940 ) ( 467390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 459000 441740 ) ( 473390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 465000 435740 ) ( 465000 451000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 459000 390540 ) ( 473390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 465000 384540 ) ( 465000 451000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD0 ) ( BUMP_14_1 PAD1 ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4850000 175000 ) ( 4876590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 169000 ) ( 4870590 569740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4854000 211340 ) ( 4876590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 205340 ) ( 4870590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 569740 ) ( 4947390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 646540 ) ( 4947390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 4949195 765000 ) ( 4949195 777000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 646540 ) ( 4947390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 723340 ) ( 4953390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 717340 ) ( 4945000 771000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD0 ) ( BUMP_13_8 PAD1 ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2867740 ) ( 5825000 2890000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5831000 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5740990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2880000 ) ( 5825000 2880000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2874000 ) ( 5792190 2905340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2899340 ) ( 5798190 2899340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2899340 ) ( 5740990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5740990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2924940 ) ( 5459390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 5459390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 3027340 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4649000 3021340 ) ( 4649000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3027340 ) ( 4819390 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3021340 ) ( 4691390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 3035000 ) ( 4697390 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD0 ) ( BUMP_3_7 PAD1 ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2860000 ) ( 175000 2880000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2873740 ) ( 211390 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 211390 2873740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2870000 ) ( 217390 2870000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2842140 ) ( 211390 2876000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2848140 ) ( 236990 2848140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 236990 2848140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 569790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2796940 ) ( 569790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 2796940 ) ( 1158590 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1158590 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1394990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 2709000 ) ( 1388990 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 2715000 ) ( 1422000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1343790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2709000 ) ( 1337790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2715000 ) ( 1422000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD0 ) ( BUMP_3_4 PAD1 ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1890000 ) ( 175000 1910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1900940 ) ( 211390 1900940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 211390 1900940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1900000 ) ( 217390 1900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1869340 ) ( 211390 1906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1875340 ) ( 236990 1875340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 236990 1875340 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 569790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 1824140 ) ( 569790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 1824140 ) ( 1209790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 1747340 ) ( 1209790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 1747340 ) ( 1394990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 1741340 ) ( 1388990 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 1755000 ) ( 1422000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 1772940 ) ( 1209790 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 1772940 ) ( 1343790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1749000 ) ( 1337790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 1755000 ) ( 1422000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD0 ) ( BUMP_4_5 PAD1 ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1970000 ) ( 175000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1977740 ) ( 902590 1977740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1980000 ) ( 217390 1980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1971740 ) ( 211390 1986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1977740 ) ( 902590 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 1977740 ) ( 928190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 2003340 ) ( 1491390 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2003340 ) ( 1568190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1727000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1715000 2080570 ) ( 1727000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1676590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2069000 ) ( 1670590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2075000 ) ( 1742000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD0 ) ( BUMP_2_5 PAD1 ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 191790 2040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2022940 ) ( 185790 2046000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2028940 ) ( 236990 2028940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 217390 2040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2022940 ) ( 211390 2046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2028940 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 876990 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2003340 ) ( 953790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 2080140 ) ( 1087000 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1075000 2080570 ) ( 1087000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 2080140 ) ( 1036590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2069000 ) ( 1030590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2075000 ) ( 1102000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD0 ) ( BUMP_3_5 PAD1 ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 191790 2220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2202140 ) ( 185790 2226000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2208140 ) ( 211390 2208140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 217390 2220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2208140 ) ( 211390 2226000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 211390 2208140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 544190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 544190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 1184190 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1184190 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1394990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 2069000 ) ( 1388990 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 2075000 ) ( 1422000 2075000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 2080140 ) ( 1343790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2069000 ) ( 1337790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2075000 ) ( 1422000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD0 ) ( BUMP_4_6 PAD1 ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2290000 ) ( 175000 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2310540 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 902590 2284940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2300000 ) ( 217390 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2278940 ) ( 211390 2306000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2284940 ) ( 902590 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2284940 ) ( 928190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 2310540 ) ( 1491390 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2310540 ) ( 1568190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2387340 ) ( 1727000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721000 2381340 ) ( 1721000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2387340 ) ( 1676590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2381340 ) ( 1670590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2395000 ) ( 1742000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD0 ) ( BUMP_2_6 PAD1 ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2355740 ) ( 175000 2380000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2361740 ) ( 211390 2361740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 211390 2361740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2330140 ) ( 175000 2380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 2336140 ) ( 236990 2336140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 236990 2336140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 876990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2310540 ) ( 953790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 2387340 ) ( 1087000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081000 2381340 ) ( 1081000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 2387340 ) ( 1036590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2381340 ) ( 1030590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2395000 ) ( 1102000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD0 ) ( BUMP_15_4 PAD1 ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1660000 ) ( 5825000 1660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1654000 ) ( 5817790 1676540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5823790 1670540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1660000 ) ( 5825000 1660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1654000 ) ( 5792190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5798190 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5433790 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 1747340 ) ( 5433790 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5289000 1741340 ) ( 5289000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1747340 ) ( 5433790 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1741340 ) ( 5331390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 1755000 ) ( 5337390 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD0 ) ( BUMP_13_4 PAD1 ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1587740 ) ( 5825000 1610000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5831000 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5740990 1644940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1600000 ) ( 5825000 1600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1594000 ) ( 5792190 1625340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1619340 ) ( 5798190 1619340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1619340 ) ( 5740990 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5740990 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 1644940 ) ( 5459390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 5459390 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 1747340 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4649000 1741340 ) ( 4649000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1747340 ) ( 4819390 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1741340 ) ( 4691390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 1755000 ) ( 4697390 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD0 ) ( BUMP_14_3 PAD1 ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1450000 ) ( 5825000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5831000 1465740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1460000 ) ( 5825000 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1454000 ) ( 5792190 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5798190 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1516940 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1440140 ) ( 5203390 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 1440140 ) ( 5126590 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 1429000 ) ( 4972990 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 1435000 ) ( 4990000 1435000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1440140 ) ( 5126590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1429000 ) ( 5024190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 1435000 ) ( 5030190 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD0 ) ( BUMP_16_3 PAD1 ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1400000 ) ( 5825000 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1382940 ) ( 5817790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5823790 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 1440140 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 1429000 ) ( 5612990 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 1435000 ) ( 5630000 1435000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1400000 ) ( 5825000 1400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1394000 ) ( 5792190 1420540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5798190 1414540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1440140 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1429000 ) ( 5664190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 1435000 ) ( 5670190 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD0 ) ( BUMP_4_8 PAD1 ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2930000 ) ( 175000 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2950540 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 876990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2940000 ) ( 217390 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2918940 ) ( 211390 2946000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2924940 ) ( 876990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2924940 ) ( 902590 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2950540 ) ( 1516990 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2950540 ) ( 1593790 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 3027340 ) ( 1727000 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721000 3021340 ) ( 1721000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 3027340 ) ( 1676590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3021340 ) ( 1670590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3035000 ) ( 1742000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD0 ) ( BUMP_14_2 PAD1 ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1140000 ) ( 5825000 1140000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1126940 ) ( 5817790 1146000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5823790 1132940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5740990 1184140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1140000 ) ( 5825000 1140000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1134000 ) ( 5792190 1164540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1158540 ) ( 5798190 1158540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1158540 ) ( 5740990 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1184140 ) ( 5740990 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 1107340 ) ( 5177790 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 1107340 ) ( 5100990 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 1101340 ) ( 4972990 1121000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 1115000 ) ( 4990000 1115000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1132940 ) ( 5177790 1184140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1132940 ) ( 5126590 1132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1109000 ) ( 5024190 1138940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 1115000 ) ( 5030190 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD0 ) ( BUMP_16_1 PAD1 ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 780540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5831000 774540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 800140 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 789000 ) ( 5612990 806140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 795000 ) ( 5630000 795000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 800140 ) ( 5831000 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 789000 ) ( 5664190 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 795000 ) ( 5670190 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD0 ) ( BUMP_15_1 PAD1 ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 690000 ) ( 5825000 710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5831000 697740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 700000 ) ( 5825000 700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 691740 ) ( 5792190 706000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5798190 697740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 723340 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 723340 ) ( 5408190 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 800140 ) ( 5408190 800140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 800570 ) ( 5295000 800570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 800140 ) ( 5408190 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 789000 ) ( 5331390 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 795000 ) ( 5337390 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD0 ) ( BUMP_16_0 PAD1 ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 430000 ) ( 5825000 450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5831000 441740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 440000 ) ( 5825000 440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 434000 ) ( 5792190 447740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5798190 441740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 467340 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 461340 ) ( 5612990 481000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 475000 ) ( 5630000 475000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 467340 ) ( 5740990 467340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 461340 ) ( 5664190 481000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 475000 ) ( 5670190 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD0 ) ( BUMP_13_7 PAD1 ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2550000 ) ( 5825000 2572540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5831000 2566540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2560000 ) ( 5825000 2560000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2554000 ) ( 5792190 2566540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5740990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2617740 ) ( 5740990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2617740 ) ( 5459390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 2643340 ) ( 5459390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4844990 2643340 ) ( 4768190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 2720140 ) ( 4768190 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4643000 2720570 ) ( 4655000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2720140 ) ( 4768190 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2709000 ) ( 4691390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 2715000 ) ( 4697390 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD0 ) ( BUMP_12_6 PAD1 ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2480000 ) ( 5825000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2489740 ) ( 5831000 2489740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2490000 ) ( 5825000 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2483740 ) ( 5792190 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2489740 ) ( 5798190 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2464140 ) ( 5152190 2489740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2464140 ) ( 5126590 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2387340 ) ( 4563390 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 2387340 ) ( 4486590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 2381340 ) ( 4332990 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 2395000 ) ( 4350000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 2464140 ) ( 5126590 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4409790 2412940 ) ( 4460990 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2412940 ) ( 4409790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2389000 ) ( 4384190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 2395000 ) ( 4390190 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD0 ) ( BUMP_14_6 PAD1 ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2406940 ) ( 5825000 2430000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5831000 2412940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5740990 2464140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2420000 ) ( 5825000 2420000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2414000 ) ( 5792190 2444540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2438540 ) ( 5798190 2438540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2438540 ) ( 5740990 2464140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2464140 ) ( 5740990 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5100990 2387340 ) ( 5177790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 2387340 ) ( 5100990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 2381340 ) ( 4972990 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 2395000 ) ( 4990000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2412940 ) ( 5177790 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2412940 ) ( 5126590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2389000 ) ( 5024190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 2395000 ) ( 5030190 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD0 ) ( BUMP_13_6 PAD1 ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2227740 ) ( 5825000 2250000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5831000 2233740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2240000 ) ( 5825000 2240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2227740 ) ( 5792190 2246000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5798190 2233740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2310540 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2310540 ) ( 4793790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 2387340 ) ( 4793790 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4649000 2381340 ) ( 4649000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2387340 ) ( 4793790 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2381340 ) ( 4691390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 2395000 ) ( 4697390 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD0 ) ( BUMP_16_5 PAD1 ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2060540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5831000 2054540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5606990 2080140 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 2069000 ) ( 5612990 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5588000 2075000 ) ( 5630000 2075000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2080140 ) ( 5831000 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2069000 ) ( 5664190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5588000 2075000 ) ( 5670190 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD0 ) ( BUMP_15_5 PAD1 ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1990000 ) ( 5825000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1971740 ) ( 5817790 1996000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5823790 1977740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5740990 2003340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5740990 2003340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1990000 ) ( 5825000 1990000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1984000 ) ( 5792190 2009340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5798190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5484990 2003340 ) ( 5408190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5283000 2080140 ) ( 5408190 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 5283000 2080570 ) ( 5295000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2080140 ) ( 5408190 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2069000 ) ( 5331390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5268000 2075000 ) ( 5337390 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD0 ) ( BUMP_1_8 PAD1 ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 191790 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3114000 ) ( 185790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3129740 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 544190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 3027340 ) ( 544190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 3027340 ) ( 754990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 3021340 ) ( 748990 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 3035000 ) ( 782000 3035000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 217390 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3098140 ) ( 211390 3126000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3104140 ) ( 544190 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3052940 ) ( 544190 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3052940 ) ( 703790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3029000 ) ( 697790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3035000 ) ( 782000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD0 ) ( BUMP_13_5 PAD1 ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1910000 ) ( 5825000 1932540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5831000 1926540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1920000 ) ( 5825000 1920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1914000 ) ( 5792190 1932540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5798190 1926540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 1977740 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5459390 1977740 ) ( 5433790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2003340 ) ( 5433790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 2003340 ) ( 4793790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4643000 2080140 ) ( 4793790 2080140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 4643000 2080570 ) ( 4655000 2080570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2080140 ) ( 4793790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2069000 ) ( 4691390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4628000 2075000 ) ( 4697390 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD0 ) ( BUMP_12_4 PAD1 ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1840000 ) ( 5825000 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1834000 ) ( 5817790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5823790 1849740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1840000 ) ( 5825000 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1834000 ) ( 5792190 1855740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5798190 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1824140 ) ( 5177790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 1824140 ) ( 5152190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 1747340 ) ( 4537790 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 1747340 ) ( 4460990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 1741340 ) ( 4332990 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 1755000 ) ( 4350000 1755000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4486590 1772940 ) ( 4537790 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 1772940 ) ( 4486590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 1749000 ) ( 4384190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 1755000 ) ( 4390190 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD0 ) ( BUMP_3_8 PAD1 ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3170000 ) ( 175000 3190000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3180940 ) ( 211390 3180940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 211390 3180940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3180000 ) ( 217390 3180000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3149340 ) ( 211390 3186000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3155340 ) ( 236990 3155340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 236990 3155340 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 569790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3104140 ) ( 569790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 595390 3104140 ) ( 1209790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 3027340 ) ( 1209790 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 3027340 ) ( 1394990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 3021340 ) ( 1388990 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 3035000 ) ( 1422000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3052940 ) ( 1209790 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3052940 ) ( 1343790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3029000 ) ( 1337790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3035000 ) ( 1422000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD0 ) ( BUMP_4_9 PAD1 ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3250000 ) ( 175000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3257740 ) ( 902590 3257740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3260000 ) ( 217390 3260000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3251740 ) ( 211390 3266000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3257740 ) ( 902590 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3257740 ) ( 928190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3283340 ) ( 1491390 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3283340 ) ( 1568190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1727000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1715000 3360570 ) ( 1727000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1676590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3349000 ) ( 1670590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3355000 ) ( 1742000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD0 ) ( BUMP_2_9 PAD1 ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 191790 3320000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3302940 ) ( 185790 3326000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3308940 ) ( 236990 3308940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 217390 3320000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3302940 ) ( 211390 3326000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3308940 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 876990 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3283340 ) ( 953790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 953790 3360140 ) ( 1087000 3360140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1075000 3360570 ) ( 1087000 3360570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 953790 3360140 ) ( 1036590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3349000 ) ( 1030590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3355000 ) ( 1102000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD0 ) ( BUMP_3_9 PAD1 ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 191790 3500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3482140 ) ( 185790 3506000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3488140 ) ( 211390 3488140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 217390 3500000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3488140 ) ( 211390 3506000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 211390 3488140 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 544190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 544190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 1184190 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1184190 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1394990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 3349000 ) ( 1388990 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1380000 3355000 ) ( 1422000 3355000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1260990 3360140 ) ( 1343790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3349000 ) ( 1337790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3355000 ) ( 1422000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD0 ) ( BUMP_4_10 PAD1 ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3570000 ) ( 175000 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3590540 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 902590 3564940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3580000 ) ( 217390 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3558940 ) ( 211390 3586000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3564940 ) ( 902590 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3564940 ) ( 928190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 928190 3590540 ) ( 1491390 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3590540 ) ( 1568190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3667340 ) ( 1727000 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721000 3661340 ) ( 1721000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3667340 ) ( 1676590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3661340 ) ( 1670590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3675000 ) ( 1742000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD0 ) ( BUMP_0_4 PAD1 ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1766940 ) ( 175000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1772940 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 447000 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 1741340 ) ( 441000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1780000 ) ( 217390 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1766940 ) ( 211390 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1772940 ) ( 396590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1749000 ) ( 390590 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1755000 ) ( 462000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD0 ) ( BUMP_1_4 PAD1 ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 191790 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1834000 ) ( 185790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1849740 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 544190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1747340 ) ( 544190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 620990 1747340 ) ( 754990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 1741340 ) ( 748990 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 740000 1755000 ) ( 782000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 217390 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1818140 ) ( 211390 1846000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1824140 ) ( 544190 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 1772940 ) ( 544190 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 1772940 ) ( 703790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1749000 ) ( 697790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1755000 ) ( 782000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD0 ) ( BUMP_4_7 PAD1 ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2610000 ) ( 175000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2617740 ) ( 876990 2617740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2620000 ) ( 217390 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2611740 ) ( 211390 2626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2617740 ) ( 876990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2617740 ) ( 902590 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2643340 ) ( 1516990 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2643340 ) ( 1593790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 2720140 ) ( 1727000 2720140 ) - NEW metal10 11140 + SHAPE IOWIRE ( 1715000 2720570 ) ( 1727000 2720570 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1593790 2720140 ) ( 1676590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2709000 ) ( 1670590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2715000 ) ( 1742000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD0 ) ( BUMP_1_1 PAD1 ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 690000 175000 ) ( 710000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 697790 169000 ) ( 697790 544140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 211340 ) ( 706000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 205340 ) ( 697790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 697790 544140 ) ( 774590 620940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 620940 ) ( 774590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 771000 ) ( 785000 771000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 774590 620940 ) ( 774590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 723340 ) ( 791000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 717340 ) ( 785000 771000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD0 ) ( BUMP_13_0 PAD1 ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 185740 ) ( 4606000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 179740 ) ( 4588990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 236940 ) ( 4614590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 262540 ) ( 4614590 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 441740 ) ( 4631000 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 435740 ) ( 4625000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4594000 211340 ) ( 4620590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 205340 ) ( 4614590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 390540 ) ( 4631000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 384540 ) ( 4625000 451000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD0 ) ( BUMP_14_7 PAD1 ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2730000 ) ( 5825000 2751740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5831000 2745740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2740000 ) ( 5825000 2740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2734000 ) ( 5792190 2751740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5798190 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2796940 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5126590 2720140 ) ( 5203390 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4966990 2720140 ) ( 5126590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 2709000 ) ( 4972990 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4948000 2715000 ) ( 4990000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2720140 ) ( 5126590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2709000 ) ( 5024190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4948000 2715000 ) ( 5030190 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD0 ) ( BUMP_0_6 PAD1 ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2420000 ) ( 175000 2444540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2438540 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 447000 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441000 2381340 ) ( 441000 2395000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2430000 ) ( 217390 2430000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2406940 ) ( 211390 2436000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2412940 ) ( 396590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2389000 ) ( 390590 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2395000 ) ( 462000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD0 ) ( BUMP_2_0 PAD1 ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1070000 175000 ) ( 1090000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081790 169000 ) ( 1081790 211340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 1077895 205340 ) ( 1077895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1081790 211340 ) ( 1107390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 441740 ) ( 1113390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 435740 ) ( 1105000 451000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 390540 ) ( 1113390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 384540 ) ( 1105000 451000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD0 ) ( BUMP_13_2 PAD1 ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4659790 175000 ) ( 4680000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 169000 ) ( 4665790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 211340 ) ( 4691390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 236940 ) ( 4691390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 902540 ) ( 4614590 979340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 979340 ) ( 4614590 1087740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 1081740 ) ( 4631000 1081740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1075740 ) ( 4625000 1091000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4664000 211340 ) ( 4697390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 205340 ) ( 4691390 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 902540 ) ( 4640190 953740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 953740 ) ( 4640190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1030540 ) ( 4646190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1024540 ) ( 4625000 1091000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD0 ) ( BUMP_12_7 PAD1 ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2790000 ) ( 5825000 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5831000 2796940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2800000 ) ( 5825000 2800000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2790940 ) ( 5792190 2806000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5798190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2822540 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2796940 ) ( 5177790 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 2796940 ) ( 5152190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4460990 2720140 ) ( 4537790 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4326990 2720140 ) ( 4460990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 2709000 ) ( 4332990 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4308000 2715000 ) ( 4350000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2720140 ) ( 4460990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2709000 ) ( 4384190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4308000 2715000 ) ( 4390190 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD0 ) ( BUMP_10_3 PAD1 ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3507790 175000 ) ( 3530000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 169000 ) ( 3513790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3507790 211340 ) ( 3526000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 205340 ) ( 3513790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3513790 236940 ) ( 3564990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 288140 ) ( 3564990 595340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 595340 ) ( 3590590 620940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 620940 ) ( 3590590 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 1184140 ) ( 3667390 1260940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1260940 ) ( 3667390 1417000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 1405000 ) ( 3669195 1417000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1260940 ) ( 3667390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1363340 ) ( 3673390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1357340 ) ( 3665000 1411000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD0 ) ( BUMP_11_1 PAD1 ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 175000 ) ( 3910000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 169000 ) ( 3897790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3891790 211340 ) ( 3906000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3897790 205340 ) ( 3897790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 518540 ) ( 3974590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 777000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 771000 ) ( 3985000 771000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 723340 ) ( 3991000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 717340 ) ( 3985000 771000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD0 ) ( BUMP_10_1 PAD1 ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3570000 175000 ) ( 3596590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 169000 ) ( 3590590 569740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3574000 211340 ) ( 3596590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 205340 ) ( 3590590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 569740 ) ( 3667390 646540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 646540 ) ( 3667390 777000 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 765000 ) ( 3669195 777000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 646540 ) ( 3667390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 723340 ) ( 3673390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 717340 ) ( 3665000 771000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD0 ) ( BUMP_10_0 PAD1 ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3635790 175000 ) ( 3660000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 169000 ) ( 3641790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 211340 ) ( 3667390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 236940 ) ( 3667390 447740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 441740 ) ( 3673390 441740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 435740 ) ( 3665000 451000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3644000 211340 ) ( 3673390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 205340 ) ( 3667390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 390540 ) ( 3673390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 384540 ) ( 3665000 451000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD0 ) ( BUMP_9_15 PAD1 ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 5825000 ) ( 3450000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5792140 ) ( 3436990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 5786140 ) ( 3440000 5825000 ) + NEW metal10 9010 + SHAPE IOWIRE ( 3441495 5786140 ) ( 3441495 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5766540 ) ( 3436990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5510540 ) ( 3411390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5433740 ) ( 3411390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5299740 ) ( 3334590 5433740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5305740 ) ( 3351000 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5299000 ) ( 3345000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5459340 ) ( 3411390 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5350940 ) ( 3360190 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5356940 ) ( 3366190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5299000 ) ( 3345000 5362940 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD0 ) ( BUMP_9_13 PAD1 ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3500000 5825000 ) ( 3520000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3510000 5786140 ) ( 3510000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3504000 5792140 ) ( 3519790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5689740 ) ( 3513790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5484940 ) ( 3436990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5459340 ) ( 3436990 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 4844940 ) ( 3411390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4768140 ) ( 3411390 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4659740 ) ( 3334590 4768140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4665740 ) ( 3351000 4665740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4659000 ) ( 3345000 4671740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4793740 ) ( 3411390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4710940 ) ( 3360190 4793740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 4716940 ) ( 3366190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4659000 ) ( 3345000 4722940 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD0 ) ( BUMP_10_12 PAD1 ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5811740 ) ( 3580000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3574000 5817740 ) ( 3596590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5792140 ) ( 3590590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5766540 ) ( 3590590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5152140 ) ( 3564990 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5786140 ) ( 3580000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3558990 5792140 ) ( 3586000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5152140 ) ( 3564990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5126540 ) ( 3564990 5152140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 4563340 ) ( 3590590 5126540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4486540 ) ( 3590590 4563340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4333000 ) ( 3667390 4486540 ) - NEW metal10 8390 + SHAPE IOWIRE ( 3669195 4333000 ) ( 3669195 4345000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4378140 ) ( 3667390 4486540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4384140 ) ( 3673390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4339000 ) ( 3665000 4390140 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD0 ) ( BUMP_10_15 PAD1 ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 5825000 ) ( 3780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 5786140 ) ( 3770000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 5792140 ) ( 3776000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5740940 ) ( 3769790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5536140 ) ( 3744190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5459340 ) ( 3744190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5299740 ) ( 3667390 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5305740 ) ( 3673390 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5299000 ) ( 3665000 5311740 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5350940 ) ( 3667390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5356940 ) ( 3673390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5299000 ) ( 3665000 5362940 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_45_with_oct_bump.defok b/src/pad/test/rdl_route_45_with_oct_bump.defok index 52dfd7466e4..f622aa74853 100644 --- a/src/pad/test/rdl_route_45_with_oct_bump.defok +++ b/src/pad/test/rdl_route_45_with_oct_bump.defok @@ -2509,255 +2509,275 @@ SPECIALNETS 139 ; ( BUMP_10_16 PAD ) ( BUMP_11_13 PAD ) ( BUMP_13_14 PAD ) ( BUMP_15_15 PAD ) ( BUMP_14_12 PAD ) ( BUMP_16_11 PAD ) ( BUMP_15_10 PAD ) ( BUMP_14_8 PAD ) ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 175000 ) ( 3450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 169000 ) ( 3436990 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3430990 211340 ) ( 3446000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3436990 205340 ) ( 3436990 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 876940 ) ( 3411390 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 902540 ) ( 3411390 1465740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1465740 ) ( 3334590 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1542540 ) ( 3334590 1722000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1716000 ) ( 3348000 1716000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5811740 ) ( 3010000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5817740 ) ( 3016000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 1465740 ) ( 3360190 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 1516940 ) ( 3360190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1670540 ) ( 3366190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1664540 ) ( 3345000 1719000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3010000 5786140 ) ( 3010000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2995790 5792140 ) ( 3016000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 5766540 ) ( 3001790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5715340 ) ( 3001790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5254540 ) ( 2950590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5177740 ) ( 2950590 5254540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4992540 ) ( 3027390 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4998540 ) ( 3033390 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4991000 ) ( 3025000 5004540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2930000 175000 ) ( 2956590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 169000 ) ( 2950590 492940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5018140 ) ( 3027390 5177740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5024140 ) ( 3033390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4991000 ) ( 3025000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2934000 211340 ) ( 2956590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2950590 205340 ) ( 2950590 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 492940 ) ( 3027390 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 569740 ) ( 3027390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 748940 ) ( 3033390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 742940 ) ( 3025000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 175000 ) ( 2572590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2566590 169000 ) ( 2566590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 569740 ) ( 3027390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 723340 ) ( 3033390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 717340 ) ( 3025000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2554000 211340 ) ( 2566590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2566590 211340 ) ( 2592190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 236940 ) ( 2592190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 544140 ) ( 2617790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 569740 ) ( 2617790 1132940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 1132940 ) ( 2694590 1209740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1209740 ) ( 2694590 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1388940 ) ( 2711000 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1382940 ) ( 2705000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 5825000 ) ( 2630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5792140 ) ( 2617790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1209740 ) ( 2694590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1363340 ) ( 2711000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1357340 ) ( 2705000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 5786140 ) ( 2620000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 2621895 5786140 ) ( 2621895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5766540 ) ( 2617790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2592190 5203340 ) ( 2592190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5177740 ) ( 2592190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 4614540 ) ( 2617790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4537740 ) ( 2617790 4614540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4352540 ) ( 2694590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4358540 ) ( 2711000 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4351000 ) ( 2705000 4364540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2220000 5825000 ) ( 2240000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4378140 ) ( 2694590 4537740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4384140 ) ( 2711000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4351000 ) ( 2705000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2230000 5786140 ) ( 2230000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2224000 5792140 ) ( 2239790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 5766540 ) ( 2233790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5689740 ) ( 2233790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5536140 ) ( 2156990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5510540 ) ( 2156990 5536140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 4896140 ) ( 2131390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4819340 ) ( 2131390 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4668000 ) ( 2054590 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4674000 ) ( 2068000 4674000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 185740 ) ( 2046000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 179740 ) ( 2028990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 236940 ) ( 2054590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 262540 ) ( 2054590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 436000 ) ( 2068000 436000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5811740 ) ( 1840000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1834000 5817740 ) ( 1855790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5792140 ) ( 1849790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5766540 ) ( 1849790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5561740 ) ( 1824190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4844940 ) ( 2131390 4896140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 4710940 ) ( 2080190 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 4716940 ) ( 2086190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4671000 ) ( 2065000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2034000 211340 ) ( 2060590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 205340 ) ( 2054590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 390540 ) ( 2071000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 384540 ) ( 2065000 439000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 5786140 ) ( 1840000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1818190 5792140 ) ( 1846000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5561740 ) ( 1824190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5484940 ) ( 1824190 5561740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5308000 ) ( 1747390 5484940 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1747695 5308000 ) ( 1747695 5320000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3890000 ) ( 175000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3897740 ) ( 876990 3897740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5350940 ) ( 1747390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5356940 ) ( 1753390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5311000 ) ( 1745000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3900000 ) ( 217390 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3891740 ) ( 211390 3906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3897740 ) ( 876990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3897740 ) ( 902590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 3923340 ) ( 1465790 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 3923340 ) ( 1542590 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 4000140 ) ( 1702190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 3989000 ) ( 1696190 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 3995000 ) ( 1709000 3995000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1650000 175000 ) ( 1676590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1670590 169000 ) ( 1670590 492940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1542590 4000140 ) ( 1676590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3989000 ) ( 1670590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3995000 ) ( 1709000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1654000 211340 ) ( 1676590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 205340 ) ( 1670590 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 492940 ) ( 1747390 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 569740 ) ( 1747390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 748940 ) ( 1753390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 742940 ) ( 1745000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2990000 ) ( 175000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3001740 ) ( 236990 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 569740 ) ( 1747390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 723340 ) ( 1753390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 717340 ) ( 1745000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3000000 ) ( 217390 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2994000 ) ( 211390 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3001740 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 236990 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2950540 ) ( 800190 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 800190 2950540 ) ( 876990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 876990 3027340 ) ( 1062190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 3021340 ) ( 1056190 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 3035000 ) ( 1069000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1400000 ) ( 191790 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 1382940 ) ( 185790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 1388940 ) ( 211390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 211390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1363340 ) ( 851390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 876990 3027340 ) ( 1036590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3021340 ) ( 1030590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3035000 ) ( 1069000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1357340 ) ( 175000 1410000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 1363340 ) ( 851390 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1363340 ) ( 928190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1062190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 1429000 ) ( 1056190 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 1435000 ) ( 1069000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4270000 ) ( 175000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4281740 ) ( 236990 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 1440140 ) ( 1036590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1429000 ) ( 1030590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1435000 ) ( 1069000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4280000 ) ( 217390 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4274000 ) ( 211390 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4281740 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 236990 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 4230540 ) ( 800190 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 800190 4230540 ) ( 876990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 876990 4307340 ) ( 1062190 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 4301340 ) ( 1056190 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 4315000 ) ( 1069000 4315000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1010000 175000 ) ( 1036590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1030590 169000 ) ( 1030590 518540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 876990 4307340 ) ( 1036590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4301340 ) ( 1030590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4315000 ) ( 1069000 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1014000 211340 ) ( 1036590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 205340 ) ( 1030590 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1030590 518540 ) ( 1107390 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 595340 ) ( 1107390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 748940 ) ( 1113390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 742940 ) ( 1105000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2480000 ) ( 175000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2489740 ) ( 236990 2489740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 595340 ) ( 1107390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 723340 ) ( 1113390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 717340 ) ( 1105000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2490000 ) ( 217390 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2483740 ) ( 211390 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2489740 ) ( 236990 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 236990 2489740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 780590 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2428000 ) ( 774590 2470140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2434000 ) ( 788000 2434000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 810000 5825000 ) ( 831790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 5792140 ) ( 825790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5740940 ) ( 825790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5632540 ) ( 774590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5638540 ) ( 791000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 785000 5631000 ) ( 785000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4730000 ) ( 175000 4750000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4742540 ) ( 236990 4742540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2464140 ) ( 518590 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2412940 ) ( 518590 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2412940 ) ( 703790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2389000 ) ( 697790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2395000 ) ( 749000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 820000 5786140 ) ( 820000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 794190 5792140 ) ( 826000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 800190 5658140 ) ( 800190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 779000 5664140 ) ( 806190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 5631000 ) ( 785000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4740000 ) ( 217390 4740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4734000 ) ( 211390 4748540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4742540 ) ( 236990 4742540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 236990 4742540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 780590 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4668000 ) ( 774590 4722940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4674000 ) ( 788000 4674000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2680000 ) ( 5825000 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2662940 ) ( 5817790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5823790 2668940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2668940 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 2720140 ) ( 5740990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 2712000 ) ( 5624000 2726140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3960000 ) ( 5825000 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3942940 ) ( 5817790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5823790 3948940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3948940 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 4000140 ) ( 5740990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 3992000 ) ( 5624000 4006140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1330000 ) ( 5825000 1350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5831000 1337740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1337740 ) ( 5766590 1363340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5561790 1363340 ) ( 5766590 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4716940 ) ( 518590 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 518590 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 4640140 ) ( 703790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4629000 ) ( 697790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4635000 ) ( 749000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2680000 ) ( 5825000 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2674000 ) ( 5792190 2700540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5798190 2694540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2694540 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2720140 ) ( 5740990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2709000 ) ( 5664190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 2715000 ) ( 5670190 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3960000 ) ( 5825000 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3954000 ) ( 5792190 3980540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5798190 3974540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3974540 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4000140 ) ( 5740990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3989000 ) ( 5664190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 3995000 ) ( 5670190 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1340000 ) ( 5825000 1340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1334000 ) ( 5792190 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5561790 1363340 ) ( 5798190 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5561790 1363340 ) ( 5484990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 1440140 ) ( 5484990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 1429000 ) ( 5305790 1446140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 1429000 ) ( 5306395 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5350000 5825000 ) ( 5370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1440140 ) ( 5484990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1429000 ) ( 5331390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 1435000 ) ( 5337390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5360000 5786140 ) ( 5360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5350990 5792140 ) ( 5366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5356990 5766540 ) ( 5356990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5740940 ) ( 5356990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5331390 5536140 ) ( 5331390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5459340 ) ( 5331390 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5308000 ) ( 5254590 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5314000 ) ( 5268000 5314000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3580000 ) ( 5825000 3580000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3574000 ) ( 5817790 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5823790 3590540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5484940 ) ( 5331390 5536140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5350940 ) ( 5280190 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5356940 ) ( 5286190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5311000 ) ( 5265000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3580000 ) ( 5825000 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3574000 ) ( 5792190 3596540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5798190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3590540 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 3667340 ) ( 5459390 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 3661340 ) ( 5305790 3681000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 3669000 ) ( 5306395 3681000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5222990 185740 ) ( 5246000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 179740 ) ( 5228990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5228990 211340 ) ( 5254590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 236940 ) ( 5254590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 436000 ) ( 5268000 436000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3046940 ) ( 5825000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5831000 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3667340 ) ( 5459390 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3661340 ) ( 5331390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 3675000 ) ( 5337390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5234000 211340 ) ( 5260590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5254590 205340 ) ( 5254590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5248590 390540 ) ( 5271000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 384540 ) ( 5265000 439000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3060000 ) ( 5825000 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3046940 ) ( 5792190 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5798190 3052940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3052940 ) ( 5715390 3104140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3104140 ) ( 5715390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 3027340 ) ( 5203390 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 3027340 ) ( 5126590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 3021340 ) ( 4984000 3038000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1766940 ) ( 5825000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5831000 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3052940 ) ( 5203390 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3052940 ) ( 5152190 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3029000 ) ( 5024190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 3035000 ) ( 5030190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1780000 ) ( 5825000 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1766940 ) ( 5792190 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5798190 1772940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1772940 ) ( 5715390 1824140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 1824140 ) ( 5715390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 1747340 ) ( 5203390 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 1747340 ) ( 5126590 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 1741340 ) ( 4984000 1758000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4326940 ) ( 5825000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5831000 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1772940 ) ( 5203390 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1772940 ) ( 5152190 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1749000 ) ( 5024190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 1755000 ) ( 5030190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4340000 ) ( 5825000 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4326940 ) ( 5792190 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5798190 4332940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4332940 ) ( 5715390 4384140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4384140 ) ( 5715390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5126590 4307340 ) ( 5203390 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 4307340 ) ( 5126590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 4301340 ) ( 4984000 4318000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5811740 ) ( 4600000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5817740 ) ( 4606000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4332940 ) ( 5203390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4332940 ) ( 5152190 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4309000 ) ( 5024190 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 4315000 ) ( 5030190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 5786140 ) ( 4600000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4582990 5792140 ) ( 4606000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4588990 5766540 ) ( 4588990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5715340 ) ( 4588990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 5254540 ) ( 4537790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5177740 ) ( 4537790 5254540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4992540 ) ( 4614590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4998540 ) ( 4631000 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4991000 ) ( 4625000 5004540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2170000 ) ( 5825000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2164000 ) ( 5817790 2188540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2182540 ) ( 5823790 2182540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5018140 ) ( 4614590 5177740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5024140 ) ( 4631000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4991000 ) ( 4625000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2170000 ) ( 5825000 2170000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2164000 ) ( 5792190 2188540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2182540 ) ( 5798190 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2156940 ) ( 5203390 2182540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 2156940 ) ( 5177790 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 2080140 ) ( 4614590 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 2080140 ) ( 4537790 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 2072000 ) ( 4344000 2086140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4210000 175000 ) ( 4236590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 169000 ) ( 4230590 492940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2080140 ) ( 4537790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2069000 ) ( 4384190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 2075000 ) ( 4390190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4214000 211340 ) ( 4236590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 205340 ) ( 4230590 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 492940 ) ( 4307390 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 569740 ) ( 4307390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 748940 ) ( 4313390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 742940 ) ( 4305000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 175000 ) ( 3852590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3846590 169000 ) ( 3846590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 569740 ) ( 4307390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 723340 ) ( 4313390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 717340 ) ( 4305000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3834000 211340 ) ( 3846590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3846590 211340 ) ( 3872190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 236940 ) ( 3872190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 544140 ) ( 3897790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 569740 ) ( 3897790 1132940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 1132940 ) ( 3974590 1209740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1209740 ) ( 3974590 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1388940 ) ( 3991000 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1382940 ) ( 3985000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4140000 5825000 ) ( 4160000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 5792140 ) ( 4153790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5715340 ) ( 4153790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1209740 ) ( 3974590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1363340 ) ( 3991000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1357340 ) ( 3985000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4150000 5786140 ) ( 4150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4122190 5792140 ) ( 4156000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4128190 5766540 ) ( 4128190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5715340 ) ( 4128190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5510540 ) ( 4076990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5484940 ) ( 4076990 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 4921740 ) ( 4051390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4844940 ) ( 4051390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4668000 ) ( 3974590 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4674000 ) ( 3988000 4674000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3686990 5825000 ) ( 3710000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3692990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4870540 ) ( 4051390 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 4710940 ) ( 4000190 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 4716940 ) ( 4006190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4671000 ) ( 3985000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 5786140 ) ( 3700000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3692990 5792140 ) ( 3706000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5766540 ) ( 3692990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5632540 ) ( 3667390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5638540 ) ( 3673390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5631000 ) ( 3665000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3370000 ) ( 175000 3391740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3385740 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 211390 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3360140 ) ( 422190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 3349000 ) ( 416190 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 3355000 ) ( 429000 3355000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2090000 ) ( 175000 2111740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2105740 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 211390 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2080140 ) ( 422190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 2069000 ) ( 416190 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 2075000 ) ( 429000 2075000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5658140 ) ( 3667390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5664140 ) ( 3673390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5631000 ) ( 3665000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3380000 ) ( 217390 3380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3354140 ) ( 211390 3386000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3360140 ) ( 396590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3349000 ) ( 390590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3355000 ) ( 429000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2100000 ) ( 217390 2100000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2074140 ) ( 211390 2106000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2080140 ) ( 396590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2069000 ) ( 390590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2075000 ) ( 429000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2910,254 +2930,276 @@ SPECIALNETS 139 ; ( BUMP_10_14 PAD ) ( BUMP_12_12 PAD ) ( BUMP_13_16 PAD ) ( BUMP_16_16 PAD ) ( BUMP_16_12 PAD ) ( BUMP_15_11 PAD ) ( BUMP_13_10 PAD ) ( BUMP_16_8 PAD ) ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3391790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 169000 ) ( 3385790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 211340 ) ( 3411390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 236940 ) ( 3411390 851340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3411390 851340 ) ( 3334590 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 928140 ) ( 3334590 1082000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1076000 ) ( 3348000 1076000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2867790 175000 ) ( 2890000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 169000 ) ( 2873790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2873790 211340 ) ( 2924990 262540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3370000 175000 ) ( 3417390 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 169000 ) ( 3411390 851340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3411390 851340 ) ( 3360190 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 902540 ) ( 3360190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 1030540 ) ( 3366190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1024540 ) ( 3345000 1079000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2874000 211340 ) ( 2905390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2899390 205340 ) ( 2899390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2899390 236940 ) ( 2924990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 262540 ) ( 2924990 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2924990 518540 ) ( 2950590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 544140 ) ( 2950590 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 1158540 ) ( 3027390 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1235340 ) ( 3027390 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1388940 ) ( 3033390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1382940 ) ( 3025000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 5825000 ) ( 3140000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5792140 ) ( 3129790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1235340 ) ( 3027390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1363340 ) ( 3033390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1357340 ) ( 3025000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 5786140 ) ( 3130000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 3132895 5786140 ) ( 3132895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5766540 ) ( 3129790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5561740 ) ( 3104190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5484940 ) ( 3104190 5561740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5308000 ) ( 3027390 5484940 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3027695 5308000 ) ( 3027695 5320000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5811740 ) ( 2680000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 5817740 ) ( 2686000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2668990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5350940 ) ( 3027390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5356940 ) ( 3033390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5311000 ) ( 3025000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 5786140 ) ( 2680000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2668990 5792140 ) ( 2686000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5740940 ) ( 2668990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 5228940 ) ( 2617790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5152140 ) ( 2617790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4992540 ) ( 2694590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4998540 ) ( 2711000 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4991000 ) ( 2705000 5004540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 175000 ) ( 2500000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 169000 ) ( 2489790 851340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5018140 ) ( 2694590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5024140 ) ( 2711000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4991000 ) ( 2705000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 211340 ) ( 2496000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 205340 ) ( 2489790 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 851340 ) ( 2464190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 876940 ) ( 2464190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 1491340 ) ( 2387390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1722000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 2387695 1710000 ) ( 2387695 1722000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5811740 ) ( 2300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2294000 5817740 ) ( 2316590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5792140 ) ( 2310590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5766540 ) ( 2310590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5203340 ) ( 2284990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1568140 ) ( 2387390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1670540 ) ( 2393390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1664540 ) ( 2385000 1719000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 5786140 ) ( 2300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2278990 5792140 ) ( 2306000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2284990 5203340 ) ( 2284990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5177740 ) ( 2284990 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 4614540 ) ( 2310590 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4537740 ) ( 2310590 4614540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4352540 ) ( 2387390 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4358540 ) ( 2393390 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4351000 ) ( 2385000 4364540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 175000 ) ( 1990000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 169000 ) ( 1977790 492940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4378140 ) ( 2387390 4537740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4384140 ) ( 2393390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4351000 ) ( 2385000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 211340 ) ( 1986000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 205340 ) ( 1977790 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 492940 ) ( 2054590 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 569740 ) ( 2054590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 748940 ) ( 2071000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 742940 ) ( 2065000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1587790 175000 ) ( 1610000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 169000 ) ( 1593790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1593790 211340 ) ( 1644990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 569740 ) ( 2054590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 723340 ) ( 2071000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 717340 ) ( 2065000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1594000 211340 ) ( 1625390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 205340 ) ( 1619390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1619390 236940 ) ( 1644990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 262540 ) ( 1644990 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1644990 518540 ) ( 1670590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 544140 ) ( 1670590 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 1158540 ) ( 1747390 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1235340 ) ( 1747390 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1388940 ) ( 1753390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1382940 ) ( 1745000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1890000 5825000 ) ( 1910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1900990 5792140 ) ( 1900990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1900990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1235340 ) ( 1747390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1363340 ) ( 1753390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1357340 ) ( 1745000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1900000 5786140 ) ( 1900000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1869390 5792140 ) ( 1906000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1875390 5766540 ) ( 1875390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5740940 ) ( 1875390 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 5536140 ) ( 1849790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 5510540 ) ( 1849790 5536140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 4896140 ) ( 1824190 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4819340 ) ( 1824190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4668000 ) ( 1747390 4819340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1747695 4668000 ) ( 1747695 4680000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2534940 ) ( 175000 2560000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2540940 ) ( 236990 2540940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4710940 ) ( 1747390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4716940 ) ( 1753390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4671000 ) ( 1745000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2550000 ) ( 217390 2550000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2534940 ) ( 211390 2556000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2540940 ) ( 236990 2540940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 236990 2540940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 800190 2489740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 2464140 ) ( 800190 2489740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 2464140 ) ( 1420590 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2428000 ) ( 1414590 2470140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2434000 ) ( 1428000 2434000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4787740 ) ( 175000 4810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4793740 ) ( 236990 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 236990 4793740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 800190 4742540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 4716940 ) ( 800190 4742540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 4716940 ) ( 1420590 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4668000 ) ( 1414590 4722940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4674000 ) ( 1428000 4674000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 5825000 ) ( 1150000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 5792140 ) ( 1132990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5766540 ) ( 1132990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5632540 ) ( 1107390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5638540 ) ( 1113390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5631000 ) ( 1105000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 191790 3960000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3942940 ) ( 185790 3966000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3948940 ) ( 211390 3948940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 2489740 ) ( 544190 2489740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2464140 ) ( 544190 2489740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2464140 ) ( 1132990 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 2412940 ) ( 1132990 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 2412940 ) ( 1343790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2389000 ) ( 1337790 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2395000 ) ( 1389000 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4762140 ) ( 175000 4810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 4768140 ) ( 262590 4768140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 262590 4768140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 288190 4742540 ) ( 544190 4742540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 544190 4742540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 4716940 ) ( 1132990 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 4640140 ) ( 1132990 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 4640140 ) ( 1343790 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4629000 ) ( 1337790 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4635000 ) ( 1389000 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1101390 5825000 ) ( 1150000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5658140 ) ( 1107390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5664140 ) ( 1113390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5631000 ) ( 1105000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3960000 ) ( 217390 3960000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3948940 ) ( 211390 3966000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 211390 3948940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3923340 ) ( 851390 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 3923340 ) ( 928190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1062190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 3989000 ) ( 1056190 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 3995000 ) ( 1069000 3995000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3430000 ) ( 175000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3436940 ) ( 780590 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3388000 ) ( 774590 3442940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3394000 ) ( 788000 3394000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2150000 ) ( 175000 2170000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2156940 ) ( 780590 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2108000 ) ( 774590 2162940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2114000 ) ( 788000 2114000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 742990 185740 ) ( 766000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 179740 ) ( 748990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 748990 236940 ) ( 774590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 262540 ) ( 774590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 436000 ) ( 788000 436000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2990000 ) ( 5825000 3010000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5831000 3001740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 928190 4000140 ) ( 1036590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3989000 ) ( 1030590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3995000 ) ( 1069000 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3440000 ) ( 217390 3440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3430940 ) ( 211390 3446000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3436940 ) ( 518590 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 518590 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 3360140 ) ( 703790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3349000 ) ( 697790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3355000 ) ( 749000 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2160000 ) ( 217390 2160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2150940 ) ( 211390 2166000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2156940 ) ( 518590 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 518590 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 595390 2080140 ) ( 703790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2069000 ) ( 697790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2075000 ) ( 749000 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 754000 211340 ) ( 780590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 774590 205340 ) ( 774590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 390540 ) ( 791000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 384540 ) ( 785000 439000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3000000 ) ( 5825000 3000000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2994000 ) ( 5792190 3007740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5798190 3001740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3001740 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 3027340 ) ( 5740990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 3021340 ) ( 5624000 3038000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1710000 ) ( 5825000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5831000 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3027340 ) ( 5740990 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3021340 ) ( 5664190 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 3035000 ) ( 5670190 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1720000 ) ( 5825000 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1714000 ) ( 5792190 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5798190 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1721740 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 1747340 ) ( 5740990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 1741340 ) ( 5624000 1758000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5606990 5825000 ) ( 5630000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1747340 ) ( 5740990 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1741340 ) ( 5664190 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 1755000 ) ( 5670190 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5620000 5786140 ) ( 5620000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5606990 5792140 ) ( 5626000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5612990 5766540 ) ( 5612990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5740940 ) ( 5612990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5632540 ) ( 5587390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5579000 5638540 ) ( 5593390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5631000 ) ( 5585000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4270000 ) ( 5825000 4290000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5831000 4281740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5587390 5658140 ) ( 5587390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5579000 5664140 ) ( 5593390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5585000 5631000 ) ( 5585000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4280000 ) ( 5825000 4280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4274000 ) ( 5792190 4287740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5798190 4281740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4281740 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 4307340 ) ( 5740990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 4301340 ) ( 5624000 4318000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2610000 ) ( 5825000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5831000 2617740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2617740 ) ( 5766590 2643340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5561790 2643340 ) ( 5766590 2643340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4307340 ) ( 5740990 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4301340 ) ( 5664190 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 4315000 ) ( 5670190 4315000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2620000 ) ( 5825000 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2614000 ) ( 5792190 2649340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5561790 2643340 ) ( 5798190 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5561790 2643340 ) ( 5484990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 2720140 ) ( 5484990 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 2709000 ) ( 5305790 2726140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 2709000 ) ( 5306395 2721000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3890000 ) ( 5825000 3910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5831000 3897740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3897740 ) ( 5766590 3923340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5561790 3923340 ) ( 5766590 3923340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2720140 ) ( 5484990 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2709000 ) ( 5331390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 2715000 ) ( 5337390 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3900000 ) ( 5825000 3900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3894000 ) ( 5792190 3929340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5561790 3923340 ) ( 5798190 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5561790 3923340 ) ( 5484990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 4000140 ) ( 5484990 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 3989000 ) ( 5305790 4006140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 3989000 ) ( 5306395 4001000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2099740 ) ( 5825000 2120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5831000 2105740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2105740 ) ( 5740990 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4000140 ) ( 5484990 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3989000 ) ( 5331390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 3995000 ) ( 5337390 3995000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2110000 ) ( 5825000 2110000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2104000 ) ( 5792190 2137340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2131340 ) ( 5798190 2131340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2131340 ) ( 5740990 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 2156940 ) ( 5740990 2156940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2080140 ) ( 5228990 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 2080140 ) ( 5152190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 2072000 ) ( 4984000 2086140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 175000 ) ( 4930000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 169000 ) ( 4921790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2080140 ) ( 5152190 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2069000 ) ( 5024190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 2075000 ) ( 5030190 2075000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 4917895 205340 ) ( 4917895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 211340 ) ( 4947390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 4947695 430000 ) ( 4947695 442000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1270000 ) ( 5825000 1292540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5831000 1286540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 236940 ) ( 4947390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 390540 ) ( 4953390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 384540 ) ( 4945000 439000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1280000 ) ( 5825000 1280000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1274000 ) ( 5792190 1286540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1286540 ) ( 5740990 1337740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1337740 ) ( 5740990 1337740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1337740 ) ( 5510590 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1363340 ) ( 5510590 1363340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1363340 ) ( 4819390 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 1440140 ) ( 4819390 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 1429000 ) ( 4665790 1446140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 1429000 ) ( 4666395 1441000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4650000 5825000 ) ( 4671790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 5792140 ) ( 4665790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5740940 ) ( 4665790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5632540 ) ( 4614590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5638540 ) ( 4631000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5631000 ) ( 4625000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3520000 ) ( 5825000 3520000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3507740 ) ( 5817790 3526000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5823790 3513740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1440140 ) ( 4819390 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1429000 ) ( 4691390 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 1435000 ) ( 4697390 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4660000 5786140 ) ( 4660000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4634190 5792140 ) ( 4666000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5658140 ) ( 4640190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5664140 ) ( 4646190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5631000 ) ( 4625000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3520000 ) ( 5825000 3520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3507740 ) ( 5792190 3526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5798190 3513740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3513740 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5715390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3564940 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 3590540 ) ( 5484990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 3590540 ) ( 4844990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 3667340 ) ( 4844990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 3661340 ) ( 4665790 3681000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 3669000 ) ( 4666395 3681000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4147790 175000 ) ( 4170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 169000 ) ( 4153790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4153790 211340 ) ( 4204990 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3667340 ) ( 4844990 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3661340 ) ( 4691390 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 3675000 ) ( 4697390 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4154000 211340 ) ( 4185390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4179390 205340 ) ( 4179390 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4179390 236940 ) ( 4204990 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 262540 ) ( 4204990 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4204990 518540 ) ( 4230590 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 544140 ) ( 4230590 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 1158540 ) ( 4307390 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1235340 ) ( 4307390 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1388940 ) ( 4313390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1382940 ) ( 4305000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4190000 5825000 ) ( 4210990 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5228940 ) ( 4204990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 1235340 ) ( 4307390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1363340 ) ( 4313390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1357340 ) ( 4305000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4200000 5786140 ) ( 4200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4194000 5792140 ) ( 4210990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4204990 5228940 ) ( 4204990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5203340 ) ( 4204990 5228940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4230590 4588940 ) ( 4230590 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4512140 ) ( 4230590 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4352540 ) ( 4307390 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4358540 ) ( 4313390 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4351000 ) ( 4305000 4364540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 175000 ) ( 3780000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 169000 ) ( 3769790 851340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4378140 ) ( 4307390 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4384140 ) ( 4313390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4351000 ) ( 4305000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 211340 ) ( 3776000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 205340 ) ( 3769790 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 851340 ) ( 3744190 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 876940 ) ( 3744190 1491340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 1491340 ) ( 3667390 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1722000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3667695 1710000 ) ( 3667695 1722000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3630000 5825000 ) ( 3650000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 5792140 ) ( 3641790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3641790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1568140 ) ( 3667390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1670540 ) ( 3673390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1664540 ) ( 3665000 1719000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3640000 5786140 ) ( 3640000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3610190 5792140 ) ( 3646000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3616190 5766540 ) ( 3616190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5740940 ) ( 3616190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5228940 ) ( 3590590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5152140 ) ( 3590590 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4992540 ) ( 3667390 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4998540 ) ( 3673390 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4991000 ) ( 3665000 5004540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3046940 ) ( 175000 3070000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3052940 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 236990 3052940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3027340 ) ( 422190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 3021340 ) ( 416190 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 3035000 ) ( 429000 3035000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1450000 ) ( 175000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1465740 ) ( 236990 1465740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5018140 ) ( 3667390 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5024140 ) ( 3673390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4991000 ) ( 3665000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3060000 ) ( 217390 3060000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3046940 ) ( 211390 3066000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3052940 ) ( 396590 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3029000 ) ( 390590 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3035000 ) ( 429000 3035000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1460000 ) ( 217390 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1454000 ) ( 211390 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1465740 ) ( 236990 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 236990 1465740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 422190 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 1429000 ) ( 416190 1446140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 1435000 ) ( 429000 1435000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4326940 ) ( 175000 4350000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4332940 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 236990 4332940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4307340 ) ( 422190 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 4301340 ) ( 416190 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 4315000 ) ( 429000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1440140 ) ( 396590 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1429000 ) ( 390590 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1435000 ) ( 429000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4340000 ) ( 217390 4340000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4326940 ) ( 211390 4346000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4332940 ) ( 396590 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4309000 ) ( 390590 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4315000 ) ( 429000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3308,131 +3350,142 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VDD ) ( IO_FILL_IO_WEST_0_485 VDD ) ( BUMP_2_4 PAD ) ( BUMP_1_7 PAD ) ( BUMP_1_10 PAD ) ( BUMP_0_14 PAD ) ( BUMP_4_14 PAD ) ( BUMP_7_15 PAD ) ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 175000 ) ( 3212590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3206590 169000 ) ( 3206590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3194000 211340 ) ( 3212590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3206590 205340 ) ( 3206590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3206590 236940 ) ( 3232190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 262540 ) ( 3232190 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 518540 ) ( 3257790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 544140 ) ( 3257790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 1158540 ) ( 3334590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1388940 ) ( 3351000 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1382940 ) ( 3345000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3277390 5825000 ) ( 3300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3283390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 1235340 ) ( 3334590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 1363340 ) ( 3351000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 1357340 ) ( 3345000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3290000 5786140 ) ( 3290000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3283390 5792140 ) ( 3296000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5740940 ) ( 3283390 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3232190 5228940 ) ( 3232190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5203340 ) ( 3232190 5228940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 4588940 ) ( 3257790 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4512140 ) ( 3257790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4352540 ) ( 3334590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4358540 ) ( 3351000 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4351000 ) ( 3345000 4364540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2790000 5825000 ) ( 2810000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4378140 ) ( 3334590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4384140 ) ( 3351000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4351000 ) ( 3345000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2800000 5786140 ) ( 2800000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 5792140 ) ( 2806000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5766540 ) ( 2796990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5740940 ) ( 2796990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5536140 ) ( 2771390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5459340 ) ( 2771390 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5308000 ) ( 2694590 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5314000 ) ( 2708000 5314000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2227790 175000 ) ( 2250000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2233790 169000 ) ( 2233790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5484940 ) ( 2771390 5536140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5350940 ) ( 2720190 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5356940 ) ( 2726190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5311000 ) ( 2705000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2227790 211340 ) ( 2246000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2233790 205340 ) ( 2233790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2233790 236940 ) ( 2284990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 288140 ) ( 2284990 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2284990 544140 ) ( 2310590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 569740 ) ( 2310590 1132940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 1132940 ) ( 2387390 1209740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1209740 ) ( 2387390 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1388940 ) ( 2393390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1382940 ) ( 2385000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 5825000 ) ( 1730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 1209740 ) ( 2387390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1363340 ) ( 2393390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1357340 ) ( 2385000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 5786140 ) ( 1720000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 5792140 ) ( 1727790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 5766540 ) ( 1721790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5715340 ) ( 1721790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1670590 5254540 ) ( 1670590 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5177740 ) ( 1670590 5254540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 4992540 ) ( 1747390 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 4998540 ) ( 1753390 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4991000 ) ( 1745000 5004540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1710000 ) ( 175000 1730000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1721740 ) ( 236990 1721740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5018140 ) ( 1747390 5177740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5024140 ) ( 1753390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 4991000 ) ( 1745000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1720000 ) ( 217390 1720000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1714000 ) ( 211390 1727740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1721740 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 236990 1721740 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 1670540 ) ( 800190 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 800190 1670540 ) ( 876990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 876990 1747340 ) ( 1062190 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 1741340 ) ( 1056190 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 1755000 ) ( 1069000 1755000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1126990 175000 ) ( 1150000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 169000 ) ( 1132990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1132990 211340 ) ( 1184190 262540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 876990 1747340 ) ( 1036590 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 1741340 ) ( 1030590 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 1755000 ) ( 1069000 1755000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1134000 211340 ) ( 1164590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 205340 ) ( 1158590 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1158590 236940 ) ( 1184190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 262540 ) ( 1184190 825740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1184190 825740 ) ( 1107390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 902540 ) ( 1107390 1082000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1107695 1070000 ) ( 1107695 1082000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 191790 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2804000 ) ( 185790 2828540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2822540 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 211390 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2796940 ) ( 780590 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 2748000 ) ( 774590 2802940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 2754000 ) ( 788000 2754000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3760000 ) ( 175000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3769740 ) ( 236990 3769740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 902540 ) ( 1107390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 1030540 ) ( 1113390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 1024540 ) ( 1105000 1079000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2810000 ) ( 217390 2810000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2790940 ) ( 211390 2816000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2796940 ) ( 492990 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2720140 ) ( 492990 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2720140 ) ( 703790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 2709000 ) ( 697790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 2715000 ) ( 749000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3770000 ) ( 217390 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3763740 ) ( 211390 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3769740 ) ( 236990 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 236990 3769740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 780590 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3708000 ) ( 774590 3750140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3714000 ) ( 788000 3714000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3340540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5831000 3334540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3334540 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 3360140 ) ( 5766590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 3352000 ) ( 5624000 3366140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4600000 ) ( 5825000 4600000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4582940 ) ( 5817790 4606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5823790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4588940 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 4640140 ) ( 5715390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 4632000 ) ( 5624000 4646140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2300000 ) ( 5825000 2300000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2294000 ) ( 5817790 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5823790 2310540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3744140 ) ( 518590 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 3692940 ) ( 518590 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 3692940 ) ( 703790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3669000 ) ( 697790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3675000 ) ( 749000 3675000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3360140 ) ( 5831000 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3349000 ) ( 5664190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 3355000 ) ( 5670190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4600000 ) ( 5825000 4600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4594000 ) ( 5792190 4614540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4614540 ) ( 5766590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4640140 ) ( 5766590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4629000 ) ( 5664190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 4635000 ) ( 5670190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2300000 ) ( 5825000 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2294000 ) ( 5792190 2316540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5798190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2310540 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 2387340 ) ( 5459390 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 2381340 ) ( 5305790 2401000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 2389000 ) ( 5306395 2401000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1020000 ) ( 5825000 1020000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1014000 ) ( 5817790 1036540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5823790 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2387340 ) ( 5459390 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2381340 ) ( 5331390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 2395000 ) ( 5337390 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1020000 ) ( 5825000 1020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1014000 ) ( 5792190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5798190 1030540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1030540 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 1107340 ) ( 5459390 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 1101340 ) ( 5305790 1121000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 1109000 ) ( 5306395 1121000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4966990 5825000 ) ( 4990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4972990 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1107340 ) ( 5459390 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1101340 ) ( 5331390 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 1115000 ) ( 5337390 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4980000 5786140 ) ( 4980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4972990 5792140 ) ( 4986000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5766540 ) ( 4972990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5632540 ) ( 4947390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5638540 ) ( 4953390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5631000 ) ( 4945000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4270000 175000 ) ( 4290000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4281790 169000 ) ( 4281790 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5658140 ) ( 4947390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5664140 ) ( 4953390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5631000 ) ( 4945000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4274000 211340 ) ( 4287790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4281790 205340 ) ( 4281790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4281790 236940 ) ( 4307390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 4307695 430000 ) ( 4307695 442000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 5825000 ) ( 3910000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5792140 ) ( 3897790 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 262540 ) ( 4307390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 390540 ) ( 4313390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 384540 ) ( 4305000 439000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 5786140 ) ( 3900000 5825000 ) + NEW metal10 8210 + SHAPE IOWIRE ( 3901895 5786140 ) ( 3901895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5766540 ) ( 3897790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3872190 5203340 ) ( 3872190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5177740 ) ( 3872190 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 4614540 ) ( 3897790 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4537740 ) ( 3897790 4614540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4352540 ) ( 3974590 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4358540 ) ( 3991000 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4351000 ) ( 3985000 4364540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4966940 ) ( 175000 4990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4972940 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 211390 4972940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4947340 ) ( 422190 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 4941340 ) ( 416190 4961000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 4955000 ) ( 429000 4955000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4378140 ) ( 3974590 4537740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4384140 ) ( 3991000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4351000 ) ( 3985000 4390140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4980000 ) ( 217390 4980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4966940 ) ( 211390 4986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4972940 ) ( 396590 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4949000 ) ( 390590 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4955000 ) ( 429000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3583,1272 +3636,1372 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) ( BUMP_1_3 PAD ) ( BUMP_0_7 PAD ) ( BUMP_0_10 PAD ) ( BUMP_2_14 PAD ) ( BUMP_3_15 PAD ) ( BUMP_7_16 PAD ) ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3379790 5825000 ) ( 3400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3385790 5792140 ) ( 3385790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5740940 ) ( 3385790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5632540 ) ( 3334590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5638540 ) ( 3351000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5631000 ) ( 3345000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3250000 175000 ) ( 3270000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3257790 169000 ) ( 3257790 492940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3390000 5786140 ) ( 3390000 5825000 ) + NEW metal10 10210 + SHAPE IOWIRE ( 3390895 5786140 ) ( 3390895 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5766540 ) ( 3385790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5658140 ) ( 3360190 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5664140 ) ( 3366190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5631000 ) ( 3345000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3251790 211340 ) ( 3266000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3257790 205340 ) ( 3257790 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 492940 ) ( 3334590 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 569740 ) ( 3334590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 748940 ) ( 3351000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 742940 ) ( 3345000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2730000 5825000 ) ( 2751790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5715340 ) ( 2745790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 5632540 ) ( 2694590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 5638540 ) ( 2711000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5631000 ) ( 2705000 5644540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2290000 175000 ) ( 2316590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 169000 ) ( 2310590 518540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 569740 ) ( 3334590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 723340 ) ( 3351000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 717340 ) ( 3345000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2740000 5786140 ) ( 2740000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2734000 5792140 ) ( 2751790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 5766540 ) ( 2745790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5740940 ) ( 2745790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 5658140 ) ( 2720190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 5664140 ) ( 2726190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 5631000 ) ( 2705000 5670140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2294000 211340 ) ( 2316590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 205340 ) ( 2310590 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 518540 ) ( 2387390 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 595340 ) ( 2387390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 748940 ) ( 2393390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 742940 ) ( 2385000 759000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1270000 175000 ) ( 1292590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1286590 169000 ) ( 1286590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 595340 ) ( 2387390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 723340 ) ( 2393390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 717340 ) ( 2385000 759000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1274000 211340 ) ( 1286590 211340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1286590 211340 ) ( 1312190 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 236940 ) ( 1312190 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1312190 544140 ) ( 1337790 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 569740 ) ( 1337790 1132940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1132940 ) ( 1414590 1209740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1209740 ) ( 1414590 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1388940 ) ( 1431000 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1382940 ) ( 1425000 1399000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5811740 ) ( 1530000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1524000 5817740 ) ( 1548590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 5792140 ) ( 1542590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1542590 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1209740 ) ( 1414590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1363340 ) ( 1431000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1357340 ) ( 1425000 1399000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1530000 5786140 ) ( 1530000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1510990 5792140 ) ( 1536000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1516990 5766540 ) ( 1516990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5740940 ) ( 1516990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 5536140 ) ( 1491390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5459340 ) ( 1491390 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5308000 ) ( 1414590 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5314000 ) ( 1428000 5314000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4910000 ) ( 175000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4921740 ) ( 211390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 211390 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5484940 ) ( 1491390 5536140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5350940 ) ( 1440190 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5356940 ) ( 1446190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5311000 ) ( 1425000 5362940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4920000 ) ( 217390 4920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4890140 ) ( 211390 4926000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4896140 ) ( 236990 4896140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 236990 4896140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4870540 ) ( 825790 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 4870540 ) ( 902590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 902590 4947340 ) ( 1062190 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 4941340 ) ( 1056190 4961000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 4955000 ) ( 1069000 4955000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1510000 ) ( 175000 1530000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1516940 ) ( 780590 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 1468000 ) ( 774590 1522940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 1474000 ) ( 788000 1474000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2350000 ) ( 5825000 2370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5831000 2361740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 902590 4947340 ) ( 1036590 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4941340 ) ( 1030590 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4955000 ) ( 1069000 4955000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1520000 ) ( 217390 1520000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1510940 ) ( 211390 1526000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1516940 ) ( 492990 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 1440140 ) ( 492990 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 1440140 ) ( 703790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1429000 ) ( 697790 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1435000 ) ( 749000 1435000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2360000 ) ( 5825000 2360000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 2357870 ) ( 5798190 2357870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2361740 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 2387340 ) ( 5766590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 2381340 ) ( 5624000 2398000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1070000 ) ( 5825000 1090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5831000 1081740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2387340 ) ( 5766590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2381340 ) ( 5664190 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 2395000 ) ( 5670190 2395000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1080000 ) ( 5825000 1080000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 1077870 ) ( 5798190 1077870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1081740 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 1107340 ) ( 5766590 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 1101340 ) ( 5624000 1118000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3379740 ) ( 5825000 3400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5831000 3385740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3385740 ) ( 5740990 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1107340 ) ( 5766590 1107340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1101340 ) ( 5664190 1121000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 1115000 ) ( 5670190 1115000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3390000 ) ( 5825000 3390000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3384000 ) ( 5792190 3417340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3411340 ) ( 5798190 3411340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3411340 ) ( 5740990 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 3436940 ) ( 5740990 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3360140 ) ( 5228990 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 3360140 ) ( 5152190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 3352000 ) ( 4984000 3366140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4910000 5825000 ) ( 4930000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4921790 5792140 ) ( 4921790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4921790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3360140 ) ( 5152190 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3349000 ) ( 5024190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 3355000 ) ( 5030190 3355000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4920000 5786140 ) ( 4920000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4890190 5792140 ) ( 4926000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4896190 5766540 ) ( 4896190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5740940 ) ( 4896190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 5228940 ) ( 4870590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5152140 ) ( 4870590 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 4992540 ) ( 4947390 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 4998540 ) ( 4953390 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4991000 ) ( 4945000 5004540 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4650000 ) ( 5825000 4671740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5831000 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5018140 ) ( 4947390 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5024140 ) ( 4953390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 4991000 ) ( 4945000 5030140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4660000 ) ( 5825000 4660000 ) + NEW metal10 11740 + SHAPE IOWIRE ( 5786190 4659870 ) ( 5798190 4659870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4665740 ) ( 5740990 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 4716940 ) ( 5740990 4716940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 4640140 ) ( 5228990 4716940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 4640140 ) ( 5152190 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 4632000 ) ( 4984000 4646140 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4326990 175000 ) ( 4350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4332990 169000 ) ( 4332990 236940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4640140 ) ( 5152190 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 4629000 ) ( 5024190 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 4635000 ) ( 5030190 4635000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4326990 211340 ) ( 4346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4332990 205340 ) ( 4332990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4332990 236940 ) ( 4384190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 288140 ) ( 4384190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 851340 ) ( 4307390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1082000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 4307695 1070000 ) ( 4307695 1082000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5811740 ) ( 3830000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5817740 ) ( 3836000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5823740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 928140 ) ( 4307390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 1030540 ) ( 4313390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 1024540 ) ( 4305000 1079000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3830000 5786140 ) ( 3830000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3814990 5792140 ) ( 3836000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3820990 5766540 ) ( 3820990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5715340 ) ( 3820990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5510540 ) ( 3769790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5484940 ) ( 3769790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 4921740 ) ( 3744190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4844940 ) ( 3744190 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4668000 ) ( 3667390 4844940 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3667695 4668000 ) ( 3667695 4680000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2739740 ) ( 175000 2760000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2745740 ) ( 236990 2745740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4710940 ) ( 3667390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4716940 ) ( 3673390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4671000 ) ( 3665000 4722940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2750000 ) ( 217390 2750000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2739740 ) ( 211390 2756000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2745740 ) ( 236990 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 236990 2745740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 422190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 2709000 ) ( 416190 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 2715000 ) ( 429000 2715000 ) - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3700000 ) ( 175000 3724540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3718540 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 236990 3718540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3667340 ) ( 422190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 3661340 ) ( 416190 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 3675000 ) ( 429000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2720140 ) ( 396590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2709000 ) ( 390590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2715000 ) ( 429000 2715000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3710000 ) ( 217390 3710000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3686940 ) ( 211390 3716000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3692940 ) ( 396590 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3669000 ) ( 390590 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3675000 ) ( 429000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2940000 ) ( 5825000 2940000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2934000 ) ( 5817790 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5561790 2950540 ) ( 5823790 2950540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2940000 ) ( 5825000 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2934000 ) ( 5792190 2956540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5561790 2950540 ) ( 5798190 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5561790 2950540 ) ( 5484990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 3027340 ) ( 5484990 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 3021340 ) ( 5305790 3041000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 3029000 ) ( 5306395 3041000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3027340 ) ( 5484990 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3021340 ) ( 5331390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 3035000 ) ( 5337390 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3814940 ) ( 175000 3840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3820940 ) ( 236990 3820940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3830000 ) ( 217390 3830000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3814940 ) ( 211390 3836000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3820940 ) ( 236990 3820940 ) NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 236990 3820940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 800190 3769740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 3744140 ) ( 800190 3769740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 3744140 ) ( 1420590 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3708000 ) ( 1414590 3750140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3714000 ) ( 1428000 3714000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 288190 3769740 ) ( 544190 3769740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 3744140 ) ( 544190 3769740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 3744140 ) ( 1132990 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 3692940 ) ( 1132990 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 3692940 ) ( 1343790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3669000 ) ( 1337790 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3675000 ) ( 1389000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3120000 ) ( 5825000 3120000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3114000 ) ( 5817790 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5823790 3129740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3120000 ) ( 5825000 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3114000 ) ( 5792190 3135740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3129740 ) ( 5798190 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3104140 ) ( 5177790 3129740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4588990 3104140 ) ( 5152190 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4512190 3027340 ) ( 4588990 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 3027340 ) ( 4512190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 3021340 ) ( 4344000 3038000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4537790 3052940 ) ( 4588990 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3052940 ) ( 4537790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3029000 ) ( 4384190 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 3035000 ) ( 4390190 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3635740 ) ( 175000 3660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3641740 ) ( 211390 3641740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 211390 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3610140 ) ( 175000 3660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 3616140 ) ( 236990 3616140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 236990 3616140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3590540 ) ( 825790 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 3590540 ) ( 902590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 902590 3667340 ) ( 1062190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 3661340 ) ( 1056190 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 3675000 ) ( 1069000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 902590 3667340 ) ( 1036590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3661340 ) ( 1030590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3675000 ) ( 1069000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3190000 ) ( 5825000 3212540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5831000 3206540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3200000 ) ( 5825000 3200000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3194000 ) ( 5792190 3212540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5798190 3206540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3206540 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3257740 ) ( 5715390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 3257740 ) ( 5484990 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 3283340 ) ( 5484990 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 3283340 ) ( 4844990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 3360140 ) ( 4844990 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 3349000 ) ( 4665790 3366140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 3349000 ) ( 4666395 3361000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3360140 ) ( 4844990 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3349000 ) ( 4691390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 3355000 ) ( 4697390 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 5825000 ) ( 4040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5715340 ) ( 4025790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5632540 ) ( 3974590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5638540 ) ( 3991000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5631000 ) ( 3985000 5644540 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 5786140 ) ( 4030000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 5792140 ) ( 4036000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 5766540 ) ( 4025790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5740940 ) ( 4025790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5658140 ) ( 4000190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5664140 ) ( 4006190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5631000 ) ( 3985000 5670140 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5811740 ) ( 4090000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 5817740 ) ( 4108590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 5792140 ) ( 4102590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4102590 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 5786140 ) ( 4090000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 5792140 ) ( 4096000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 5766540 ) ( 4076990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5740940 ) ( 4076990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 5536140 ) ( 4051390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5459340 ) ( 4051390 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5308000 ) ( 3974590 5459340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5314000 ) ( 3988000 5314000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5484940 ) ( 4051390 5536140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 5350940 ) ( 4000190 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 5356940 ) ( 4006190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 5311000 ) ( 3985000 5362940 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4240000 5825000 ) ( 4262190 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4256190 5792140 ) ( 4256190 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5766540 ) ( 4256190 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5254540 ) ( 4230590 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4250000 5786140 ) ( 4250000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4224590 5792140 ) ( 4256000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4230590 5254540 ) ( 4230590 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5177740 ) ( 4230590 5254540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4992540 ) ( 4307390 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4998540 ) ( 4313390 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4991000 ) ( 4305000 5004540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5018140 ) ( 4307390 5177740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5024140 ) ( 4313390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4991000 ) ( 4305000 5030140 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5811740 ) ( 4300000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5817740 ) ( 4313390 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5632540 ) ( 4307390 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5638540 ) ( 4313390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5631000 ) ( 4305000 5644540 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4300000 5786140 ) ( 4300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4294000 5792140 ) ( 4313390 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5658140 ) ( 4307390 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5664140 ) ( 4313390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5631000 ) ( 4305000 5670140 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4400000 5825000 ) ( 4420000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5792140 ) ( 4409790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4410000 5786140 ) ( 4410000 5825000 ) + NEW metal10 6210 + SHAPE IOWIRE ( 4412895 5786140 ) ( 4412895 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5766540 ) ( 4409790 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5561740 ) ( 4384190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5484940 ) ( 4384190 5561740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5308000 ) ( 4307390 5484940 ) - NEW metal10 11390 + SHAPE IOWIRE ( 4307695 5308000 ) ( 4307695 5320000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 5350940 ) ( 4307390 5484940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 5356940 ) ( 4313390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 5311000 ) ( 4305000 5362940 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5811740 ) ( 5040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5034000 5817740 ) ( 5055790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5049790 5766540 ) ( 5049790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5740940 ) ( 5049790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5040000 5786140 ) ( 5040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 5792140 ) ( 5046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 5536140 ) ( 5024190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5459340 ) ( 5024190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5308000 ) ( 4947390 5459340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 4947695 5308000 ) ( 4947695 5320000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 5350940 ) ( 4947390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 5356940 ) ( 4953390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 5311000 ) ( 4945000 5362940 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5290000 5825000 ) ( 5311790 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5715340 ) ( 5305790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5254590 5632540 ) ( 5254590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5248590 5638540 ) ( 5271000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5631000 ) ( 5265000 5644540 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5300000 5786140 ) ( 5300000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5294000 5792140 ) ( 5311790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5305790 5766540 ) ( 5305790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5740940 ) ( 5305790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5280190 5658140 ) ( 5280190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5259000 5664140 ) ( 5286190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5265000 5631000 ) ( 5265000 5670140 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 5240000 ) ( 5825000 5240000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 5222940 ) ( 5817790 5246000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5823790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5228940 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 5280140 ) ( 5740990 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 5272000 ) ( 5624000 5286140 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 5240000 ) ( 5825000 5240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 5234000 ) ( 5792190 5260540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5798190 5254540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 5254540 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 5280140 ) ( 5740990 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 5269000 ) ( 5664190 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 5275000 ) ( 5670190 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4930000 ) ( 5825000 4930000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4915740 ) ( 5817790 4936000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5823790 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4921740 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 4947340 ) ( 5766590 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 4941340 ) ( 5624000 4958000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4930000 ) ( 5825000 4930000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4924000 ) ( 5792190 4953340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 4947340 ) ( 5798190 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 4941340 ) ( 5664190 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 4955000 ) ( 5670190 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5811740 ) ( 4470000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4454990 5817740 ) ( 4476000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4460990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4470000 5786140 ) ( 4470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4460990 5792140 ) ( 4476000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5740940 ) ( 4460990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4409790 5536140 ) ( 4409790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 5510540 ) ( 4409790 5536140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4384190 4896140 ) ( 4384190 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4819340 ) ( 4384190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4668000 ) ( 4307390 4819340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 4307695 4668000 ) ( 4307695 4680000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4307390 4710940 ) ( 4307390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4299000 4716940 ) ( 4313390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4305000 4671000 ) ( 4305000 4722940 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4710000 5825000 ) ( 4730000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5792140 ) ( 4716990 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5766540 ) ( 4716990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5561740 ) ( 4691390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5484940 ) ( 4691390 5561740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 5308000 ) ( 4614590 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 5314000 ) ( 4628000 5314000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4685390 5825000 ) ( 4730000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5561740 ) ( 4691390 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5510540 ) ( 4691390 5561740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 5350940 ) ( 4640190 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 5356940 ) ( 4646190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 5311000 ) ( 4625000 5362940 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5811740 ) ( 4780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4762190 5817740 ) ( 4786000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4768190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4780000 5786140 ) ( 4780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4768190 5792140 ) ( 4786000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5740940 ) ( 4768190 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4716990 5536140 ) ( 4716990 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 5510540 ) ( 4716990 5536140 ) NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4896140 ) ( 4691390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4819340 ) ( 4691390 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 4668000 ) ( 4614590 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 4674000 ) ( 4628000 4674000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4844940 ) ( 4691390 4896140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 4710940 ) ( 4640190 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 4716940 ) ( 4646190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 4671000 ) ( 4625000 4722940 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4860000 ) ( 5825000 4860000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4854000 ) ( 5817790 4876540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5823790 4870540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4860000 ) ( 5825000 4860000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4854000 ) ( 5792190 4876540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5798190 4870540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4870540 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 4947340 ) ( 5459390 4947340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 4941340 ) ( 5305790 4961000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 4949000 ) ( 5306395 4961000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4947340 ) ( 5459390 4947340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4941340 ) ( 5331390 4961000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 4955000 ) ( 5337390 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4530000 ) ( 5825000 4550000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5831000 4537740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4540000 ) ( 5825000 4540000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4531740 ) ( 5792190 4546000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5798190 4537740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4537740 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4563340 ) ( 5740990 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4563340 ) ( 5459390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 4640140 ) ( 5459390 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 4629000 ) ( 5305790 4646140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 4629000 ) ( 5306395 4641000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4640140 ) ( 5459390 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4629000 ) ( 5331390 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 4635000 ) ( 5337390 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4220000 ) ( 5825000 4220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4214000 ) ( 5817790 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5561790 4230540 ) ( 5823790 4230540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4220000 ) ( 5825000 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4214000 ) ( 5792190 4236540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5561790 4230540 ) ( 5798190 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5561790 4230540 ) ( 5484990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 4307340 ) ( 5484990 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 4301340 ) ( 5305790 4321000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 4309000 ) ( 5306395 4321000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 4307340 ) ( 5484990 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 4301340 ) ( 5331390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 4315000 ) ( 5337390 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 4160000 ) ( 5825000 4160000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 4147740 ) ( 5817790 4166000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5823790 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4153740 ) ( 5740990 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4160000 ) ( 5825000 4160000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4154000 ) ( 5792190 4185340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4179340 ) ( 5798190 4179340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4179340 ) ( 5740990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4204940 ) ( 5740990 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 4204940 ) ( 5510590 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 5510590 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 4230540 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 4307340 ) ( 4819390 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 4301340 ) ( 4665790 4321000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 4309000 ) ( 4666395 4321000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4307340 ) ( 4819390 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 4301340 ) ( 4691390 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 4315000 ) ( 4697390 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4070000 ) ( 5825000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5831000 4076940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4080000 ) ( 5825000 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4070940 ) ( 5792190 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5798190 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4076940 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 4102540 ) ( 5740990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 4076940 ) ( 5228990 4102540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4588990 4076940 ) ( 5203390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4512190 4000140 ) ( 4588990 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 4000140 ) ( 4512190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 3992000 ) ( 4344000 4006140 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 4000140 ) ( 4512190 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3989000 ) ( 4384190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 3995000 ) ( 4390190 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3686940 ) ( 5825000 3710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5831000 3692940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3692940 ) ( 5740990 3744140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3700000 ) ( 5825000 3700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3694000 ) ( 5792190 3724540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3718540 ) ( 5798190 3718540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3718540 ) ( 5740990 3744140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 3744140 ) ( 5740990 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 3667340 ) ( 5228990 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 3667340 ) ( 5152190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 3661340 ) ( 4984000 3678000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3692940 ) ( 5228990 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 3692940 ) ( 5177790 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3669000 ) ( 5024190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 3675000 ) ( 5030190 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3630000 ) ( 5825000 3650000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5831000 3641740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3640000 ) ( 5825000 3640000 ) + NEW metal10 7740 + SHAPE IOWIRE ( 5786190 3637870 ) ( 5798190 3637870 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3641740 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 3667340 ) ( 5766590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 3661340 ) ( 5624000 3678000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 3667340 ) ( 5766590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 3661340 ) ( 5664190 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 3675000 ) ( 5670190 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3450000 ) ( 5825000 3450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3444000 ) ( 5817790 3468540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3462540 ) ( 5823790 3462540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3450000 ) ( 5825000 3450000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3444000 ) ( 5792190 3468540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3462540 ) ( 5798190 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3436940 ) ( 5203390 3462540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4614590 3436940 ) ( 5177790 3436940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 3360140 ) ( 4614590 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 3360140 ) ( 4537790 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 3352000 ) ( 4344000 3366140 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3360140 ) ( 4537790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3349000 ) ( 4384190 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 3355000 ) ( 4390190 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 3270000 ) ( 5825000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 3251740 ) ( 5817790 3276000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5823790 3257740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 3257740 ) ( 5740990 3283340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3283340 ) ( 5740990 3283340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3270000 ) ( 5825000 3270000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3264000 ) ( 5792190 3289340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3283340 ) ( 5798190 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3283340 ) ( 5459390 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 3360140 ) ( 5459390 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 3349000 ) ( 5305790 3366140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 3349000 ) ( 5306395 3361000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 3360140 ) ( 5459390 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 3349000 ) ( 5331390 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 3355000 ) ( 5337390 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 4010000 ) ( 5825000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5831000 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 4020000 ) ( 5825000 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 4014000 ) ( 5792190 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5798190 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 4025740 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5254590 4076940 ) ( 5715390 4076940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 4000140 ) ( 5254590 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 4000140 ) ( 5177790 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 3992000 ) ( 4984000 4006140 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 4000140 ) ( 5177790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 3989000 ) ( 5024190 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 3995000 ) ( 5030190 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3830000 ) ( 5825000 3852540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5831000 3846540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3840000 ) ( 5825000 3840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3834000 ) ( 5792190 3846540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3846540 ) ( 5740990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3897740 ) ( 5740990 3897740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 3897740 ) ( 5510590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 3923340 ) ( 5510590 3923340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 3923340 ) ( 4819390 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 4000140 ) ( 4819390 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 3989000 ) ( 4665790 4006140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 3989000 ) ( 4666395 4001000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 4000140 ) ( 4819390 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3989000 ) ( 4691390 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 3995000 ) ( 4697390 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 3760000 ) ( 5825000 3780000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3769740 ) ( 5831000 3769740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 3770000 ) ( 5825000 3770000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 3763740 ) ( 5792190 3776000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5203390 3769740 ) ( 5798190 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 3744140 ) ( 5203390 3769740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 3744140 ) ( 5177790 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 3667340 ) ( 4563390 3744140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 3667340 ) ( 4486590 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 3661340 ) ( 4344000 3678000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4512190 3692940 ) ( 4563390 3744140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 3692940 ) ( 4512190 3692940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 3669000 ) ( 4384190 3698940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 3675000 ) ( 4390190 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5811740 ) ( 2860000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5817740 ) ( 2866000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2860000 5786140 ) ( 2860000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2842190 5792140 ) ( 2866000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2848190 5766540 ) ( 2848190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5715340 ) ( 2848190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 5510540 ) ( 2796990 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 5484940 ) ( 2796990 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 4921740 ) ( 2771390 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4844940 ) ( 2771390 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 4668000 ) ( 2694590 4844940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 4674000 ) ( 2708000 4674000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4870540 ) ( 2771390 4921740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 4710940 ) ( 2720190 4870540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 4716940 ) ( 2726190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 4671000 ) ( 2705000 4722940 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5811740 ) ( 2940000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2934000 5817740 ) ( 2956590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5766540 ) ( 2950590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5740940 ) ( 2950590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5228940 ) ( 2924990 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2940000 5786140 ) ( 2940000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2918990 5792140 ) ( 2946000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2924990 5228940 ) ( 2924990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 5203340 ) ( 2924990 5228940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2950590 4588940 ) ( 2950590 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4512140 ) ( 2950590 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4352540 ) ( 3027390 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4358540 ) ( 3033390 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4351000 ) ( 3025000 4364540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4378140 ) ( 3027390 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4384140 ) ( 3033390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4351000 ) ( 3025000 4390140 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5811740 ) ( 3070000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3064000 5817740 ) ( 3084590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3078590 5792140 ) ( 3078590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3078590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5632540 ) ( 3027390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5638540 ) ( 3033390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5631000 ) ( 3025000 5644540 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3070000 5786140 ) ( 3070000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 5792140 ) ( 3076000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 5766540 ) ( 3052990 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5740940 ) ( 3052990 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 5658140 ) ( 3027390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 5664140 ) ( 3033390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 5631000 ) ( 3025000 5670140 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3328590 5825000 ) ( 3350000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5792140 ) ( 3334590 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3340000 5786140 ) ( 3340000 5825000 ) + NEW metal10 11410 + SHAPE IOWIRE ( 3340295 5786140 ) ( 3340295 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5715340 ) ( 3334590 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3257790 5254540 ) ( 3257790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5177740 ) ( 3257790 5254540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4992540 ) ( 3334590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4998540 ) ( 3351000 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4991000 ) ( 3345000 5004540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5018140 ) ( 3334590 5177740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5024140 ) ( 3351000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4991000 ) ( 3345000 5030140 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5811740 ) ( 3190000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3174990 5817740 ) ( 3196000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3180990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3190000 5786140 ) ( 3190000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3180990 5792140 ) ( 3196000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5740940 ) ( 3180990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 5536140 ) ( 3129790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 5510540 ) ( 3129790 5536140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 4896140 ) ( 3104190 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4819340 ) ( 3104190 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4668000 ) ( 3027390 4819340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3027695 4668000 ) ( 3027695 4680000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 4710940 ) ( 3027390 4819340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 4716940 ) ( 3033390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 4671000 ) ( 3025000 4722940 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4010000 ) ( 175000 4031740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4025740 ) ( 236990 4025740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4020000 ) ( 217390 4020000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4014000 ) ( 211390 4031740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4025740 ) ( 236990 4025740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 236990 4025740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 422190 4000140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 3989000 ) ( 416190 4006140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 3995000 ) ( 429000 3995000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4000140 ) ( 396590 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 3989000 ) ( 390590 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 3995000 ) ( 429000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4070000 ) ( 175000 4090000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4076940 ) ( 780590 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4028000 ) ( 774590 4082940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4034000 ) ( 788000 4034000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4080000 ) ( 217390 4080000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4070940 ) ( 211390 4086000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4076940 ) ( 492990 4076940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 4000140 ) ( 492990 4076940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 4000140 ) ( 703790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3989000 ) ( 697790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3995000 ) ( 749000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4140000 ) ( 175000 4160000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4153740 ) ( 211390 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 211390 4153740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 825790 4102540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 4076940 ) ( 825790 4102540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 4076940 ) ( 1420590 4076940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4028000 ) ( 1414590 4082940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4034000 ) ( 1428000 4034000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4150000 ) ( 217390 4150000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4122140 ) ( 211390 4156000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4128140 ) ( 236990 4128140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 236990 4128140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4102540 ) ( 518590 4102540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 4076940 ) ( 518590 4102540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 4076940 ) ( 1158590 4076940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1158590 4076940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 4000140 ) ( 1343790 4000140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3989000 ) ( 1337790 4006140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3995000 ) ( 1389000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4210000 ) ( 175000 4236540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4230540 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 236990 4230540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4204940 ) ( 825790 4204940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4220000 ) ( 217390 4220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4198940 ) ( 211390 4226000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4204940 ) ( 825790 4204940 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 4204940 ) ( 851390 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 4230540 ) ( 1465790 4230540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 4230540 ) ( 1542590 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 4307340 ) ( 1702190 4307340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 4301340 ) ( 1696190 4321000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 4315000 ) ( 1709000 4315000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1542590 4307340 ) ( 1676590 4307340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 4301340 ) ( 1670590 4321000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 4315000 ) ( 1709000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4390000 ) ( 175000 4415740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4409740 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 211390 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 4384140 ) ( 780590 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4348000 ) ( 774590 4390140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4354000 ) ( 788000 4354000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4400000 ) ( 217390 4400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4378140 ) ( 211390 4406000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4384140 ) ( 492990 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 4332940 ) ( 492990 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 4332940 ) ( 703790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4309000 ) ( 697790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4315000 ) ( 749000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5030000 ) ( 175000 5055740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5049740 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 236990 5049740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5024140 ) ( 780590 5024140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 4988000 ) ( 774590 5030140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 4994000 ) ( 788000 4994000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5040000 ) ( 217390 5040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5018140 ) ( 211390 5046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5024140 ) ( 518590 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 4972940 ) ( 518590 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 4972940 ) ( 703790 4972940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 4949000 ) ( 697790 4978940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 4955000 ) ( 749000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5290000 ) ( 175000 5311740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5305740 ) ( 236990 5305740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5300000 ) ( 217390 5300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5294000 ) ( 211390 5311740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5305740 ) ( 236990 5305740 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 236990 5305740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 422190 5280140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 5269000 ) ( 416190 5286140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 5275000 ) ( 429000 5275000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 262590 5280140 ) ( 396590 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5269000 ) ( 390590 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5275000 ) ( 429000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 191790 5370000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 5364000 ) ( 185790 5388540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 5382540 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 211390 5382540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 5356940 ) ( 780590 5356940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 5308000 ) ( 774590 5362940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 5314000 ) ( 788000 5314000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5370000 ) ( 217390 5370000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5350940 ) ( 211390 5376000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5356940 ) ( 492990 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 5280140 ) ( 492990 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 5280140 ) ( 703790 5280140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 5269000 ) ( 697790 5286140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 5275000 ) ( 749000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5606940 ) ( 175000 5630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 5612940 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 236990 5612940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 5587340 ) ( 422190 5587340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 5581340 ) ( 416190 5601000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 5595000 ) ( 429000 5595000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 5620000 ) ( 217390 5620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 5606940 ) ( 211390 5626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 5612940 ) ( 396590 5612940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 5589000 ) ( 390590 5618940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 5595000 ) ( 429000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4450000 ) ( 175000 4470000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4460940 ) ( 211390 4460940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 211390 4460940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 825790 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 4384140 ) ( 825790 4409740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 4384140 ) ( 1420590 4384140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4348000 ) ( 1414590 4390140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4354000 ) ( 1428000 4354000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4460000 ) ( 217390 4460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4429340 ) ( 211390 4466000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 4435340 ) ( 236990 4435340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 236990 4435340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 4409740 ) ( 518590 4409740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 4384140 ) ( 518590 4409740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 4384140 ) ( 1158590 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 4332940 ) ( 1158590 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 4332940 ) ( 1343790 4332940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 4309000 ) ( 1337790 4338940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 4315000 ) ( 1389000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4608540 ) ( 175000 4630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 4614540 ) ( 211390 4614540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4620000 ) ( 217390 4620000 ) + NEW metal10 11460 + SHAPE IOWIRE ( 205390 4620270 ) ( 217390 4620270 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4563340 ) ( 211390 4614540 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 4563340 ) ( 825790 4563340 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 4563340 ) ( 902590 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 902590 4640140 ) ( 1062190 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 4629000 ) ( 1056190 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 4635000 ) ( 1069000 4635000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 902590 4640140 ) ( 1036590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 4629000 ) ( 1030590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 4635000 ) ( 1069000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 191790 4680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 4674000 ) ( 185790 4697340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 4691340 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 236990 4691340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 4640140 ) ( 422190 4640140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 4629000 ) ( 416190 4646140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 4635000 ) ( 429000 4635000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 4680000 ) ( 217390 4680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 4665740 ) ( 211390 4686000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 211390 4665740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 236990 4640140 ) ( 396590 4640140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 4629000 ) ( 390590 4646140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 4635000 ) ( 429000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5811740 ) ( 1200000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1194000 5817740 ) ( 1215790 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1209790 5766540 ) ( 1209790 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5740940 ) ( 1209790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5740940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1200000 5786140 ) ( 1200000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1178190 5792140 ) ( 1206000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1184190 5536140 ) ( 1184190 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5459340 ) ( 1184190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5308000 ) ( 1107390 5459340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1107695 5308000 ) ( 1107695 5320000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 5350940 ) ( 1107390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 5356940 ) ( 1113390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 5311000 ) ( 1105000 5362940 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5811740 ) ( 1400000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1382990 5817740 ) ( 1406000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1388990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 5786140 ) ( 1400000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1388990 5792140 ) ( 1406000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5740940 ) ( 1388990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 5228940 ) ( 1337790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5152140 ) ( 1337790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 4992540 ) ( 1414590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 4998540 ) ( 1431000 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 4991000 ) ( 1425000 5004540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5018140 ) ( 1414590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5024140 ) ( 1431000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 4991000 ) ( 1425000 5030140 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 5825000 ) ( 1480000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5715340 ) ( 1465790 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 5632540 ) ( 1414590 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 5638540 ) ( 1431000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5631000 ) ( 1425000 5644540 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 5786140 ) ( 1470000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 5792140 ) ( 1476000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 5766540 ) ( 1465790 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5740940 ) ( 1465790 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 5658140 ) ( 1440190 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 5664140 ) ( 1446190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 5631000 ) ( 1425000 5670140 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 5825000 ) ( 1790000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 5786140 ) ( 1780000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 5792140 ) ( 1786000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 5766540 ) ( 1772990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5740940 ) ( 1772990 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5632540 ) ( 1747390 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5638540 ) ( 1753390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5631000 ) ( 1745000 5644540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 5658140 ) ( 1747390 5740940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 5664140 ) ( 1753390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 5631000 ) ( 1745000 5670140 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1970000 5825000 ) ( 1990000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1980000 5786140 ) ( 1980000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1971790 5792140 ) ( 1986000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5766540 ) ( 1977790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5740940 ) ( 1977790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 5228940 ) ( 1952190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5203340 ) ( 1952190 5228940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 4588940 ) ( 1977790 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4512140 ) ( 1977790 4588940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4352540 ) ( 2054590 4512140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4358540 ) ( 2071000 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4351000 ) ( 2065000 4364540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4378140 ) ( 2054590 4512140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4384140 ) ( 2071000 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4351000 ) ( 2065000 4390140 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2350000 5825000 ) ( 2370000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 5792140 ) ( 2361790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2361790 5792140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2360000 5786140 ) ( 2360000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2330190 5792140 ) ( 2366000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2336190 5766540 ) ( 2336190 5798140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5740940 ) ( 2336190 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2310590 5228940 ) ( 2310590 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5152140 ) ( 2310590 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4992540 ) ( 2387390 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4998540 ) ( 2393390 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4991000 ) ( 2385000 5004540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5018140 ) ( 2387390 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5024140 ) ( 2393390 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4991000 ) ( 2385000 5030140 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2406990 5825000 ) ( 2430000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2412990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 5786140 ) ( 2420000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2412990 5792140 ) ( 2426000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5766540 ) ( 2412990 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5632540 ) ( 2387390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5638540 ) ( 2393390 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5631000 ) ( 2385000 5644540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5658140 ) ( 2387390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5664140 ) ( 2393390 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5631000 ) ( 2385000 5670140 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2480000 5825000 ) ( 2500000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2490000 5786140 ) ( 2490000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2483790 5792140 ) ( 2496000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5766540 ) ( 2489790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5740940 ) ( 2489790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5536140 ) ( 2464190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5459340 ) ( 2464190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5308000 ) ( 2387390 5459340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 2387695 5308000 ) ( 2387695 5320000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 5350940 ) ( 2387390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 5356940 ) ( 2393390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 5311000 ) ( 2385000 5362940 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5811740 ) ( 2550000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5817740 ) ( 2556000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2550000 5786140 ) ( 2550000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2534990 5792140 ) ( 2556000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2540990 5766540 ) ( 2540990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5715340 ) ( 2540990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2489790 5510540 ) ( 2489790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 5484940 ) ( 2489790 5510540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 4921740 ) ( 2464190 5484940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4844940 ) ( 2464190 4921740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4668000 ) ( 2387390 4844940 ) - NEW metal10 11390 + SHAPE IOWIRE ( 2387695 4668000 ) ( 2387695 4680000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 4710940 ) ( 2387390 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 4716940 ) ( 2393390 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 4671000 ) ( 2385000 4722940 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5811740 ) ( 2040000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5817740 ) ( 2046000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2040000 5786140 ) ( 2040000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2022990 5792140 ) ( 2046000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2028990 5766540 ) ( 2028990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5715340 ) ( 2028990 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 5254540 ) ( 1977790 5715340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5177740 ) ( 1977790 5254540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 4992540 ) ( 2054590 5177740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 4998540 ) ( 2071000 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4991000 ) ( 2065000 5004540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5018140 ) ( 2054590 5177740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5024140 ) ( 2071000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 4991000 ) ( 2065000 5030140 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2099790 5825000 ) ( 2120000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 5792140 ) ( 2105790 5831000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5740940 ) ( 2105790 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5632540 ) ( 2054590 5740940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5638540 ) ( 2071000 5638540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5631000 ) ( 2065000 5644540 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2074190 5825000 ) ( 2120000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5658140 ) ( 2080190 5831000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5664140 ) ( 2086190 5664140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5631000 ) ( 2065000 5670140 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5811740 ) ( 2170000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2164000 5817740 ) ( 2188590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2182590 5766540 ) ( 2182590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5715340 ) ( 2182590 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5561740 ) ( 2131390 5715340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5484940 ) ( 2131390 5561740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 5308000 ) ( 2054590 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 5314000 ) ( 2068000 5314000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2170000 5786140 ) ( 2170000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 5792140 ) ( 2176000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5766540 ) ( 2156990 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 5561740 ) ( 2131390 5766540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5510540 ) ( 2131390 5561740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 5350940 ) ( 2080190 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 5356940 ) ( 2086190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 5311000 ) ( 2065000 5362940 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5811740 ) ( 3960000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 5817740 ) ( 3966000 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3948990 5823740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 5786140 ) ( 3960000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3948990 5792140 ) ( 3966000 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5740940 ) ( 3948990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 5228940 ) ( 3897790 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5152140 ) ( 3897790 5228940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 4992540 ) ( 3974590 5152140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 4998540 ) ( 3991000 4998540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4991000 ) ( 3985000 5004540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 5018140 ) ( 3974590 5152140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 5024140 ) ( 3991000 5024140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 4991000 ) ( 3985000 5030140 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3302990 185740 ) ( 3326000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 179740 ) ( 3308990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3308990 236940 ) ( 3334590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 262540 ) ( 3334590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 436000 ) ( 3348000 436000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3314000 211340 ) ( 3340590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3334590 205340 ) ( 3334590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3328590 390540 ) ( 3351000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 384540 ) ( 3345000 439000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2150000 175000 ) ( 2170000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2156990 169000 ) ( 2156990 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2150990 211340 ) ( 2166000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2156990 205340 ) ( 2156990 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2156990 876940 ) ( 2131390 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2131390 902540 ) ( 2131390 1465740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1465740 ) ( 2054590 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1542540 ) ( 2054590 1722000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1716000 ) ( 2068000 1716000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 1465740 ) ( 2080190 1516940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 1516940 ) ( 2080190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1670540 ) ( 2086190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1664540 ) ( 2065000 1719000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2111790 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 169000 ) ( 2105790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2105790 211340 ) ( 2131390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 236940 ) ( 2131390 851340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2131390 851340 ) ( 2054590 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 928140 ) ( 2054590 1082000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1076000 ) ( 2068000 1076000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2090000 175000 ) ( 2137390 175000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 169000 ) ( 2131390 851340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2131390 851340 ) ( 2080190 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2080190 902540 ) ( 2080190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2059000 1030540 ) ( 2086190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1024540 ) ( 2065000 1079000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1910000 175000 ) ( 1932590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1926590 169000 ) ( 1926590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1914000 211340 ) ( 1932590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1926590 205340 ) ( 1926590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1926590 236940 ) ( 1952190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 262540 ) ( 1952190 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1952190 518540 ) ( 1977790 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 544140 ) ( 1977790 1158540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1977790 1158540 ) ( 2054590 1235340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1388940 ) ( 2071000 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1382940 ) ( 2065000 1399000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2054590 1235340 ) ( 2054590 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2048590 1363340 ) ( 2071000 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2065000 1357340 ) ( 2065000 1399000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1840000 175000 ) ( 1860000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1849790 169000 ) ( 1849790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1843790 211340 ) ( 1856000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1849790 205340 ) ( 1849790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1849790 876940 ) ( 1824190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 902540 ) ( 1824190 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 1465740 ) ( 1747390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1542540 ) ( 1747390 1722000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1747695 1710000 ) ( 1747695 1722000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 1542540 ) ( 1747390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1670540 ) ( 1753390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1664540 ) ( 1745000 1719000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1766990 175000 ) ( 1790000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1772990 169000 ) ( 1772990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1766990 211340 ) ( 1786000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1772990 205340 ) ( 1772990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1772990 236940 ) ( 1824190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 288140 ) ( 1824190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1824190 851340 ) ( 1747390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1082000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1747695 1070000 ) ( 1747695 1082000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 928140 ) ( 1747390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 1030540 ) ( 1753390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 1024540 ) ( 1745000 1079000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1710000 175000 ) ( 1730000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1721790 169000 ) ( 1721790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1714000 211340 ) ( 1727790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1721790 205340 ) ( 1721790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1721790 236940 ) ( 1747390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1747695 430000 ) ( 1747695 442000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1747390 262540 ) ( 1747390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1739000 390540 ) ( 1753390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1745000 384540 ) ( 1745000 439000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3120000 175000 ) ( 3140000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3129790 169000 ) ( 3129790 876940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3123790 211340 ) ( 3136000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3129790 205340 ) ( 3129790 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3129790 876940 ) ( 3104190 902540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 902540 ) ( 3104190 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 1465740 ) ( 3027390 1542540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1542540 ) ( 3027390 1722000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3027695 1710000 ) ( 3027695 1722000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 1542540 ) ( 3027390 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1670540 ) ( 3033390 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1664540 ) ( 3025000 1719000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3046990 175000 ) ( 3070000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3052990 169000 ) ( 3052990 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3046990 211340 ) ( 3066000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3052990 205340 ) ( 3052990 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3052990 236940 ) ( 3104190 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 288140 ) ( 3104190 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3104190 851340 ) ( 3027390 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1082000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3027695 1070000 ) ( 3027695 1082000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 928140 ) ( 3027390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 1030540 ) ( 3033390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 1024540 ) ( 3025000 1079000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2990000 175000 ) ( 3010000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3001790 169000 ) ( 3001790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2994000 211340 ) ( 3007790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3001790 205340 ) ( 3001790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3001790 236940 ) ( 3027390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3027695 430000 ) ( 3027695 442000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3027390 262540 ) ( 3027390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3019000 390540 ) ( 3033390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3025000 384540 ) ( 3025000 439000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2804000 185740 ) ( 2828590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 179740 ) ( 2822590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2822590 211340 ) ( 2796990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2796990 236940 ) ( 2796990 851340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2790990 211340 ) ( 2816000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2796990 205340 ) ( 2796990 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 2796990 851340 ) ( 2771390 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 876940 ) ( 2771390 1491340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1491340 ) ( 2694590 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 1568140 ) ( 2694590 1722000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1716000 ) ( 2708000 1716000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 1491340 ) ( 2720190 1542540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 1542540 ) ( 2720190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1670540 ) ( 2726190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1664540 ) ( 2705000 1719000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2739790 175000 ) ( 2760000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2745790 169000 ) ( 2745790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2739790 211340 ) ( 2756000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2745790 205340 ) ( 2745790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2745790 236940 ) ( 2771390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2771390 262540 ) ( 2771390 825740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2771390 825740 ) ( 2694590 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 902540 ) ( 2694590 1082000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 1076000 ) ( 2708000 1076000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2771390 825740 ) ( 2720190 876940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2720190 876940 ) ( 2720190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2699000 1030540 ) ( 2726190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 1024540 ) ( 2705000 1079000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2662990 185740 ) ( 2686000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 179740 ) ( 2668990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2668990 211340 ) ( 2694590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 236940 ) ( 2694590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 436000 ) ( 2708000 436000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2674000 211340 ) ( 2700590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 205340 ) ( 2694590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 390540 ) ( 2711000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 384540 ) ( 2705000 439000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2610000 175000 ) ( 2630000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2617790 169000 ) ( 2617790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2611790 211340 ) ( 2626000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2617790 205340 ) ( 2617790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2617790 518540 ) ( 2694590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2688590 748940 ) ( 2711000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2705000 742940 ) ( 2705000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2694590 595340 ) ( 2694590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2688590 723340 ) ( 2711000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2705000 717340 ) ( 2705000 759000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2420000 175000 ) ( 2444590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2438590 169000 ) ( 2438590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2424000 211340 ) ( 2444590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2438590 205340 ) ( 2438590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 2438590 236940 ) ( 2464190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 262540 ) ( 2464190 825740 ) NEW metal10 12000 + SHAPE IOWIRE ( 2464190 825740 ) ( 2387390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 902540 ) ( 2387390 1082000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 2387695 1070000 ) ( 2387695 1082000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 902540 ) ( 2387390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 1030540 ) ( 2393390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 1024540 ) ( 2385000 1079000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 2355790 175000 ) ( 2380000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 169000 ) ( 2361790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2361790 211340 ) ( 2387390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 2387390 236940 ) ( 2387390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 2387695 430000 ) ( 2387695 442000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2364000 211340 ) ( 2393390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2387390 205340 ) ( 2387390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2379000 390540 ) ( 2393390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 2385000 384540 ) ( 2385000 439000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1459790 175000 ) ( 1480000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1465790 169000 ) ( 1465790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1459790 211340 ) ( 1476000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1465790 205340 ) ( 1465790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 236940 ) ( 1491390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 262540 ) ( 1491390 825740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1491390 825740 ) ( 1414590 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 902540 ) ( 1414590 1082000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1076000 ) ( 1428000 1076000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1491390 825740 ) ( 1440190 876940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1440190 876940 ) ( 1440190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1419000 1030540 ) ( 1446190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 1024540 ) ( 1425000 1079000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1400000 175000 ) ( 1420590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 169000 ) ( 1414590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 436000 ) ( 1428000 436000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1404000 211340 ) ( 1420590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 205340 ) ( 1414590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 390540 ) ( 1431000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 384540 ) ( 1425000 439000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1330000 175000 ) ( 1350000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1337790 169000 ) ( 1337790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 211340 ) ( 1346000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 205340 ) ( 1337790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1337790 518540 ) ( 1414590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 748940 ) ( 1431000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1425000 742940 ) ( 1425000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1414590 595340 ) ( 1414590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1408590 723340 ) ( 1431000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1425000 717340 ) ( 1425000 759000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3700000 175000 ) ( 3724590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3718590 169000 ) ( 3718590 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3704000 211340 ) ( 3724590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3718590 205340 ) ( 3718590 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3718590 236940 ) ( 3744190 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 262540 ) ( 3744190 825740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 825740 ) ( 3667390 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 902540 ) ( 3667390 1082000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3667695 1070000 ) ( 3667695 1082000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 902540 ) ( 3667390 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1030540 ) ( 3673390 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1024540 ) ( 3665000 1079000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4084000 185740 ) ( 4108590 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 179740 ) ( 4102590 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4102590 211340 ) ( 4076990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4076990 236940 ) ( 4076990 851340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4070990 211340 ) ( 4096000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4076990 205340 ) ( 4076990 851340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4076990 851340 ) ( 4051390 876940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 876940 ) ( 4051390 1491340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1491340 ) ( 3974590 1568140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 1568140 ) ( 3974590 1722000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1716000 ) ( 3988000 1716000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 1491340 ) ( 4000190 1542540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 1542540 ) ( 4000190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1670540 ) ( 4006190 1670540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1664540 ) ( 3985000 1719000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4530000 175000 ) ( 4550000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4537790 169000 ) ( 4537790 492940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4531790 211340 ) ( 4546000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4537790 205340 ) ( 4537790 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4537790 492940 ) ( 4614590 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 569740 ) ( 4614590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 748940 ) ( 4631000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4625000 742940 ) ( 4625000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 569740 ) ( 4614590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 723340 ) ( 4631000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 717340 ) ( 4625000 759000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4019790 175000 ) ( 4040000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4025790 169000 ) ( 4025790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4019790 211340 ) ( 4036000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4025790 205340 ) ( 4025790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4025790 236940 ) ( 4051390 262540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4051390 262540 ) ( 4051390 825740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4051390 825740 ) ( 3974590 902540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 902540 ) ( 3974590 1082000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 1076000 ) ( 3988000 1076000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4051390 825740 ) ( 4000190 876940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4000190 876940 ) ( 4000190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3979000 1030540 ) ( 4006190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 1024540 ) ( 3985000 1079000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3942990 185740 ) ( 3966000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 179740 ) ( 3948990 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3948990 211340 ) ( 3974590 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 236940 ) ( 3974590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 436000 ) ( 3988000 436000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3954000 211340 ) ( 3980590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 205340 ) ( 3974590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 390540 ) ( 3991000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 384540 ) ( 3985000 439000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 191790 2680000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2662940 ) ( 185790 2686000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2668940 ) ( 211390 2668940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2680000 ) ( 217390 2680000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2668940 ) ( 211390 2686000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 211390 2668940 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2643340 ) ( 851390 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2643340 ) ( 928190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1062190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 2709000 ) ( 1056190 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 2715000 ) ( 1069000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 928190 2720140 ) ( 1036590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2709000 ) ( 1030590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2715000 ) ( 1069000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 430000 175000 ) ( 450000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 441790 169000 ) ( 441790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 434000 211340 ) ( 447790 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 441790 205340 ) ( 441790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 441790 236940 ) ( 467390 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 467695 430000 ) ( 467695 442000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 467390 262540 ) ( 467390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 459000 390540 ) ( 473390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 465000 384540 ) ( 465000 439000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4850000 175000 ) ( 4876590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4870590 169000 ) ( 4870590 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4854000 211340 ) ( 4876590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4870590 205340 ) ( 4870590 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4870590 518540 ) ( 4947390 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4947390 595340 ) ( 4947390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4939000 748940 ) ( 4953390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4945000 742940 ) ( 4945000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4947390 595340 ) ( 4947390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4939000 723340 ) ( 4953390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4945000 717340 ) ( 4945000 759000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2880000 ) ( 5825000 2880000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2867740 ) ( 5817790 2886000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5823790 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2873740 ) ( 5740990 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2880000 ) ( 5825000 2880000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2874000 ) ( 5792190 2905340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2899340 ) ( 5798190 2899340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2899340 ) ( 5740990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2924940 ) ( 5740990 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2924940 ) ( 5510590 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 5510590 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2950540 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 3027340 ) ( 4819390 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 3021340 ) ( 4665790 3041000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 3029000 ) ( 4666395 3041000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 3027340 ) ( 4819390 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 3021340 ) ( 4691390 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 3035000 ) ( 4697390 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2860000 ) ( 175000 2880000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2873740 ) ( 211390 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 211390 2873740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 825790 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 2796940 ) ( 825790 2822540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 2796940 ) ( 1420590 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2748000 ) ( 1414590 2802940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2754000 ) ( 1428000 2754000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2870000 ) ( 217390 2870000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2842140 ) ( 211390 2876000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2848140 ) ( 236990 2848140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 236990 2848140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2822540 ) ( 518590 2822540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 2796940 ) ( 518590 2822540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 2796940 ) ( 1158590 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1158590 2796940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1235390 2720140 ) ( 1343790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2709000 ) ( 1337790 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2715000 ) ( 1389000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1890000 ) ( 175000 1910000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1900940 ) ( 211390 1900940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 211390 1900940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 825790 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 1824140 ) ( 825790 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 1824140 ) ( 1420590 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 1788000 ) ( 1414590 1830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 1794000 ) ( 1428000 1794000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1900000 ) ( 217390 1900000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1869340 ) ( 211390 1906000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1875340 ) ( 236990 1875340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 236990 1875340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 1849740 ) ( 518590 1849740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 1824140 ) ( 518590 1849740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 1824140 ) ( 1158590 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 1772940 ) ( 1158590 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 1772940 ) ( 1343790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 1749000 ) ( 1337790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 1755000 ) ( 1389000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1970000 ) ( 175000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1977740 ) ( 851390 1977740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1980000 ) ( 217390 1980000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1971740 ) ( 211390 1986000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1977740 ) ( 851390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 1977740 ) ( 876990 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2003340 ) ( 1491390 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 2003340 ) ( 1568190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1702190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 2069000 ) ( 1696190 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 2075000 ) ( 1709000 2075000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 2080140 ) ( 1676590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2069000 ) ( 1670590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2075000 ) ( 1709000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 191790 2040000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2022940 ) ( 185790 2046000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2028940 ) ( 236990 2028940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2040000 ) ( 217390 2040000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2022940 ) ( 211390 2046000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2028940 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 236990 2028940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2003340 ) ( 825790 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 2003340 ) ( 902590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 902590 2080140 ) ( 1062190 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 2069000 ) ( 1056190 2086140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 2075000 ) ( 1069000 2075000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 902590 2080140 ) ( 1036590 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2069000 ) ( 1030590 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2075000 ) ( 1069000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 191790 2220000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 2202140 ) ( 185790 2226000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 2208140 ) ( 211390 2208140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2220000 ) ( 217390 2220000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2208140 ) ( 211390 2226000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 211390 2208140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 800190 2182540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 2156940 ) ( 800190 2182540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 2156940 ) ( 1420590 2156940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 2108000 ) ( 1414590 2162940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 2114000 ) ( 1428000 2114000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 236990 2182540 ) ( 544190 2182540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 544190 2182540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 2156940 ) ( 1132990 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 2080140 ) ( 1132990 2156940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 2080140 ) ( 1343790 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 2069000 ) ( 1337790 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 2075000 ) ( 1389000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2290000 ) ( 175000 2316540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2310540 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 211390 2310540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 2284940 ) ( 851390 2284940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2300000 ) ( 217390 2300000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2278940 ) ( 211390 2306000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2284940 ) ( 851390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2284940 ) ( 876990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2310540 ) ( 1440190 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1440190 2310540 ) ( 1516990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2387340 ) ( 1702190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 2381340 ) ( 1696190 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 2395000 ) ( 1709000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1516990 2387340 ) ( 1676590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2381340 ) ( 1670590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2395000 ) ( 1709000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2355740 ) ( 175000 2380000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2361740 ) ( 211390 2361740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 211390 2361740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2330140 ) ( 175000 2380000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 169000 2336140 ) ( 236990 2336140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 236990 2336140 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 2310540 ) ( 825790 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 2310540 ) ( 902590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 902590 2387340 ) ( 1062190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 2381340 ) ( 1056190 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 2395000 ) ( 1069000 2395000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 902590 2387340 ) ( 1036590 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 2381340 ) ( 1030590 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 2395000 ) ( 1069000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1660000 ) ( 5825000 1660000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1654000 ) ( 5817790 1676540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5561790 1670540 ) ( 5823790 1670540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1660000 ) ( 5825000 1660000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1654000 ) ( 5792190 1676540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5561790 1670540 ) ( 5798190 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5561790 1670540 ) ( 5484990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 1747340 ) ( 5484990 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 1741340 ) ( 5305790 1761000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 1749000 ) ( 5306395 1761000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 1747340 ) ( 5484990 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 1741340 ) ( 5331390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 1755000 ) ( 5337390 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1600000 ) ( 5825000 1600000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1587740 ) ( 5817790 1606000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5823790 1593740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1593740 ) ( 5740990 1644940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1600000 ) ( 5825000 1600000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1594000 ) ( 5792190 1625340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1619340 ) ( 5798190 1619340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1619340 ) ( 5740990 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1644940 ) ( 5740990 1644940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 1644940 ) ( 5510590 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 5510590 1670540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 1670540 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 1747340 ) ( 4819390 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 1741340 ) ( 4665790 1761000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 1749000 ) ( 4666395 1761000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 1747340 ) ( 4819390 1747340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 1741340 ) ( 4691390 1761000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 1755000 ) ( 4697390 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1450000 ) ( 5825000 1471740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5831000 1465740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1460000 ) ( 5825000 1460000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1454000 ) ( 5792190 1471740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5798190 1465740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1465740 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5254590 1516940 ) ( 5715390 1516940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1440140 ) ( 5254590 1516940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 1440140 ) ( 5177790 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 1432000 ) ( 4984000 1446140 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1440140 ) ( 5177790 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1429000 ) ( 5024190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 1435000 ) ( 5030190 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1400000 ) ( 5825000 1400000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1382940 ) ( 5817790 1406000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5823790 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1388940 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 1440140 ) ( 5740990 1440140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 1432000 ) ( 5624000 1446140 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1400000 ) ( 5825000 1400000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1394000 ) ( 5792190 1420540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5798190 1414540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1414540 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 1440140 ) ( 5740990 1440140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 1429000 ) ( 5664190 1446140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 1435000 ) ( 5670190 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2930000 ) ( 175000 2956540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2950540 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 236990 2950540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 2924940 ) ( 825790 2924940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2940000 ) ( 217390 2940000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2918940 ) ( 211390 2946000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2924940 ) ( 825790 2924940 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 2924940 ) ( 851390 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 2950540 ) ( 1465790 2950540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 2950540 ) ( 1542590 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 3027340 ) ( 1702190 3027340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 3021340 ) ( 1696190 3041000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 3035000 ) ( 1709000 3035000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1542590 3027340 ) ( 1676590 3027340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3021340 ) ( 1670590 3041000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3035000 ) ( 1709000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1140000 ) ( 5825000 1140000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1126940 ) ( 5817790 1146000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5823790 1132940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1132940 ) ( 5740990 1184140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1140000 ) ( 5825000 1140000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1134000 ) ( 5792190 1164540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1158540 ) ( 5798190 1158540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1158540 ) ( 5740990 1184140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 1184140 ) ( 5740990 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1107340 ) ( 5228990 1184140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 1107340 ) ( 5152190 1107340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 1101340 ) ( 4984000 1118000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1132940 ) ( 5228990 1184140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 1132940 ) ( 5177790 1132940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 1109000 ) ( 5024190 1138940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 1115000 ) ( 5030190 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 780540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5831000 774540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 774540 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 800140 ) ( 5766590 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 792000 ) ( 5624000 806140 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 800140 ) ( 5831000 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 789000 ) ( 5664190 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 795000 ) ( 5670190 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 690000 ) ( 5825000 710000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5831000 697740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 700000 ) ( 5825000 700000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 691740 ) ( 5792190 706000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5798190 697740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 697740 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 723340 ) ( 5740990 723340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 723340 ) ( 5459390 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 800140 ) ( 5459390 800140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 789000 ) ( 5305790 806140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 789000 ) ( 5306395 801000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 800140 ) ( 5459390 800140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 789000 ) ( 5331390 806140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 795000 ) ( 5337390 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 430000 ) ( 5825000 450000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5831000 441740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 440000 ) ( 5825000 440000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 434000 ) ( 5792190 447740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5798190 441740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 441740 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 467340 ) ( 5740990 467340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 461340 ) ( 5624000 478000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 467340 ) ( 5740990 467340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 461340 ) ( 5664190 481000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 475000 ) ( 5670190 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2550000 ) ( 5825000 2572540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5831000 2566540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2560000 ) ( 5825000 2560000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2554000 ) ( 5792190 2566540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2566540 ) ( 5740990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2617740 ) ( 5740990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2617740 ) ( 5510590 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2643340 ) ( 5510590 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4896190 2643340 ) ( 4819390 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 2720140 ) ( 4819390 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 2709000 ) ( 4665790 2726140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 2709000 ) ( 4666395 2721000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2720140 ) ( 4819390 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2709000 ) ( 4691390 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 2715000 ) ( 4697390 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2480000 ) ( 5825000 2500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2489740 ) ( 5831000 2489740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2490000 ) ( 5825000 2490000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2483740 ) ( 5792190 2496000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2489740 ) ( 5798190 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2464140 ) ( 5203390 2489740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4563390 2464140 ) ( 5177790 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4486590 2387340 ) ( 4563390 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 2387340 ) ( 4486590 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 2381340 ) ( 4344000 2398000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4512190 2412940 ) ( 4563390 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2412940 ) ( 4512190 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2389000 ) ( 4384190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 2395000 ) ( 4390190 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2406940 ) ( 5825000 2430000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5831000 2412940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2412940 ) ( 5740990 2464140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2420000 ) ( 5825000 2420000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2414000 ) ( 5792190 2444540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2438540 ) ( 5798190 2438540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2438540 ) ( 5740990 2464140 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 2464140 ) ( 5740990 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5152190 2387340 ) ( 5228990 2464140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 2387340 ) ( 5152190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 2381340 ) ( 4984000 2398000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2412940 ) ( 5228990 2464140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2412940 ) ( 5177790 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2389000 ) ( 5024190 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 2395000 ) ( 5030190 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 2240000 ) ( 5825000 2240000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 2227740 ) ( 5817790 2246000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5823790 2233740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2240000 ) ( 5825000 2240000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2227740 ) ( 5792190 2246000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5798190 2233740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2233740 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5715390 2284940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 2284940 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 2310540 ) ( 5484990 2310540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 2310540 ) ( 4844990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 2387340 ) ( 4844990 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 2381340 ) ( 4665790 2401000 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 2389000 ) ( 4666395 2401000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2387340 ) ( 4844990 2387340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2381340 ) ( 4691390 2401000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 2395000 ) ( 4697390 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2060540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5831000 2054540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2054540 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5618000 2080140 ) ( 5766590 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5624000 2072000 ) ( 5624000 2086140 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5658190 2080140 ) ( 5831000 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5664190 2069000 ) ( 5664190 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5621000 2075000 ) ( 5670190 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1990000 ) ( 5825000 1990000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1971740 ) ( 5817790 1996000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5823790 1977740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1977740 ) ( 5740990 2003340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2003340 ) ( 5740990 2003340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1990000 ) ( 5825000 1990000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1984000 ) ( 5792190 2009340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2003340 ) ( 5798190 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 5536190 2003340 ) ( 5459390 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5299790 2080140 ) ( 5459390 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5305790 2069000 ) ( 5305790 2086140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 5306395 2069000 ) ( 5306395 2081000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5325390 2080140 ) ( 5459390 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5331390 2069000 ) ( 5331390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5301000 2075000 ) ( 5337390 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3110000 ) ( 175000 3135740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3129740 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 211390 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3104140 ) ( 780590 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 3068000 ) ( 774590 3110140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 3074000 ) ( 788000 3074000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3120000 ) ( 217390 3120000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3098140 ) ( 211390 3126000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3104140 ) ( 492990 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 3052940 ) ( 492990 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 3052940 ) ( 703790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 3029000 ) ( 697790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 3035000 ) ( 749000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 1910000 ) ( 5825000 1932540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5831000 1926540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1920000 ) ( 5825000 1920000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1914000 ) ( 5792190 1932540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5798190 1926540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 1926540 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1977740 ) ( 5715390 1977740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5510590 1977740 ) ( 5484990 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 2003340 ) ( 5484990 2003340 ) NEW metal10 12000 + SHAPE IOWIRE ( 4921790 2003340 ) ( 4844990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4659790 2080140 ) ( 4844990 2080140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 2069000 ) ( 4665790 2086140 ) - NEW metal10 10790 + SHAPE IOWIRE ( 4666395 2069000 ) ( 4666395 2081000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4685390 2080140 ) ( 4844990 2080140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 2069000 ) ( 4691390 2086140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4661000 2075000 ) ( 4697390 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5811790 1840000 ) ( 5825000 1840000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5817790 1834000 ) ( 5817790 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5823790 1849740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 1840000 ) ( 5825000 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 1834000 ) ( 5792190 1855740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5177790 1849740 ) ( 5798190 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5152190 1824140 ) ( 5177790 1849740 ) NEW metal10 12000 + SHAPE IOWIRE ( 4588990 1824140 ) ( 5152190 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4512190 1747340 ) ( 4588990 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 1747340 ) ( 4512190 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 1741340 ) ( 4344000 1758000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4537790 1772940 ) ( 4588990 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 1772940 ) ( 4537790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 1749000 ) ( 4384190 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 1755000 ) ( 4390190 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3170000 ) ( 175000 3190000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3180940 ) ( 211390 3180940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 211390 3180940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 825790 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 3104140 ) ( 825790 3129740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 851390 3104140 ) ( 1420590 3104140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3068000 ) ( 1414590 3110140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3074000 ) ( 1428000 3074000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3180000 ) ( 217390 3180000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3149340 ) ( 211390 3186000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3155340 ) ( 236990 3155340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 236990 3155340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 262590 3129740 ) ( 518590 3129740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 3104140 ) ( 518590 3129740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 3104140 ) ( 1158590 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 3052940 ) ( 1158590 3104140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 3052940 ) ( 1343790 3052940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3029000 ) ( 1337790 3058940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3035000 ) ( 1389000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3250000 ) ( 175000 3270000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3257740 ) ( 851390 3257740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3260000 ) ( 217390 3260000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3251740 ) ( 211390 3266000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3257740 ) ( 851390 3257740 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 3257740 ) ( 876990 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3283340 ) ( 1491390 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1491390 3283340 ) ( 1568190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1702190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 3349000 ) ( 1696190 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 3355000 ) ( 1709000 3355000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1568190 3360140 ) ( 1676590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3349000 ) ( 1670590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3355000 ) ( 1709000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 191790 3320000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3302940 ) ( 185790 3326000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3308940 ) ( 236990 3308940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3320000 ) ( 217390 3320000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3302940 ) ( 211390 3326000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3308940 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 236990 3308940 ) NEW metal10 12000 + SHAPE IOWIRE ( 262590 3283340 ) ( 825790 3283340 ) NEW metal10 12000 + SHAPE IOWIRE ( 825790 3283340 ) ( 902590 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 902590 3360140 ) ( 1062190 3360140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1056190 3349000 ) ( 1056190 3366140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1050190 3355000 ) ( 1069000 3355000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 902590 3360140 ) ( 1036590 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1030590 3349000 ) ( 1030590 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1024590 3355000 ) ( 1069000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 191790 3500000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 185790 3482140 ) ( 185790 3506000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 179790 3488140 ) ( 211390 3488140 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3500000 ) ( 217390 3500000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3488140 ) ( 211390 3506000 ) NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 211390 3488140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 800190 3462540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 3436940 ) ( 800190 3462540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 825790 3436940 ) ( 1420590 3436940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1414590 3388000 ) ( 1414590 3442940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1408590 3394000 ) ( 1428000 3394000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 236990 3462540 ) ( 544190 3462540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 544190 3462540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 569790 3436940 ) ( 1132990 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 3360140 ) ( 1132990 3436940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1209790 3360140 ) ( 1343790 3360140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1337790 3349000 ) ( 1337790 3366140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1331790 3355000 ) ( 1389000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3570000 ) ( 175000 3596540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 3590540 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 211390 3590540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 3564940 ) ( 851390 3564940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 3580000 ) ( 217390 3580000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 3558940 ) ( 211390 3586000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 3564940 ) ( 851390 3564940 ) NEW metal10 12000 + SHAPE IOWIRE ( 851390 3564940 ) ( 876990 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 3590540 ) ( 1440190 3590540 ) NEW metal10 12000 + SHAPE IOWIRE ( 1440190 3590540 ) ( 1516990 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1516990 3667340 ) ( 1702190 3667340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 3661340 ) ( 1696190 3681000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 3675000 ) ( 1709000 3675000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1516990 3667340 ) ( 1676590 3667340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 3661340 ) ( 1670590 3681000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 3675000 ) ( 1709000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1766940 ) ( 175000 1790000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1772940 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 236990 1772940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 262590 1747340 ) ( 422190 1747340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 1741340 ) ( 416190 1761000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 1755000 ) ( 429000 1755000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1780000 ) ( 217390 1780000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1766940 ) ( 211390 1786000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1772940 ) ( 396590 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 1749000 ) ( 390590 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 1755000 ) ( 429000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1830000 ) ( 175000 1855740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 1849740 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 211390 1849740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 236990 1824140 ) ( 780590 1824140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 1788000 ) ( 774590 1830140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 1794000 ) ( 788000 1794000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 1840000 ) ( 217390 1840000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 1818140 ) ( 211390 1846000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 1824140 ) ( 492990 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 1772940 ) ( 492990 1824140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 544190 1772940 ) ( 703790 1772940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 1749000 ) ( 697790 1778940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 1755000 ) ( 749000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2610000 ) ( 175000 2630000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2617740 ) ( 876990 2617740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2620000 ) ( 217390 2620000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2611740 ) ( 211390 2626000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2617740 ) ( 876990 2617740 ) NEW metal10 12000 + SHAPE IOWIRE ( 876990 2617740 ) ( 902590 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 902590 2643340 ) ( 1465790 2643340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1465790 2643340 ) ( 1542590 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1542590 2720140 ) ( 1702190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1696190 2709000 ) ( 1696190 2726140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1690190 2715000 ) ( 1709000 2715000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1542590 2720140 ) ( 1676590 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1670590 2709000 ) ( 1670590 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1664590 2715000 ) ( 1709000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 690000 175000 ) ( 710000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 697790 169000 ) ( 697790 492940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 691790 211340 ) ( 706000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 697790 205340 ) ( 697790 492940 ) NEW metal10 12000 + SHAPE IOWIRE ( 697790 492940 ) ( 774590 569740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 774590 569740 ) ( 774590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 768590 748940 ) ( 791000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 785000 742940 ) ( 785000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 774590 569740 ) ( 774590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 768590 723340 ) ( 791000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 785000 717340 ) ( 785000 759000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 191740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4582990 185740 ) ( 4606000 185740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 179740 ) ( 4588990 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4588990 236940 ) ( 4614590 262540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 262540 ) ( 4614590 442000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 436000 ) ( 4628000 436000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4594000 211340 ) ( 4620590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4614590 205340 ) ( 4614590 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4608590 390540 ) ( 4631000 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 384540 ) ( 4625000 439000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2730000 ) ( 5825000 2751740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5831000 2745740 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2740000 ) ( 5825000 2740000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2734000 ) ( 5792190 2751740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5798190 2745740 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2745740 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5254590 2796940 ) ( 5715390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5177790 2720140 ) ( 5254590 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4978000 2720140 ) ( 5177790 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4984000 2712000 ) ( 4984000 2726140 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 5018190 2720140 ) ( 5177790 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5024190 2709000 ) ( 5024190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4981000 2715000 ) ( 5030190 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2420000 ) ( 175000 2444540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 169000 2438540 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 236990 2438540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 288190 2387340 ) ( 422190 2387340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 416190 2381340 ) ( 416190 2401000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 410190 2395000 ) ( 429000 2395000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 175000 2430000 ) ( 217390 2430000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 211390 2406940 ) ( 211390 2436000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 205390 2412940 ) ( 396590 2412940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 390590 2389000 ) ( 390590 2418940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 384590 2395000 ) ( 429000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 1070000 175000 ) ( 1090000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1081790 169000 ) ( 1081790 211340 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 217340 ) + NEW metal10 7790 + SHAPE IOWIRE ( 1077895 205340 ) ( 1077895 217340 ) NEW metal10 12000 + SHAPE IOWIRE ( 1081790 211340 ) ( 1107390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 1107695 430000 ) ( 1107695 442000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 1107390 236940 ) ( 1107390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1099000 390540 ) ( 1113390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 1105000 384540 ) ( 1105000 439000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 4659790 175000 ) ( 4680000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 169000 ) ( 4665790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4665790 211340 ) ( 4691390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 236940 ) ( 4691390 851340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4691390 851340 ) ( 4614590 928140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4614590 928140 ) ( 4614590 1082000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4608590 1076000 ) ( 4628000 1076000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4664000 211340 ) ( 4697390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 205340 ) ( 4691390 851340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4691390 851340 ) ( 4640190 902540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4640190 902540 ) ( 4640190 1036540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4619000 1030540 ) ( 4646190 1030540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4625000 1024540 ) ( 4625000 1079000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 5825000 2790000 ) ( 5825000 2810000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5831000 2796940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 5786190 2800000 ) ( 5825000 2800000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5792190 2790940 ) ( 5792190 2806000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5798190 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 5766590 2796940 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5228990 2822540 ) ( 5740990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 5203390 2796940 ) ( 5228990 2822540 ) NEW metal10 12000 + SHAPE IOWIRE ( 4588990 2796940 ) ( 5203390 2796940 ) NEW metal10 12000 + SHAPE IOWIRE ( 4512190 2720140 ) ( 4588990 2796940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4338000 2720140 ) ( 4512190 2720140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 4344000 2712000 ) ( 4344000 2726140 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 4378190 2720140 ) ( 4512190 2720140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4384190 2709000 ) ( 4384190 2726140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 4341000 2715000 ) ( 4390190 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3507790 175000 ) ( 3530000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 169000 ) ( 3513790 236940 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3507790 211340 ) ( 3526000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 205340 ) ( 3513790 236940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3513790 236940 ) ( 3564990 288140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 288140 ) ( 3564990 544140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3564990 544140 ) ( 3590590 569740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 569740 ) ( 3590590 1132940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 1132940 ) ( 3667390 1209740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1209740 ) ( 3667390 1394940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1388940 ) ( 3673390 1388940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1382940 ) ( 3665000 1399000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 1209740 ) ( 3667390 1369340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 1363340 ) ( 3673390 1363340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 1357340 ) ( 3665000 1399000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3890000 175000 ) ( 3910000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3897790 169000 ) ( 3897790 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3891790 211340 ) ( 3906000 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3897790 205340 ) ( 3897790 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3897790 518540 ) ( 3974590 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3968590 748940 ) ( 3991000 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3985000 742940 ) ( 3985000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3974590 595340 ) ( 3974590 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3968590 723340 ) ( 3991000 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3985000 717340 ) ( 3985000 759000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3570000 175000 ) ( 3596590 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 169000 ) ( 3590590 518540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3574000 211340 ) ( 3596590 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3590590 205340 ) ( 3590590 518540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 518540 ) ( 3667390 595340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 595340 ) ( 3667390 754940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 748940 ) ( 3673390 748940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 742940 ) ( 3665000 759000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 595340 ) ( 3667390 729340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 723340 ) ( 3673390 723340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 717340 ) ( 3665000 759000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3635790 175000 ) ( 3660000 175000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 169000 ) ( 3641790 211340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3641790 211340 ) ( 3667390 236940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 236940 ) ( 3667390 442000 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3667695 430000 ) ( 3667695 442000 ) ; + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 217340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3644000 211340 ) ( 3673390 211340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 205340 ) ( 3667390 396540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 390540 ) ( 3673390 390540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 384540 ) ( 3665000 439000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3430000 5825000 ) ( 3450000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5792140 ) ( 3436990 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3440000 5786140 ) ( 3440000 5825000 ) + NEW metal10 9010 + SHAPE IOWIRE ( 3441495 5786140 ) ( 3441495 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5766540 ) ( 3436990 5792140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5561740 ) ( 3411390 5766540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5484940 ) ( 3411390 5561740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 5308000 ) ( 3334590 5484940 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 5314000 ) ( 3348000 5314000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5510540 ) ( 3411390 5561740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 5350940 ) ( 3360190 5510540 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 5356940 ) ( 3366190 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 5311000 ) ( 3345000 5362940 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3500000 5825000 ) ( 3520000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3510000 5786140 ) ( 3510000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3504000 5792140 ) ( 3519790 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3513790 5766540 ) ( 3513790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5689740 ) ( 3513790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3436990 5536140 ) ( 3436990 5689740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 5510540 ) ( 3436990 5536140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3411390 4896140 ) ( 3411390 5510540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4819340 ) ( 3411390 4896140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3334590 4668000 ) ( 3334590 4819340 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3328590 4674000 ) ( 3348000 4674000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4844940 ) ( 3411390 4896140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3360190 4710940 ) ( 3360190 4844940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3339000 4716940 ) ( 3366190 4716940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3345000 4671000 ) ( 3345000 4722940 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5811740 ) ( 3580000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3574000 5817740 ) ( 3596590 5817740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5792140 ) ( 3590590 5823740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5766540 ) ( 3590590 5792140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5203340 ) ( 3564990 5766540 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3580000 5786140 ) ( 3580000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3558990 5792140 ) ( 3586000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3564990 5203340 ) ( 3564990 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 5177740 ) ( 3564990 5203340 ) NEW metal10 12000 + SHAPE IOWIRE ( 3590590 4614540 ) ( 3590590 5177740 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4537740 ) ( 3590590 4614540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4352540 ) ( 3667390 4537740 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4358540 ) ( 3673390 4358540 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4351000 ) ( 3665000 4364540 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 4378140 ) ( 3667390 4537740 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 4384140 ) ( 3673390 4384140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 4351000 ) ( 3665000 4390140 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 12000 + SHAPE IOWIRE ( 3760000 5825000 ) ( 3780000 5825000 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5831000 ) + + ROUTED metal10 12000 + SHAPE IOWIRE ( 3770000 5786140 ) ( 3770000 5825000 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3763790 5792140 ) ( 3776000 5792140 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3769790 5766540 ) ( 3769790 5798140 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5740940 ) ( 3769790 5766540 ) NEW metal10 12000 + SHAPE IOWIRE ( 3744190 5536140 ) ( 3744190 5740940 ) NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5459340 ) ( 3744190 5536140 ) - NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5308000 ) ( 3667390 5459340 ) - NEW metal10 11390 + SHAPE IOWIRE ( 3667695 5308000 ) ( 3667695 5320000 ) ; + NEW metal10 12000 + SHAPE IOWIRE ( 3667390 5350940 ) ( 3667390 5459340 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3659000 5356940 ) ( 3673390 5356940 ) + NEW metal10 12000 + SHAPE IOWIRE ( 3665000 5311000 ) ( 3665000 5362940 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_assignments.defok b/src/pad/test/rdl_route_assignments.defok index d125b2efcfd..1a8648842f9 100644 --- a/src/pad/test/rdl_route_assignments.defok +++ b/src/pad/test/rdl_route_assignments.defok @@ -2656,27 +2656,24 @@ SPECIALNETS 141 ; ( u_ci_6_i DVDD ) ( u_ci_5_i DVDD ) ( u_ci_4_i DVDD ) ( u_ci_3_i DVDD ) ( u_ci_2_i DVDD ) ( u_ci_1_i DVDD ) ( u_ci_0_i DVDD ) ( u_ci2_v_o DVDD ) ( u_ci2_tkn_i DVDD ) ( u_ci2_clk_o DVDD ) ( u_ci2_8_o DVDD ) ( u_ci2_7_o DVDD ) ( u_ci2_6_o DVDD ) ( u_ci2_5_o DVDD ) ( u_ci2_4_o DVDD ) ( u_ci2_3_o DVDD ) ( u_ci2_2_o DVDD ) ( u_ci2_1_o DVDD ) ( u_ci2_0_o DVDD ) ( u_bsg_tag_en_i DVDD ) ( u_bsg_tag_data_o DVDD ) ( u_bsg_tag_data_i DVDD ) ( u_bsg_tag_clk_o DVDD ) ( u_bsg_tag_clk_i DVDD ) + USE POWER - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 3957270 ) ( 5829000 3957270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3958540 ) ( 5829000 3958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3954540 ) ( 5798590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3990540 ) ( 5802590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3986540 ) ( 5590590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5594590 3995000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2677270 ) ( 5829000 2677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2678540 ) ( 5829000 2678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2674540 ) ( 5798590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2710540 ) ( 5802590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2706540 ) ( 5590590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5594590 2715000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5360000 5825000 ) ( 5360000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5330590 5830540 ) ( 5364000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5506540 ) ( 5334590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5510540 ) ( 5338590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5271000 ) ( 5270590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5274590 5275000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4343270 ) ( 5829000 4343270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4342540 ) ( 5829000 4342540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4274540 ) ( 5782590 4346540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3960000 ) ( 5825000 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3990540 ) ( 5829000 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3986540 ) ( 5654590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5658590 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2680000 ) ( 5825000 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2710540 ) ( 5829000 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2706540 ) ( 5654590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5658590 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5330590 5825000 ) ( 5360000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5522540 ) ( 5334590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5526540 ) ( 5338590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5330540 ) ( 5270590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5334540 ) ( 5274590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4340000 ) ( 5825000 4340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4322540 ) ( 5798590 4344000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4326540 ) ( 5802590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4274540 ) ( 5782590 4330540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5746590 4278540 ) ( 5786590 4278540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5750590 4242540 ) ( 5750590 4282540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5714590 4246540 ) ( 5754590 4246540 ) @@ -2692,238 +2689,242 @@ SPECIALNETS 141 ; NEW metal10 8000 + SHAPE IOWIRE ( 5554590 4086540 ) ( 5594590 4086540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5558590 4050540 ) ( 5558590 4090540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4054540 ) ( 5562590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4034540 ) ( 5526590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 4038540 ) ( 5530590 4038540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 4002540 ) ( 5494590 4042540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5458590 4006540 ) ( 5498590 4006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5462590 3970540 ) ( 5462590 4010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5426590 3974540 ) ( 5466590 3974540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5430590 3938540 ) ( 5430590 3978540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5394590 3942540 ) ( 5434590 3942540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5398590 3906540 ) ( 5398590 3946540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5362590 3910540 ) ( 5402590 3910540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5366590 3874540 ) ( 5366590 3914540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3878540 ) ( 5370590 3878540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3842540 ) ( 5334590 3882540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5298590 3846540 ) ( 5338590 3846540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5302590 3810540 ) ( 5302590 3850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3814540 ) ( 5306590 3814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3671000 ) ( 5270590 3818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5274590 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1330540 ) ( 5825000 1340000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1334540 ) ( 5829000 1334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1330540 ) ( 5798590 1370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1366540 ) ( 5802590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1362540 ) ( 5510590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1430540 ) ( 5514590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1426540 ) ( 5270590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5274590 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5236000 182540 ) ( 5274590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 178540 ) ( 5270590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5261000 470540 ) ( 5274590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 466540 ) ( 5265000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3570540 ) ( 5825000 3580000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3574540 ) ( 5829000 3574540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3570540 ) ( 5798590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3606540 ) ( 5802590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3602540 ) ( 5190590 4218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5154590 4214540 ) ( 5194590 4214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5158590 4210540 ) ( 5158590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5122590 4246540 ) ( 5162590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5126590 4242540 ) ( 5126590 4282540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5090590 4278540 ) ( 5130590 4278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5094590 4274540 ) ( 5094590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4310540 ) ( 5098590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4306540 ) ( 4950590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 4954590 4315000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 3063270 ) ( 5829000 3063270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3062540 ) ( 5829000 3062540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4018540 ) ( 5526590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5490590 4022540 ) ( 5530590 4022540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3986540 ) ( 5494590 4026540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5458590 3990540 ) ( 5498590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5462590 3954540 ) ( 5462590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5426590 3958540 ) ( 5466590 3958540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5430590 3922540 ) ( 5430590 3962540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5394590 3926540 ) ( 5434590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5398590 3890540 ) ( 5398590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5362590 3894540 ) ( 5402590 3894540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5366590 3858540 ) ( 5366590 3898540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3862540 ) ( 5370590 3862540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3826540 ) ( 5334590 3866540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5298590 3830540 ) ( 5338590 3830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5302590 3794540 ) ( 5302590 3834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3798540 ) ( 5306590 3798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3730540 ) ( 5270590 3802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 3734540 ) ( 5274590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5265000 3738540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1340000 ) ( 5825000 1340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1336000 ) ( 5798590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1366540 ) ( 5802590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1362540 ) ( 5526590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1430540 ) ( 5530590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1426540 ) ( 5334590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5338590 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5236000 198540 ) ( 5258590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5254590 194540 ) ( 5254590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5250590 406540 ) ( 5269000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 402540 ) ( 5265000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3580000 ) ( 5825000 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3576000 ) ( 5798590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3606540 ) ( 5802590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3602540 ) ( 5206590 4218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5170590 4214540 ) ( 5210590 4214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 4210540 ) ( 5174590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5138590 4246540 ) ( 5178590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 4242540 ) ( 5142590 4282540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5106590 4278540 ) ( 5146590 4278540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5110590 4274540 ) ( 5110590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4310540 ) ( 5114590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4306540 ) ( 5014590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5018590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3060000 ) ( 5825000 3060000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3056000 ) ( 5798590 3066540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3062540 ) ( 5802590 3062540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3058540 ) ( 5782590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3094540 ) ( 5786590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3031000 ) ( 4950590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 4954590 3035000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1783270 ) ( 5829000 1783270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1782540 ) ( 5829000 1782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3094540 ) ( 5786590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3042540 ) ( 5206590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3046540 ) ( 5210590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3031000 ) ( 5014590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5018590 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1780000 ) ( 5825000 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1776000 ) ( 5798590 1786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1782540 ) ( 5802590 1782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1778540 ) ( 5782590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1814540 ) ( 5786590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1751000 ) ( 4950590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 4954590 1755000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4597295 5821000 ) ( 4597295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4598590 5778540 ) ( 4598590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5782540 ) ( 4602590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5186540 ) ( 4566590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5190540 ) ( 4634590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4951000 ) ( 4630590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4634590 4955000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 2166270 ) ( 5829000 2166270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2166540 ) ( 5829000 2166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2130540 ) ( 5782590 2170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2134540 ) ( 5786590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2071000 ) ( 4310590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4314590 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4216000 182540 ) ( 4250590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 178540 ) ( 4246590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 550540 ) ( 4314590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 546540 ) ( 4310590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 790540 ) ( 4314590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 786540 ) ( 4305000 795000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 4152295 5821000 ) ( 4152295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4150590 5794540 ) ( 4150590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4114590 5798540 ) ( 4154590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4118590 5762540 ) ( 4118590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4082590 5766540 ) ( 4122590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5730540 ) ( 4086590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5734540 ) ( 4090590 5734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4866540 ) ( 4054590 5738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 4870540 ) ( 4058590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4631000 ) ( 3990590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3994590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3850590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3846590 171000 ) ( 3846590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3842590 198540 ) ( 3882590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3878590 194540 ) ( 3878590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3874590 230540 ) ( 3914590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3910590 226540 ) ( 3910590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3906590 1414540 ) ( 3930590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 1410540 ) ( 3926590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 1430540 ) ( 3989000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1426540 ) ( 3985000 1435000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3703295 5821000 ) ( 3703295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3702590 5794540 ) ( 3702590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5798540 ) ( 3706590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5591000 ) ( 3670590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3674590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 182540 ) ( 3444000 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 178540 ) ( 3414590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 1510540 ) ( 3418590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1506540 ) ( 3350590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1750540 ) ( 3354590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1746540 ) ( 3345000 1755000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3010000 5825000 ) ( 3018590 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5778540 ) ( 3014590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5782540 ) ( 3018590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1814540 ) ( 5786590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1762540 ) ( 5206590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1766540 ) ( 5210590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1751000 ) ( 5014590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5018590 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4562590 5825000 ) ( 4600000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5202540 ) ( 4566590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5206540 ) ( 4618590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 5010540 ) ( 4614590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 5014540 ) ( 4629000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2130540 ) ( 5825000 2170000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2134540 ) ( 5829000 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2082540 ) ( 4566590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2086540 ) ( 4570590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2071000 ) ( 4374590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4378590 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4216000 198540 ) ( 4250590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 194540 ) ( 4246590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 534540 ) ( 4298590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 530540 ) ( 4294590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 726540 ) ( 4309000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 722540 ) ( 4305000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4150000 5794540 ) ( 4150000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4130590 5798540 ) ( 4154000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4134590 5778540 ) ( 4134590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4082590 5782540 ) ( 4138590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5746540 ) ( 4086590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5750540 ) ( 4090590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4882540 ) ( 4054590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 4886540 ) ( 4058590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4690540 ) ( 3990590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 4694540 ) ( 3994590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3866590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3862590 171000 ) ( 3862590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3858590 214540 ) ( 3898590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3894590 210540 ) ( 3894590 250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3890590 246540 ) ( 3930590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 242540 ) ( 3926590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 1174540 ) ( 3978590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 1170540 ) ( 3974590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 1366540 ) ( 3989000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1362540 ) ( 3985000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3666590 5825000 ) ( 3700000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5650540 ) ( 3670590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5654540 ) ( 3674590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3410590 175000 ) ( 3440000 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 171000 ) ( 3414590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 1494540 ) ( 3418590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1490540 ) ( 3350590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1686540 ) ( 3354590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1682540 ) ( 3345000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3010000 5794540 ) ( 3010000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2994590 5798540 ) ( 3014000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2998590 5778540 ) ( 2998590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5782540 ) ( 3002590 5782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2966590 5202540 ) ( 2966590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5206540 ) ( 3034590 5206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4951000 ) ( 3030590 5210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3034590 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2936000 182540 ) ( 2970590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 178540 ) ( 2966590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 550540 ) ( 3034590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 546540 ) ( 3030590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 790540 ) ( 3034590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 786540 ) ( 3025000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2610590 5825000 ) ( 2620000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2614590 5794540 ) ( 2614590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2610590 5798540 ) ( 2650590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4546540 ) ( 2646590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 4550540 ) ( 2714590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4311000 ) ( 2710590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2714590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2570590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2566590 171000 ) ( 2566590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2562590 198540 ) ( 2602590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2598590 194540 ) ( 2598590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2594590 230540 ) ( 2634590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2630590 226540 ) ( 2630590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2626590 1414540 ) ( 2650590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 1410540 ) ( 2646590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 1430540 ) ( 2709000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1426540 ) ( 2705000 1435000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 2232295 5821000 ) ( 2232295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2230590 5794540 ) ( 2230590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2194590 5798540 ) ( 2234590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2198590 5762540 ) ( 2198590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2162590 5766540 ) ( 2202590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5730540 ) ( 2166590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5734540 ) ( 2170590 5734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4866540 ) ( 2134590 5738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 4870540 ) ( 2138590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4631000 ) ( 2070590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2074590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2036000 182540 ) ( 2074590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 178540 ) ( 2070590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 470540 ) ( 2074590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 466540 ) ( 2065000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1840000 5825000 ) ( 1840000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5830540 ) ( 1844000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5506540 ) ( 1814590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5510540 ) ( 1818590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5271000 ) ( 1750590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1754590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3900000 ) ( 186590 3900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3896000 ) ( 182590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3926540 ) ( 1514590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3922540 ) ( 1510590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3990540 ) ( 1749000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3986540 ) ( 1745000 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1656000 182540 ) ( 1690590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 178540 ) ( 1686590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 550540 ) ( 1754590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 546540 ) ( 1750590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 790540 ) ( 1754590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 786540 ) ( 1745000 795000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4277270 ) ( 179000 4277270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4278540 ) ( 218590 4278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 4242540 ) ( 214590 4282540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 4246540 ) ( 874590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 4242540 ) ( 870590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 4310540 ) ( 1109000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4306540 ) ( 1105000 4315000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2997270 ) ( 179000 2997270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2998540 ) ( 218590 2998540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2962540 ) ( 214590 3002540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2966540 ) ( 874590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2962540 ) ( 870590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3030540 ) ( 1109000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3026540 ) ( 1105000 3035000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 1397270 ) ( 179000 1397270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1398540 ) ( 218590 1398540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 1362540 ) ( 214590 1402540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 1366540 ) ( 874590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 1362540 ) ( 870590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 1430540 ) ( 1109000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1426540 ) ( 1105000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1016000 182540 ) ( 1050590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1046590 178540 ) ( 1046590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1042590 550540 ) ( 1114590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 546540 ) ( 1110590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 790540 ) ( 1114590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 786540 ) ( 1105000 795000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 823295 5821000 ) ( 823295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 822590 5794540 ) ( 822590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 786590 5798540 ) ( 826590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 5591000 ) ( 790590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 794590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4740000 ) ( 186590 4740000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4706540 ) ( 182590 4744000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4710540 ) ( 202590 4710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5206540 ) ( 3018590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5010540 ) ( 3014590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 5014540 ) ( 3029000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2936000 198540 ) ( 2970590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 194540 ) ( 2966590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 534540 ) ( 3018590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 530540 ) ( 3014590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 726540 ) ( 3029000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 722540 ) ( 3025000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 5825000 ) ( 2650590 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4562540 ) ( 2646590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 4566540 ) ( 2698590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 4370540 ) ( 2694590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 4374540 ) ( 2709000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2586590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2582590 171000 ) ( 2582590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2578590 214540 ) ( 2618590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2614590 210540 ) ( 2614590 250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2610590 246540 ) ( 2650590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 242540 ) ( 2646590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 1174540 ) ( 2698590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 1170540 ) ( 2694590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 1366540 ) ( 2709000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1362540 ) ( 2705000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2230000 5794540 ) ( 2230000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2210590 5798540 ) ( 2234000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2214590 5778540 ) ( 2214590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2162590 5782540 ) ( 2218590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5746540 ) ( 2166590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5750540 ) ( 2170590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4882540 ) ( 2134590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 4886540 ) ( 2138590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4690540 ) ( 2070590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 4694540 ) ( 2074590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2036000 198540 ) ( 2058590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 194540 ) ( 2054590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 406540 ) ( 2069000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 402540 ) ( 2065000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1810590 5825000 ) ( 1840000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5522540 ) ( 1814590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5526540 ) ( 1818590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5330540 ) ( 1750590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5334540 ) ( 1754590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3900000 ) ( 202590 3900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3896000 ) ( 198590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3926540 ) ( 1498590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3922540 ) ( 1494590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3990540 ) ( 1690590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3986540 ) ( 1686590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3995000 ) ( 1745000 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1656000 198540 ) ( 1690590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 194540 ) ( 1686590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 534540 ) ( 1738590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 530540 ) ( 1734590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 726540 ) ( 1749000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 722540 ) ( 1745000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4242540 ) ( 175000 4280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4246540 ) ( 858590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4242540 ) ( 854590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4310540 ) ( 1050590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4306540 ) ( 1046590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4315000 ) ( 1105000 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2962540 ) ( 175000 3000000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2966540 ) ( 858590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2962540 ) ( 854590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3030540 ) ( 1050590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3026540 ) ( 1046590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3035000 ) ( 1105000 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1362540 ) ( 175000 1400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1366540 ) ( 858590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1362540 ) ( 854590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 1430540 ) ( 1050590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1426540 ) ( 1046590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1435000 ) ( 1105000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1016000 198540 ) ( 1050590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 194540 ) ( 1046590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 534540 ) ( 1098590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 530540 ) ( 1094590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 726540 ) ( 1109000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 722540 ) ( 1105000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 786590 5825000 ) ( 820000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 790590 5650540 ) ( 790590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 781000 5654540 ) ( 794590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4706540 ) ( 175000 4740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4710540 ) ( 202590 4710540 ) NEW metal10 8000 + SHAPE IOWIRE ( 198590 4690540 ) ( 198590 4714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4694540 ) ( 554590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4626540 ) ( 550590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4630540 ) ( 789000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4626540 ) ( 785000 4635000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 2486270 ) ( 179000 2486270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2486540 ) ( 186590 2486540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2450540 ) ( 182590 2490540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2454540 ) ( 554590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2386540 ) ( 550590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2390540 ) ( 789000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2386540 ) ( 785000 2395000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3380000 ) ( 186590 3380000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3346540 ) ( 182590 3384000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3350540 ) ( 469000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3346540 ) ( 465000 3355000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2100000 ) ( 186590 2100000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2066540 ) ( 182590 2104000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2070540 ) ( 469000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2066540 ) ( 465000 2075000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4694540 ) ( 538590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4642540 ) ( 534590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4646540 ) ( 730590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4631000 ) ( 726590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4635000 ) ( 785000 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2490000 ) ( 202590 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2482540 ) ( 198590 2494000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2486540 ) ( 218590 2486540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2450540 ) ( 214590 2490540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2454540 ) ( 538590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2402540 ) ( 534590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2406540 ) ( 730590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2391000 ) ( 726590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2395000 ) ( 785000 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3380000 ) ( 202590 3380000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3362540 ) ( 198590 3384000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3366540 ) ( 410590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3351000 ) ( 406590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3355000 ) ( 465000 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2100000 ) ( 202590 2100000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2082540 ) ( 198590 2104000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2086540 ) ( 410590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2071000 ) ( 406590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( BUMP_7_7 PAD ) ( BUMP_7_6 PAD ) ( BUMP_7_5 PAD ) ( BUMP_6_7 PAD ) ( BUMP_6_6 PAD ) ( BUMP_6_5 PAD ) ( BUMP_5_7 PAD ) ( BUMP_5_6 PAD ) ( BUMP_5_5 PAD ) ( BUMP_0_3 PAD ) ( BUMP_1_5 PAD ) ( BUMP_3_6 PAD ) ( BUMP_0_8 PAD ) ( BUMP_1_9 PAD ) ( BUMP_2_11 PAD ) ( BUMP_0_12 PAD ) ( BUMP_3_13 PAD ) ( BUMP_2_16 PAD ) ( BUMP_4_13 PAD ) ( BUMP_6_12 PAD ) ( BUMP_7_14 PAD ) ( BUMP_8_15 PAD ) diff --git a/src/pad/test/rdl_route_bump_to_bump_only.defok b/src/pad/test/rdl_route_bump_to_bump_only.defok index db54df84679..dba4ae77679 100644 --- a/src/pad/test/rdl_route_bump_to_bump_only.defok +++ b/src/pad/test/rdl_route_bump_to_bump_only.defok @@ -641,127 +641,111 @@ PINS 16 ; END PINS SPECIALNETS 16 ; - ios0[0] ( PIN ios0[0] ) ( bump_r_350_50 PAD ) ( bump_r_450_50 PAD ) ( bump_l_50_50 PAD ) + USE SIGNAL - + ROUTED topmetal 6800 + SHAPE IOWIRE ( 720000 101400 ) ( 728000 101400 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 720000 100800 ) ( 760800 100800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 756800 48800 ) ( 756800 104800 ) + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 722000 100000 ) ( 744800 100000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 740800 80800 ) ( 740800 104000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 736800 84800 ) ( 760800 84800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 48800 ) ( 756800 88800 ) NEW topmetal 8000 + SHAPE IOWIRE ( 752800 52800 ) ( 856800 52800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 852800 48800 ) ( 852800 104800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 848800 100800 ) ( 872800 100800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 96000 ) ( 868800 104800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 100000 ) ( 878000 100000 ) - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 688800 76000 ) ( 702000 76000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 692800 48800 ) ( 692800 80000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 144800 52800 ) ( 696800 52800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 48800 ) ( 148800 104800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 100800 ) ( 152800 100800 ) - NEW topmetal 6800 + SHAPE IOWIRE ( 120000 101400 ) ( 128000 101400 ) ; + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 48800 ) ( 852800 104000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 100000 ) ( 878000 100000 ) + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 640800 100000 ) ( 678000 100000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 644800 80800 ) ( 644800 104000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 624800 84800 ) ( 648800 84800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 628800 48800 ) ( 628800 88800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 144800 52800 ) ( 632800 52800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 48800 ) ( 148800 104000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 122000 100000 ) ( 152800 100000 ) ; - ios0[10] ( PIN ios0[10] ) ( bump_r_400_150 PAD ) ( bump_l_100_150 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 300000 ) ( 232800 300000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 228800 288800 ) ( 228800 304000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 224800 292800 ) ( 776800 292800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 288800 ) ( 772800 304000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 300000 ) ( 778000 300000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 300000 ) ( 248800 300000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 288800 ) ( 244800 304000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 292800 ) ( 760800 292800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 288800 ) ( 756800 304000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 300000 ) ( 778000 300000 ) ; - ios0[11] ( PIN ios0[11] ) ( bump_r_400_200 PAD ) ( bump_l_100_200 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 224000 398000 ) ( 224000 408800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 220000 404800 ) ( 776800 404800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 396000 ) ( 772800 408800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 400000 ) ( 778000 400000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 400000 ) ( 248800 400000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 396000 ) ( 244800 408800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 404800 ) ( 760800 404800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 396000 ) ( 756800 408800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 400000 ) ( 778000 400000 ) ; - ios0[12] ( PIN ios0[12] ) ( bump_r_400_250 PAD ) ( bump_l_100_250 PAD ) + USE SIGNAL - + ROUTED topmetal 6800 + SHAPE IOWIRE ( 220000 501400 ) ( 228000 501400 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 220000 500800 ) ( 776800 500800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 496000 ) ( 772800 504800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 500000 ) ( 778000 500000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 500000 ) ( 248800 500000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 496000 ) ( 244800 504800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 500800 ) ( 760800 500800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 496000 ) ( 756800 504800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 500000 ) ( 778000 500000 ) ; - ios0[13] ( PIN ios0[13] ) ( bump_r_400_300 PAD ) ( bump_l_100_300 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 224000 592800 ) ( 224000 602000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 220000 596800 ) ( 776800 596800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 592800 ) ( 772800 604000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 600000 ) ( 778000 600000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 600000 ) ( 248800 600000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 592800 ) ( 244800 604000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 596800 ) ( 760800 596800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 592800 ) ( 756800 604000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 600000 ) ( 778000 600000 ) ; - ios0[14] ( PIN ios0[14] ) ( bump_r_400_350 PAD ) ( bump_l_100_350 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 700000 ) ( 232800 700000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 228800 688800 ) ( 228800 704000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 224800 692800 ) ( 776800 692800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 688800 ) ( 772800 704000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 700000 ) ( 778000 700000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 700000 ) ( 248800 700000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 688800 ) ( 244800 704000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 692800 ) ( 760800 692800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 688800 ) ( 756800 704000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 700000 ) ( 778000 700000 ) ; - ios0[15] ( PIN ios0[15] ) ( bump_r_400_400 PAD ) ( bump_l_100_400 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 224000 798000 ) ( 224000 808800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 220000 804800 ) ( 776800 804800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 796000 ) ( 772800 808800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 800000 ) ( 778000 800000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 800000 ) ( 248800 800000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 796000 ) ( 244800 808800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 804800 ) ( 760800 804800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 796000 ) ( 756800 808800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 800000 ) ( 778000 800000 ) ; - ios0[1] ( PIN ios0[1] ) ( bump_r_450_100 PAD ) ( bump_l_50_100 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 124000 192800 ) ( 124000 202000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 196800 ) ( 152800 196800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 192800 ) ( 148800 248800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 144800 244800 ) ( 840800 244800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 836800 224800 ) ( 836800 248800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 832800 228800 ) ( 872800 228800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 196000 ) ( 868800 232800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 200000 ) ( 878000 200000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 200000 ) ( 152800 200000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 196000 ) ( 148800 248800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 144800 244800 ) ( 856800 244800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 196000 ) ( 852800 248800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 200000 ) ( 878000 200000 ) ; - ios0[2] ( PIN ios0[2] ) ( bump_r_450_150 PAD ) ( bump_l_50_150 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 124000 288800 ) ( 124000 302000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 292800 ) ( 152800 292800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 288800 ) ( 148800 344800 ) + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 300000 ) ( 152800 300000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 296000 ) ( 148800 344800 ) NEW topmetal 8000 + SHAPE IOWIRE ( 144800 340800 ) ( 856800 340800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 852800 288800 ) ( 852800 344800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 848800 292800 ) ( 872800 292800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 288800 ) ( 868800 304000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 300000 ) ( 878000 300000 ) ; + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 296000 ) ( 852800 344800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 300000 ) ( 878000 300000 ) ; - ios0[3] ( PIN ios0[3] ) ( bump_r_450_200 PAD ) ( bump_l_50_200 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 124000 398000 ) ( 124000 408800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 404800 ) ( 152800 404800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 352800 ) ( 148800 408800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 144800 356800 ) ( 840800 356800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 836800 352800 ) ( 836800 376800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 832800 372800 ) ( 872800 372800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 368800 ) ( 868800 404000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 400000 ) ( 878000 400000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 400000 ) ( 152800 400000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 352800 ) ( 148800 404000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 144800 356800 ) ( 856800 356800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 352800 ) ( 852800 404000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 400000 ) ( 878000 400000 ) ; - ios0[4] ( PIN ios0[4] ) ( bump_r_450_250 PAD ) ( bump_l_50_250 PAD ) + USE SIGNAL - + ROUTED topmetal 6800 + SHAPE IOWIRE ( 120000 501400 ) ( 128000 501400 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 500800 ) ( 152800 500800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 448800 ) ( 148800 504800 ) + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 500000 ) ( 152800 500000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 448800 ) ( 148800 504000 ) NEW topmetal 8000 + SHAPE IOWIRE ( 144800 452800 ) ( 856800 452800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 852800 448800 ) ( 852800 504800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 848800 500800 ) ( 872800 500800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 496000 ) ( 868800 504800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 500000 ) ( 878000 500000 ) ; + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 448800 ) ( 852800 504000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 500000 ) ( 878000 500000 ) ; - ios0[5] ( PIN ios0[5] ) ( bump_r_450_300 PAD ) ( bump_l_50_300 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 124000 592800 ) ( 124000 602000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 596800 ) ( 152800 596800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 592800 ) ( 148800 648800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 144800 644800 ) ( 840800 644800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 836800 624800 ) ( 836800 648800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 832800 628800 ) ( 872800 628800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 596000 ) ( 868800 632800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 600000 ) ( 878000 600000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 600000 ) ( 152800 600000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 596000 ) ( 148800 648800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 144800 644800 ) ( 856800 644800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 596000 ) ( 852800 648800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 600000 ) ( 878000 600000 ) ; - ios0[6] ( PIN ios0[6] ) ( bump_r_450_350 PAD ) ( bump_l_50_350 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 124000 688800 ) ( 124000 702000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 692800 ) ( 152800 692800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 688800 ) ( 148800 744800 ) + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 700000 ) ( 152800 700000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 696000 ) ( 148800 744800 ) NEW topmetal 8000 + SHAPE IOWIRE ( 144800 740800 ) ( 856800 740800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 852800 688800 ) ( 852800 744800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 848800 692800 ) ( 872800 692800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 688800 ) ( 868800 704000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 700000 ) ( 878000 700000 ) ; + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 696000 ) ( 852800 744800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 700000 ) ( 878000 700000 ) ; - ios0[7] ( PIN ios0[7] ) ( bump_r_450_400 PAD ) ( bump_l_50_400 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 124000 798000 ) ( 124000 808800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 120000 804800 ) ( 152800 804800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 148800 752800 ) ( 148800 808800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 144800 756800 ) ( 840800 756800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 836800 752800 ) ( 836800 776800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 832800 772800 ) ( 872800 772800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 868800 768800 ) ( 868800 804000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 864800 800000 ) ( 878000 800000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 122000 800000 ) ( 152800 800000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 148800 752800 ) ( 148800 804000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 144800 756800 ) ( 856800 756800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 852800 752800 ) ( 852800 804000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 848800 800000 ) ( 878000 800000 ) ; - ios0[8] ( PIN ios0[8] ) ( bump_r_400_50 PAD ) ( bump_l_100_50 PAD ) + USE SIGNAL - + ROUTED topmetal 6800 + SHAPE IOWIRE ( 220000 101400 ) ( 228000 101400 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 220000 100800 ) ( 264800 100800 ) + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 100000 ) ( 248800 100000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 96000 ) ( 244800 104800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 100800 ) ( 264800 100800 ) NEW topmetal 8000 + SHAPE IOWIRE ( 260800 96800 ) ( 260800 152800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 256800 148800 ) ( 808800 148800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 804800 120000 ) ( 804800 152800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 798000 124000 ) ( 808800 124000 ) ; + NEW topmetal 8000 + SHAPE IOWIRE ( 256800 148800 ) ( 804000 148800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 800000 74000 ) ( 800000 152800 ) ; - ios0[9] ( PIN ios0[9] ) ( bump_r_400_100 PAD ) ( bump_l_100_100 PAD ) + USE SIGNAL - + ROUTED topmetal 8000 + SHAPE IOWIRE ( 224000 192800 ) ( 224000 202000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 220000 196800 ) ( 776800 196800 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 772800 192800 ) ( 772800 204000 ) - NEW topmetal 8000 + SHAPE IOWIRE ( 768800 200000 ) ( 778000 200000 ) ; + + ROUTED topmetal 8000 + SHAPE IOWIRE ( 222000 200000 ) ( 248800 200000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 244800 192800 ) ( 244800 204000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 240800 196800 ) ( 760800 196800 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 756800 192800 ) ( 756800 204000 ) + NEW topmetal 8000 + SHAPE IOWIRE ( 752800 200000 ) ( 778000 200000 ) ; END SPECIALNETS NETS 0 ; END NETS diff --git a/src/pad/test/rdl_route_bump_via.defok b/src/pad/test/rdl_route_bump_via.defok index ce79aa237dd..44c5b1532c7 100644 --- a/src/pad/test/rdl_route_bump_via.defok +++ b/src/pad/test/rdl_route_bump_via.defok @@ -2510,268 +2510,305 @@ SPECIALNETS 139 ; ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3425790 175000 ) ( 3450000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3433790 167000 ) ( 3433790 881740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3425790 233740 ) ( 3448000 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3433790 225740 ) ( 3433790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3393790 873740 ) ( 3441790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3401790 865740 ) ( 3401790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 1481740 ) ( 3409790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 1473740 ) ( 3337790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 1755000 ) ( 3345800 1755000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3361790 1481740 ) ( 3409790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3369790 1473740 ) ( 3369790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337000 1705740 ) ( 3377790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 1697740 ) ( 3345000 1755800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3000000 5825000 ) ( 3025790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 5761740 ) ( 3017790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2977790 5769740 ) ( 3025790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3010000 5761740 ) ( 3010000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2977790 5769740 ) ( 3018000 5769740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2985790 5217740 ) ( 2985790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2977790 5225740 ) ( 3025790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 4961740 ) ( 3017790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 4969740 ) ( 3033000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3025000 4954200 ) ( 3025000 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017790 4993740 ) ( 3017790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3009790 5001740 ) ( 3033000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 4954200 ) ( 3025000 5009740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2930000 175000 ) ( 2961790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2953790 167000 ) ( 2953790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2932000 233740 ) ( 2961790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2953790 225740 ) ( 2953790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2945790 265740 ) ( 2993790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2985790 257740 ) ( 2985790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2977790 521740 ) ( 3025790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 513740 ) ( 3017790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 795000 ) ( 3025800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017790 513740 ) ( 3017790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3009790 745740 ) ( 3033000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 737740 ) ( 3025000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2550000 175000 ) ( 2577790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2569790 167000 ) ( 2569790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2561790 233740 ) ( 2609790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2601790 225740 ) ( 2601790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2593790 297740 ) ( 2641790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2552000 233740 ) ( 2577790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2569790 225740 ) ( 2569790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2561790 297740 ) ( 2641790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2633790 289740 ) ( 2633790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2625790 553740 ) ( 2673790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2665790 545740 ) ( 2665790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2657790 1161740 ) ( 2705790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 1153740 ) ( 2697790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 1435000 ) ( 2705800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697790 1153740 ) ( 2697790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2689790 1385740 ) ( 2713000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 1377740 ) ( 2705000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2610000 5825000 ) ( 2641790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2633790 5185740 ) ( 2633790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2620000 5761740 ) ( 2620000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2612000 5769740 ) ( 2641790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2633790 5185740 ) ( 2633790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2625790 5193740 ) ( 2673790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2665790 4577740 ) ( 2665790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2657790 4585740 ) ( 2705790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 4321740 ) ( 2697790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 4329740 ) ( 2713000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2705000 4314200 ) ( 2705000 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697790 4353740 ) ( 2697790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2689790 4361740 ) ( 2713000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 4314200 ) ( 2705000 4369740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2209790 5825000 ) ( 2240000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2217790 5729740 ) ( 2217790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2177790 5737740 ) ( 2225790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2185790 5537740 ) ( 2185790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2145790 5545740 ) ( 2193790 5545740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2153790 5249740 ) ( 2153790 5553740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2113790 5257740 ) ( 2161790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2121790 4641740 ) ( 2121790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057000 4649740 ) ( 2129790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2065000 4634200 ) ( 2065000 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2230000 5761740 ) ( 2230000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2209790 5769740 ) ( 2238000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2217790 5697740 ) ( 2217790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2145790 5705740 ) ( 2225790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2153790 5505740 ) ( 2153790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2113790 5513740 ) ( 2161790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2121790 4897740 ) ( 2121790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2081790 4905740 ) ( 2129790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2089790 4673740 ) ( 2089790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057000 4681740 ) ( 2097790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 4634200 ) ( 2065000 4689740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2017790 175000 ) ( 2050000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2025790 167000 ) ( 2025790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2017790 233740 ) ( 2065790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 225740 ) ( 2057790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 475000 ) ( 2065800 475000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2032000 233740 ) ( 2065790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057790 225740 ) ( 2057790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2049790 425740 ) ( 2073000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 417740 ) ( 2065000 475800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1825790 5825000 ) ( 1850000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1833790 5761740 ) ( 1833790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1793790 5769740 ) ( 1841790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1801790 5281740 ) ( 1801790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737000 5289740 ) ( 1809790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1745000 5274200 ) ( 1745000 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1840000 5761740 ) ( 1840000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1825790 5769740 ) ( 1848000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1833790 5729740 ) ( 1833790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1793790 5737740 ) ( 1841790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1801790 5537740 ) ( 1801790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1761790 5545740 ) ( 1809790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1769790 5313740 ) ( 1769790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737000 5321740 ) ( 1777790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 5274200 ) ( 1745000 5329740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3890000 ) ( 175000 3921740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3913740 ) ( 1137790 3913740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 3905740 ) ( 1129790 3953740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 3945740 ) ( 1745790 3945740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 3937740 ) ( 1737790 4003000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 3995000 ) ( 1745800 3995000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3900000 ) ( 241790 3900000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3892000 ) ( 233790 3921740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3913740 ) ( 881790 3913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 3905740 ) ( 873790 3953740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 3945740 ) ( 1489790 3945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 3937740 ) ( 1481790 3985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 3977740 ) ( 1713790 3977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 3969740 ) ( 1705790 4003000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 3995000 ) ( 1745800 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1650000 175000 ) ( 1681790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1673790 167000 ) ( 1673790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1652000 233740 ) ( 1681790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1673790 225740 ) ( 1673790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1665790 265740 ) ( 1713790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1705790 257740 ) ( 1705790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1697790 521740 ) ( 1745790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 513740 ) ( 1737790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 795000 ) ( 1745800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737790 513740 ) ( 1737790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1729790 745740 ) ( 1753000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 737740 ) ( 1745000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2977740 ) ( 175000 3010000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2985740 ) ( 1105790 2985740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 2977740 ) ( 1097790 3043000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 3035000 ) ( 1105800 3035000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3000000 ) ( 241790 3000000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2977740 ) ( 233790 3008000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2985740 ) ( 849790 2985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 2977740 ) ( 841790 3025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 3017740 ) ( 1073790 3017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 3009740 ) ( 1065790 3043000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 3035000 ) ( 1105800 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1377740 ) ( 175000 1410000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1385740 ) ( 1105790 1385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 1377740 ) ( 1097790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 1435000 ) ( 1105800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1400000 ) ( 241790 1400000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1377740 ) ( 233790 1408000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1385740 ) ( 849790 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 1377740 ) ( 841790 1425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 1417740 ) ( 1073790 1417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 1409740 ) ( 1065790 1443000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 1435000 ) ( 1105800 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4257740 ) ( 175000 4290000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4265740 ) ( 1105790 4265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 4257740 ) ( 1097790 4323000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 4315000 ) ( 1105800 4315000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4280000 ) ( 241790 4280000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4257740 ) ( 233790 4288000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4265740 ) ( 849790 4265740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 4257740 ) ( 841790 4305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 4297740 ) ( 1073790 4297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 4289740 ) ( 1065790 4323000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 4315000 ) ( 1105800 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1010000 175000 ) ( 1041790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1033790 167000 ) ( 1033790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1012000 233740 ) ( 1041790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1033790 225740 ) ( 1033790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1025790 265740 ) ( 1073790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1065790 257740 ) ( 1065790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1057790 521740 ) ( 1105790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 513740 ) ( 1097790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 795000 ) ( 1105800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1097790 513740 ) ( 1097790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1089790 745740 ) ( 1113000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1105000 737740 ) ( 1105000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2480000 ) ( 175000 2513740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2505740 ) ( 241790 2505740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2465740 ) ( 233790 2513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2490000 ) ( 241790 2490000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2465740 ) ( 233790 2498000 ) NEW metal9 16000 + SHAPE IOWIRE ( 225790 2473740 ) ( 273790 2473740 ) NEW metal9 16000 + SHAPE IOWIRE ( 265790 2433740 ) ( 265790 2481740 ) NEW metal9 16000 + SHAPE IOWIRE ( 257790 2441740 ) ( 529790 2441740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 2401740 ) ( 521790 2449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 2409740 ) ( 785790 2409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 2387000 ) ( 777790 2417740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 2395000 ) ( 785800 2395000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 2409740 ) ( 753790 2409740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 2387000 ) ( 745790 2417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 2395000 ) ( 785800 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 801790 5825000 ) ( 830000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 809790 5761740 ) ( 809790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 5769740 ) ( 817790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 5601740 ) ( 777790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 5609740 ) ( 793000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 785000 5594200 ) ( 785000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 820000 5761740 ) ( 820000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 801790 5769740 ) ( 828000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 809790 5633740 ) ( 809790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 777000 5641740 ) ( 817790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 785000 5594200 ) ( 785000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4730000 ) ( 175000 4753740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4745740 ) ( 241790 4745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4705740 ) ( 233790 4753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4740000 ) ( 241790 4740000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4705740 ) ( 233790 4748000 ) NEW metal9 16000 + SHAPE IOWIRE ( 225790 4713740 ) ( 273790 4713740 ) NEW metal9 16000 + SHAPE IOWIRE ( 265790 4673740 ) ( 265790 4721740 ) NEW metal9 16000 + SHAPE IOWIRE ( 257790 4681740 ) ( 529790 4681740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 4641740 ) ( 521790 4689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 4649740 ) ( 785790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 4627000 ) ( 777790 4657740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 4635000 ) ( 785800 4635000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 4649740 ) ( 753790 4649740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 4627000 ) ( 745790 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 4635000 ) ( 785800 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2657740 ) ( 5825000 2690000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 2665740 ) ( 5833000 2665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 2657740 ) ( 5577790 2723000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 2715000 ) ( 5585800 2715000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2680000 ) ( 5825000 2680000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2672000 ) ( 5769790 2705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 2697740 ) ( 5777790 2697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 2689740 ) ( 5641790 2723000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 2715000 ) ( 5649790 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3937740 ) ( 5825000 3970000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 3945740 ) ( 5833000 3945740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 3937740 ) ( 5577790 4003000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 3995000 ) ( 5585800 3995000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3960000 ) ( 5825000 3960000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3952000 ) ( 5769790 3985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 3977740 ) ( 5777790 3977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 3969740 ) ( 5641790 4003000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 3995000 ) ( 5649790 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1330000 ) ( 5825000 1361740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 1353740 ) ( 5833000 1353740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 1345740 ) ( 5545790 1393740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 1385740 ) ( 5553790 1385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 1377740 ) ( 5257790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 1435000 ) ( 5265800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1340000 ) ( 5825000 1340000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1332000 ) ( 5769790 1361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 1353740 ) ( 5777790 1353740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 1345740 ) ( 5737790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 1385740 ) ( 5745790 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 1377740 ) ( 5545790 1425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 1417740 ) ( 5553790 1417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 1409740 ) ( 5321790 1443000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 1435000 ) ( 5329790 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5345790 5825000 ) ( 5370000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5353790 5761740 ) ( 5353790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5313790 5769740 ) ( 5361790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5321790 5281740 ) ( 5321790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257000 5289740 ) ( 5329790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5265000 5274200 ) ( 5265000 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5360000 5761740 ) ( 5360000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5345790 5769740 ) ( 5368000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5353790 5729740 ) ( 5353790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 5737740 ) ( 5361790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 5537740 ) ( 5321790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5281790 5545740 ) ( 5329790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5289790 5313740 ) ( 5289790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5257000 5321740 ) ( 5297790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5265000 5274200 ) ( 5265000 5329740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3570000 ) ( 5825000 3601740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 3593740 ) ( 5833000 3593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 3585740 ) ( 5545790 3633740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3625740 ) ( 5553790 3625740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 3617740 ) ( 5257790 3683000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3675000 ) ( 5265800 3675000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3580000 ) ( 5825000 3580000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3572000 ) ( 5769790 3601740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 3593740 ) ( 5777790 3593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 3585740 ) ( 5737790 3633740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 3625740 ) ( 5745790 3625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 3617740 ) ( 5545790 3665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 3657740 ) ( 5553790 3657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 3649740 ) ( 5321790 3683000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 3675000 ) ( 5329790 3675000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 175000 ) ( 5250000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 167000 ) ( 5225790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 233740 ) ( 5265790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 225740 ) ( 5257790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 475000 ) ( 5265800 475000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5232000 233740 ) ( 5265790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5257790 225740 ) ( 5257790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5249790 425740 ) ( 5273000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5265000 417740 ) ( 5265000 475800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3041740 ) ( 5825000 3070000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3049740 ) ( 5833000 3049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3041740 ) ( 5769790 3089740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3060000 ) ( 5825000 3060000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3052000 ) ( 5769790 3089740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3081740 ) ( 5777790 3081740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 3041740 ) ( 5225790 3089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 3049740 ) ( 5233790 3049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 3034200 ) ( 4945000 3057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 3049740 ) ( 5233790 3049740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 3027000 ) ( 5001790 3057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 3035000 ) ( 5009790 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1761740 ) ( 5825000 1790000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1769740 ) ( 5833000 1769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1761740 ) ( 5769790 1809740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1780000 ) ( 5825000 1780000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1772000 ) ( 5769790 1809740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 1801740 ) ( 5777790 1801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 1761740 ) ( 5225790 1809740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 1769740 ) ( 5233790 1769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 1754200 ) ( 4945000 1777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 1769740 ) ( 5233790 1769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 1747000 ) ( 5001790 1777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 1755000 ) ( 5009790 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4321740 ) ( 5825000 4350000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4329740 ) ( 5833000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4321740 ) ( 5769790 4369740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4340000 ) ( 5825000 4340000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4332000 ) ( 5769790 4369740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 4361740 ) ( 5777790 4361740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 4321740 ) ( 5225790 4369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 4329740 ) ( 5233790 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 4314200 ) ( 4945000 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 4329740 ) ( 5233790 4329740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 4307000 ) ( 5001790 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 4315000 ) ( 5009790 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4577790 5825000 ) ( 4610000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4585790 5217740 ) ( 4585790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4600000 5761740 ) ( 4600000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4577790 5769740 ) ( 4608000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4585790 5217740 ) ( 4585790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 5225740 ) ( 4625790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 4961740 ) ( 4617790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 4969740 ) ( 4633000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4625000 4954200 ) ( 4625000 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4617790 4993740 ) ( 4617790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4609790 5001740 ) ( 4633000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 4954200 ) ( 4625000 5009740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2160000 ) ( 5825000 2193740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2185740 ) ( 5833000 2185740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2145740 ) ( 5769790 2193740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2170000 ) ( 5825000 2170000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2145740 ) ( 5769790 2178000 ) NEW metal9 16000 + SHAPE IOWIRE ( 5185790 2153740 ) ( 5777790 2153740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 2113740 ) ( 5193790 2161740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 2121740 ) ( 5201790 2121740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 2081740 ) ( 4585790 2129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 2089740 ) ( 4593790 2089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 2074200 ) ( 4305000 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 2089740 ) ( 4593790 2089740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 2067000 ) ( 4361790 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 2075000 ) ( 4369790 2075000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4210000 175000 ) ( 4241790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4233790 167000 ) ( 4233790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4212000 233740 ) ( 4241790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4233790 225740 ) ( 4233790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4225790 265740 ) ( 4273790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4265790 257740 ) ( 4265790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4257790 521740 ) ( 4305790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 513740 ) ( 4297790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 795000 ) ( 4305800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297790 513740 ) ( 4297790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 745740 ) ( 4313000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 737740 ) ( 4305000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3830000 175000 ) ( 3857790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3849790 167000 ) ( 3849790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3841790 233740 ) ( 3889790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3881790 225740 ) ( 3881790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3873790 297740 ) ( 3921790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3832000 233740 ) ( 3857790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3849790 225740 ) ( 3849790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3841790 297740 ) ( 3921790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3913790 289740 ) ( 3913790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3905790 553740 ) ( 3953790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3945790 545740 ) ( 3945790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3937790 1161740 ) ( 3985790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 1153740 ) ( 3977790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 1435000 ) ( 3985800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977790 1153740 ) ( 3977790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3969790 1385740 ) ( 3993000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 1377740 ) ( 3985000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4129790 5825000 ) ( 4160000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4137790 5729740 ) ( 4137790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4097790 5737740 ) ( 4145790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4105790 5537740 ) ( 4105790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4065790 5545740 ) ( 4113790 5545740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4073790 5249740 ) ( 4073790 5553740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4033790 5257740 ) ( 4081790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4041790 4641740 ) ( 4041790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977000 4649740 ) ( 4049790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4634200 ) ( 3985000 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4150000 5761740 ) ( 4150000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4129790 5769740 ) ( 4158000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4137790 5697740 ) ( 4137790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4065790 5705740 ) ( 4145790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4073790 5505740 ) ( 4073790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4033790 5513740 ) ( 4081790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4041790 4897740 ) ( 4041790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4001790 4905740 ) ( 4049790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4009790 4673740 ) ( 4009790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977000 4681740 ) ( 4017790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4634200 ) ( 3985000 4689740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3681790 5825000 ) ( 3710000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3689790 5761740 ) ( 3689790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 5769740 ) ( 3697790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 5601740 ) ( 3657790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 5609740 ) ( 3673000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3665000 5594200 ) ( 3665000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3700000 5761740 ) ( 3700000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3681790 5769740 ) ( 3708000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3689790 5633740 ) ( 3689790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657000 5641740 ) ( 3697790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 5594200 ) ( 3665000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3361740 ) ( 175000 3390000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3369740 ) ( 465790 3369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 3347000 ) ( 457790 3377740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 3355000 ) ( 465800 3355000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3380000 ) ( 241790 3380000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3361740 ) ( 233790 3388000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3369740 ) ( 433790 3369740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 3347000 ) ( 425790 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 3355000 ) ( 465800 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2081740 ) ( 175000 2110000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2089740 ) ( 465790 2089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 2067000 ) ( 457790 2097740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 2075000 ) ( 465800 2075000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2100000 ) ( 241790 2100000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2081740 ) ( 233790 2108000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2089740 ) ( 433790 2089740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 2067000 ) ( 425790 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 2075000 ) ( 465800 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2925,281 +2962,309 @@ SPECIALNETS 139 ; ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3361790 175000 ) ( 3390000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3369790 167000 ) ( 3369790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3361790 233740 ) ( 3409790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3380000 175000 ) ( 3380000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3372000 233740 ) ( 3409790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3401790 225740 ) ( 3401790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 841740 ) ( 3409790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 833740 ) ( 3337790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 1115000 ) ( 3345800 1115000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3361790 841740 ) ( 3409790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3369790 833740 ) ( 3369790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337000 1065740 ) ( 3377790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 1057740 ) ( 3345000 1115800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2870000 175000 ) ( 2897790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2889790 167000 ) ( 2889790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2881790 233740 ) ( 2929790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2921790 225740 ) ( 2921790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2913790 297740 ) ( 2961790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2872000 233740 ) ( 2897790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2889790 225740 ) ( 2889790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2881790 297740 ) ( 2961790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2953790 289740 ) ( 2953790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2945790 553740 ) ( 2993790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2985790 545740 ) ( 2985790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2977790 1161740 ) ( 3025790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 1153740 ) ( 3017790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 1435000 ) ( 3025800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017790 1153740 ) ( 3017790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3009790 1385740 ) ( 3033000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 1377740 ) ( 3025000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3120000 5825000 ) ( 3153790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3145790 5761740 ) ( 3145790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3105790 5769740 ) ( 3153790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3113790 5569740 ) ( 3113790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3073790 5577740 ) ( 3121790 5577740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3081790 5281740 ) ( 3081790 5585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017000 5289740 ) ( 3089790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3025000 5274200 ) ( 3025000 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3130000 5761740 ) ( 3130000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3105790 5769740 ) ( 3138000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3113790 5729740 ) ( 3113790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3073790 5737740 ) ( 3121790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3081790 5537740 ) ( 3081790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3041790 5545740 ) ( 3089790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3049790 5313740 ) ( 3049790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017000 5321740 ) ( 3057790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 5274200 ) ( 3025000 5329740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2657790 5825000 ) ( 2690000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2665790 5217740 ) ( 2665790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2680000 5761740 ) ( 2680000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2657790 5769740 ) ( 2688000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2665790 5217740 ) ( 2665790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2657790 5225740 ) ( 2705790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 4961740 ) ( 2697790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 4969740 ) ( 2713000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2705000 4954200 ) ( 2705000 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697790 4993740 ) ( 2697790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2689790 5001740 ) ( 2713000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 4954200 ) ( 2705000 5009740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2480000 175000 ) ( 2513790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2505790 167000 ) ( 2505790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2465790 233740 ) ( 2513790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2465790 233740 ) ( 2498000 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2473790 225740 ) ( 2473790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2433790 873740 ) ( 2481790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2441790 865740 ) ( 2441790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 1481740 ) ( 2449790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 1473740 ) ( 2377790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 1755000 ) ( 2385800 1755000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2401790 1481740 ) ( 2449790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2409790 1473740 ) ( 2409790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377000 1705740 ) ( 2417790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 1697740 ) ( 2385000 1755800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2290000 5825000 ) ( 2321790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2313790 5185740 ) ( 2313790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2300000 5761740 ) ( 2300000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2292000 5769740 ) ( 2321790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2313790 5185740 ) ( 2313790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2305790 5193740 ) ( 2353790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2345790 4577740 ) ( 2345790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2337790 4585740 ) ( 2385790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 4321740 ) ( 2377790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 4329740 ) ( 2393000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2385000 4314200 ) ( 2385000 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377790 4353740 ) ( 2377790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2369790 4361740 ) ( 2393000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 4314200 ) ( 2385000 4369740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1970000 175000 ) ( 2001790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1993790 167000 ) ( 1993790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1972000 233740 ) ( 2001790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1993790 225740 ) ( 1993790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1985790 265740 ) ( 2033790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2025790 257740 ) ( 2025790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2017790 521740 ) ( 2065790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 513740 ) ( 2057790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 795000 ) ( 2065800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057790 513740 ) ( 2057790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2049790 745740 ) ( 2073000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 737740 ) ( 2065000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1590000 175000 ) ( 1617790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1609790 167000 ) ( 1609790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1601790 233740 ) ( 1649790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1641790 225740 ) ( 1641790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1633790 297740 ) ( 1681790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1592000 233740 ) ( 1617790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1609790 225740 ) ( 1609790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1601790 297740 ) ( 1681790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1673790 289740 ) ( 1673790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1665790 553740 ) ( 1713790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1705790 545740 ) ( 1705790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1697790 1161740 ) ( 1745790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 1153740 ) ( 1737790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 1435000 ) ( 1745800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737790 1153740 ) ( 1737790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1729790 1385740 ) ( 1753000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 1377740 ) ( 1745000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1889790 5825000 ) ( 1910000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1897790 5729740 ) ( 1897790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1825790 5737740 ) ( 1905790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1833790 5249740 ) ( 1833790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1793790 5257740 ) ( 1841790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1801790 4641740 ) ( 1801790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737000 4649740 ) ( 1809790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1745000 4634200 ) ( 1745000 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1900000 5761740 ) ( 1900000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1857790 5769740 ) ( 1908000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1865790 5697740 ) ( 1865790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1825790 5705740 ) ( 1873790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1833790 5505740 ) ( 1833790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1793790 5513740 ) ( 1841790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1801790 4897740 ) ( 1801790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1761790 4905740 ) ( 1809790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1769790 4673740 ) ( 1769790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737000 4681740 ) ( 1777790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 4634200 ) ( 1745000 4689740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2529740 ) ( 175000 2560000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2537740 ) ( 305790 2537740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2550000 ) ( 241790 2550000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2529740 ) ( 233790 2558000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2537740 ) ( 305790 2537740 ) NEW metal9 16000 + SHAPE IOWIRE ( 297790 2465740 ) ( 297790 2545740 ) NEW metal9 16000 + SHAPE IOWIRE ( 289790 2473740 ) ( 561790 2473740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 2433740 ) ( 553790 2481740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 2441740 ) ( 1169790 2441740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 2401740 ) ( 1161790 2449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 2409740 ) ( 1425790 2409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 2387000 ) ( 1417790 2417740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 2395000 ) ( 1425800 2395000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 2409740 ) ( 1393790 2409740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 2387000 ) ( 1385790 2417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 2395000 ) ( 1425800 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4790000 ) ( 175000 4817740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4809740 ) ( 241790 4809740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4769740 ) ( 233790 4817740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4800000 ) ( 241790 4800000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4769740 ) ( 233790 4808000 ) NEW metal9 16000 + SHAPE IOWIRE ( 225790 4777740 ) ( 305790 4777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 297790 4705740 ) ( 297790 4785740 ) NEW metal9 16000 + SHAPE IOWIRE ( 289790 4713740 ) ( 561790 4713740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 4673740 ) ( 553790 4721740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 4681740 ) ( 1169790 4681740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 4641740 ) ( 1161790 4689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 4649740 ) ( 1425790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 4627000 ) ( 1417790 4657740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 4635000 ) ( 1425800 4635000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 4649740 ) ( 1393790 4649740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 4627000 ) ( 1385790 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 4635000 ) ( 1425800 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 5825000 ) ( 1150000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 5761740 ) ( 1129790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 5769740 ) ( 1137790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 5601740 ) ( 1097790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 5609740 ) ( 1113000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1105000 5594200 ) ( 1105000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1140000 5761740 ) ( 1140000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1121790 5769740 ) ( 1148000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1129790 5633740 ) ( 1129790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1097000 5641740 ) ( 1137790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1105000 5594200 ) ( 1105000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3937740 ) ( 175000 3970000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3945740 ) ( 1105790 3945740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 3937740 ) ( 1097790 4003000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 3995000 ) ( 1105800 3995000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3960000 ) ( 241790 3960000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3937740 ) ( 233790 3968000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3945740 ) ( 849790 3945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 3937740 ) ( 841790 3985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 3977740 ) ( 1073790 3977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 3969740 ) ( 1065790 4003000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 3995000 ) ( 1105800 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3425740 ) ( 175000 3450000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3433740 ) ( 241790 3433740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3393740 ) ( 233790 3441740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 3401740 ) ( 529790 3401740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3440000 ) ( 241790 3440000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3425740 ) ( 233790 3448000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3433740 ) ( 273790 3433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 3393740 ) ( 265790 3441740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 3401740 ) ( 529790 3401740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 3361740 ) ( 521790 3409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 3369740 ) ( 785790 3369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 3347000 ) ( 777790 3377740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 3355000 ) ( 785800 3355000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 3369740 ) ( 753790 3369740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 3347000 ) ( 745790 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 3355000 ) ( 785800 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2145740 ) ( 175000 2170000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2153740 ) ( 241790 2153740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2113740 ) ( 233790 2161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 2121740 ) ( 529790 2121740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2160000 ) ( 241790 2160000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2145740 ) ( 233790 2168000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2153740 ) ( 273790 2153740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 2113740 ) ( 265790 2161740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 2121740 ) ( 529790 2121740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 2081740 ) ( 521790 2129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 2089740 ) ( 785790 2089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 2067000 ) ( 777790 2097740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 2075000 ) ( 785800 2075000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 2089740 ) ( 753790 2089740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 2067000 ) ( 745790 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 2075000 ) ( 785800 2075000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 737790 175000 ) ( 770000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 745790 167000 ) ( 745790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 737790 233740 ) ( 785790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 225740 ) ( 777790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 475000 ) ( 785800 475000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 752000 233740 ) ( 785790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 777790 225740 ) ( 777790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 769790 425740 ) ( 793000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 785000 417740 ) ( 785000 475800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2977740 ) ( 5825000 3010000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 2985740 ) ( 5833000 2985740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 2977740 ) ( 5577790 3043000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 3035000 ) ( 5585800 3035000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3000000 ) ( 5825000 3000000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2992000 ) ( 5769790 3025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 3017740 ) ( 5777790 3017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 3009740 ) ( 5641790 3043000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 3035000 ) ( 5649790 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1697740 ) ( 5825000 1730000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 1705740 ) ( 5833000 1705740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 1697740 ) ( 5577790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 1755000 ) ( 5585800 1755000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1720000 ) ( 5825000 1720000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1712000 ) ( 5769790 1745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 1737740 ) ( 5777790 1737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 1729740 ) ( 5641790 1763000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 1755000 ) ( 5649790 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5601790 5825000 ) ( 5630000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5609790 5761740 ) ( 5609790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 5769740 ) ( 5617790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 5601740 ) ( 5577790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 5609740 ) ( 5593000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5585000 5594200 ) ( 5585000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5620000 5761740 ) ( 5620000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5601790 5769740 ) ( 5628000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5609790 5633740 ) ( 5609790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5577000 5641740 ) ( 5617790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5585000 5594200 ) ( 5585000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4257740 ) ( 5825000 4290000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 4265740 ) ( 5833000 4265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 4257740 ) ( 5577790 4323000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 4315000 ) ( 5585800 4315000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4280000 ) ( 5825000 4280000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4272000 ) ( 5769790 4305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 4297740 ) ( 5777790 4297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 4289740 ) ( 5641790 4323000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 4315000 ) ( 5649790 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2610000 ) ( 5825000 2641740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2633740 ) ( 5833000 2633740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2625740 ) ( 5545790 2673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2665740 ) ( 5553790 2665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 2657740 ) ( 5257790 2723000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2715000 ) ( 5265800 2715000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2620000 ) ( 5825000 2620000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2612000 ) ( 5769790 2641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 2633740 ) ( 5777790 2633740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 2625740 ) ( 5737790 2673740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2665740 ) ( 5745790 2665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2657740 ) ( 5545790 2705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 2697740 ) ( 5553790 2697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 2689740 ) ( 5321790 2723000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 2715000 ) ( 5329790 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3890000 ) ( 5825000 3921740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 3913740 ) ( 5833000 3913740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 3905740 ) ( 5545790 3953740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3945740 ) ( 5553790 3945740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 3937740 ) ( 5257790 4003000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3995000 ) ( 5265800 3995000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3900000 ) ( 5825000 3900000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3892000 ) ( 5769790 3921740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 3913740 ) ( 5777790 3913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 3905740 ) ( 5737790 3953740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 3945740 ) ( 5745790 3945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 3937740 ) ( 5545790 3985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 3977740 ) ( 5553790 3977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 3969740 ) ( 5321790 4003000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 3995000 ) ( 5329790 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2100000 ) ( 5825000 2129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2121740 ) ( 5833000 2121740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2110000 ) ( 5825000 2110000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2102000 ) ( 5769790 2129740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2121740 ) ( 5777790 2121740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 2081740 ) ( 5225790 2129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 2089740 ) ( 5233790 2089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 2074200 ) ( 4945000 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 2089740 ) ( 5233790 2089740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 2067000 ) ( 5001790 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 2075000 ) ( 5009790 2075000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4897790 175000 ) ( 4930000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4905790 167000 ) ( 4905790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4897790 233740 ) ( 4945790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937790 225740 ) ( 4937790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4929790 475000 ) ( 4945800 475000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4912000 233740 ) ( 4945790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4937790 225740 ) ( 4937790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4929790 425740 ) ( 4953000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4945000 417740 ) ( 4945000 475800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1270000 ) ( 5825000 1297740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1289740 ) ( 5833000 1289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1281740 ) ( 5769790 1329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 1321740 ) ( 5777790 1321740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 1313740 ) ( 5513790 1361740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 1353740 ) ( 5521790 1353740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 1345740 ) ( 5225790 1393740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 1385740 ) ( 5233790 1385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 1377740 ) ( 4617790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 1435000 ) ( 4625800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1280000 ) ( 5825000 1280000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1272000 ) ( 5769790 1297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 1289740 ) ( 5777790 1289740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 1281740 ) ( 5705790 1361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 1353740 ) ( 5713790 1353740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 1345740 ) ( 5513790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 1385740 ) ( 5521790 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 1377740 ) ( 4905790 1425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 1417740 ) ( 4913790 1417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 1409740 ) ( 4681790 1443000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 1435000 ) ( 4689790 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4641790 5825000 ) ( 4670000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4649790 5761740 ) ( 4649790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 5769740 ) ( 4657790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 5601740 ) ( 4617790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 5609740 ) ( 4633000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4625000 5594200 ) ( 4625000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4660000 5761740 ) ( 4660000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4641790 5769740 ) ( 4668000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4649790 5633740 ) ( 4649790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4617000 5641740 ) ( 4657790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 5594200 ) ( 4625000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3510000 ) ( 5825000 3537740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3529740 ) ( 5833000 3529740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3521740 ) ( 5769790 3569740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 3561740 ) ( 5777790 3561740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 3553740 ) ( 5513790 3601740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3593740 ) ( 5521790 3593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 3585740 ) ( 5225790 3633740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3625740 ) ( 5233790 3625740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 3617740 ) ( 4617790 3683000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3675000 ) ( 4625800 3675000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3520000 ) ( 5825000 3520000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3512000 ) ( 5769790 3537740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 3529740 ) ( 5777790 3529740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 3521740 ) ( 5705790 3601740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 3593740 ) ( 5713790 3593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 3585740 ) ( 5513790 3633740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 3625740 ) ( 5521790 3625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 3617740 ) ( 4905790 3665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 3657740 ) ( 4913790 3657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 3649740 ) ( 4681790 3683000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 3675000 ) ( 4689790 3675000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4150000 175000 ) ( 4177790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4169790 167000 ) ( 4169790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4161790 233740 ) ( 4209790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4201790 225740 ) ( 4201790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4193790 297740 ) ( 4241790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4152000 233740 ) ( 4177790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4169790 225740 ) ( 4169790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4161790 297740 ) ( 4241790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4233790 289740 ) ( 4233790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4225790 553740 ) ( 4273790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4265790 545740 ) ( 4265790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4257790 1161740 ) ( 4305790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 1153740 ) ( 4297790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 1435000 ) ( 4305800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297790 1153740 ) ( 4297790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 1385740 ) ( 4313000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 1377740 ) ( 4305000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4190000 5825000 ) ( 4210000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4201790 5761740 ) ( 4201790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4193790 5769740 ) ( 4241790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4233790 5185740 ) ( 4233790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4200000 5761740 ) ( 4200000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4192000 5769740 ) ( 4209790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4201790 5729740 ) ( 4201790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4193790 5737740 ) ( 4241790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4233790 5185740 ) ( 4233790 5745740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4225790 5193740 ) ( 4273790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4265790 4577740 ) ( 4265790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4257790 4585740 ) ( 4305790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 4321740 ) ( 4297790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 4329740 ) ( 4313000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 4314200 ) ( 4305000 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297790 4353740 ) ( 4297790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 4361740 ) ( 4313000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 4314200 ) ( 4305000 4369740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3760000 175000 ) ( 3793790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3785790 167000 ) ( 3785790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3745790 233740 ) ( 3793790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3745790 233740 ) ( 3778000 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3753790 225740 ) ( 3753790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3713790 873740 ) ( 3761790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3721790 865740 ) ( 3721790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 1481740 ) ( 3729790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 1473740 ) ( 3657790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 1755000 ) ( 3665800 1755000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3681790 1481740 ) ( 3729790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3689790 1473740 ) ( 3689790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657000 1705740 ) ( 3697790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 1697740 ) ( 3665000 1755800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3617790 5825000 ) ( 3650000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3625790 5217740 ) ( 3625790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3640000 5761740 ) ( 3640000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3617790 5769740 ) ( 3648000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3625790 5217740 ) ( 3625790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3617790 5225740 ) ( 3665790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 4961740 ) ( 3657790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 4969740 ) ( 3673000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3665000 4954200 ) ( 3665000 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657790 4993740 ) ( 3657790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3649790 5001740 ) ( 3673000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 4954200 ) ( 3665000 5009740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3041740 ) ( 175000 3070000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3049740 ) ( 465790 3049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 3027000 ) ( 457790 3057740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 3035000 ) ( 465800 3035000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3060000 ) ( 241790 3060000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3041740 ) ( 233790 3068000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3049740 ) ( 433790 3049740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 3027000 ) ( 425790 3057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 3035000 ) ( 465800 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1441740 ) ( 175000 1470000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1449740 ) ( 465790 1449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 1427000 ) ( 457790 1457740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 1435000 ) ( 465800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1460000 ) ( 241790 1460000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1441740 ) ( 233790 1468000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1449740 ) ( 433790 1449740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 1427000 ) ( 425790 1457740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 1435000 ) ( 465800 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4321740 ) ( 175000 4350000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4329740 ) ( 465790 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 4307000 ) ( 457790 4337740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 4315000 ) ( 465800 4315000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4340000 ) ( 241790 4340000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4321740 ) ( 233790 4348000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4329740 ) ( 433790 4329740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 4307000 ) ( 425790 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 4315000 ) ( 465800 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3351,140 +3416,151 @@ SPECIALNETS 139 ; ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3190000 175000 ) ( 3217790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3209790 167000 ) ( 3209790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3201790 233740 ) ( 3249790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3241790 225740 ) ( 3241790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3233790 297740 ) ( 3281790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3192000 233740 ) ( 3217790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3209790 225740 ) ( 3209790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3201790 297740 ) ( 3281790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3273790 289740 ) ( 3273790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3265790 553740 ) ( 3313790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3305790 545740 ) ( 3305790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3297790 1161740 ) ( 3345790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 1153740 ) ( 3337790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 1435000 ) ( 3345800 1435000 ) - + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3290000 5825000 ) ( 3290000 5841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3233790 5833740 ) ( 3298000 5833740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3241790 5761740 ) ( 3241790 5841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3233790 5769740 ) ( 3281790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3273790 5185740 ) ( 3273790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3265790 5193740 ) ( 3313790 5193740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3305790 4577740 ) ( 3305790 5201740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3297790 4585740 ) ( 3345790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 4321740 ) ( 3337790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 4329740 ) ( 3353000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3345000 4314200 ) ( 3345000 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337790 1153740 ) ( 3337790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3329790 1385740 ) ( 3353000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 1377740 ) ( 3345000 1435800 ) + + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 4315000 ) via9_0 + NEW metal9 0 + SHAPE IOWIRE ( 3345000 4315000 ) via9_0 + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4257740 ) ( 3985000 4315800 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3617790 4265740 ) ( 3993000 4265740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3625790 4257740 ) ( 3625790 4305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3393790 4297740 ) ( 3633790 4297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3401790 4289740 ) ( 3401790 4323000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3344200 4315000 ) ( 3409790 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2785790 5825000 ) ( 2810000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2793790 5761740 ) ( 2793790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2753790 5769740 ) ( 2801790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2761790 5281740 ) ( 2761790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697000 5289740 ) ( 2769790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2705000 5274200 ) ( 2705000 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2800000 5761740 ) ( 2800000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2785790 5769740 ) ( 2808000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2793790 5729740 ) ( 2793790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2753790 5737740 ) ( 2801790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2761790 5537740 ) ( 2761790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2721790 5545740 ) ( 2769790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2729790 5313740 ) ( 2729790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697000 5321740 ) ( 2737790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 5274200 ) ( 2705000 5329740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2230000 175000 ) ( 2257790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2249790 167000 ) ( 2249790 273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2241790 265740 ) ( 2321790 265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2313790 257740 ) ( 2313790 561740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2232000 233740 ) ( 2257790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2249790 225740 ) ( 2249790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2241790 297740 ) ( 2321790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2313790 289740 ) ( 2313790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2305790 553740 ) ( 2353790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2345790 545740 ) ( 2345790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2337790 1161740 ) ( 2385790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 1153740 ) ( 2377790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 1435000 ) ( 2385800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377790 1153740 ) ( 2377790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2369790 1385740 ) ( 2393000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 1377740 ) ( 2385000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1697790 5825000 ) ( 1730000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1705790 5217740 ) ( 1705790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1720000 5761740 ) ( 1720000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 5769740 ) ( 1728000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 5217740 ) ( 1705790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1697790 5225740 ) ( 1745790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 4961740 ) ( 1737790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 4969740 ) ( 1753000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1745000 4954200 ) ( 1745000 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737790 4993740 ) ( 1737790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1729790 5001740 ) ( 1753000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 4954200 ) ( 1745000 5009740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1697740 ) ( 175000 1730000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1705740 ) ( 1105790 1705740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 1697740 ) ( 1097790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 1755000 ) ( 1105800 1755000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1720000 ) ( 241790 1720000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1697740 ) ( 233790 1728000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1705740 ) ( 849790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 1697740 ) ( 841790 1745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 1737740 ) ( 1073790 1737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 1729740 ) ( 1065790 1763000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 1755000 ) ( 1105800 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 175000 ) ( 1150000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 167000 ) ( 1129790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 233740 ) ( 1169790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1132000 233740 ) ( 1169790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 225740 ) ( 1161790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 841740 ) ( 1169790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 833740 ) ( 1097790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 1115000 ) ( 1105800 1115000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1121790 841740 ) ( 1169790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1129790 833740 ) ( 1129790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1097000 1065740 ) ( 1137790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1105000 1057740 ) ( 1105000 1115800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2800000 ) ( 175000 2833740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2825740 ) ( 241790 2825740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2785740 ) ( 233790 2833740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2810000 ) ( 241790 2810000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2785740 ) ( 233790 2818000 ) NEW metal9 16000 + SHAPE IOWIRE ( 225790 2793740 ) ( 273790 2793740 ) NEW metal9 16000 + SHAPE IOWIRE ( 265790 2753740 ) ( 265790 2801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 257790 2761740 ) ( 529790 2761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 2721740 ) ( 521790 2769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 2729740 ) ( 785790 2729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 2707000 ) ( 777790 2737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 2715000 ) ( 785800 2715000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 2729740 ) ( 753790 2729740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 2707000 ) ( 745790 2737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 2715000 ) ( 785800 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3760000 ) ( 175000 3793740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3785740 ) ( 241790 3785740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3745740 ) ( 233790 3793740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3770000 ) ( 241790 3770000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3745740 ) ( 233790 3778000 ) NEW metal9 16000 + SHAPE IOWIRE ( 225790 3753740 ) ( 273790 3753740 ) NEW metal9 16000 + SHAPE IOWIRE ( 265790 3713740 ) ( 265790 3761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 257790 3721740 ) ( 529790 3721740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 3681740 ) ( 521790 3729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 3689740 ) ( 785790 3689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 3667000 ) ( 777790 3697740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 3675000 ) ( 785800 3675000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 3689740 ) ( 753790 3689740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 3667000 ) ( 745790 3697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 3675000 ) ( 785800 3675000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3320000 ) ( 5825000 3345740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3337740 ) ( 5833000 3337740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3329740 ) ( 5769790 3377740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577000 3369740 ) ( 5777790 3369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5585000 3354200 ) ( 5585000 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3330000 ) ( 5825000 3330000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3322000 ) ( 5769790 3345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 3337740 ) ( 5777790 3337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 3329740 ) ( 5641790 3363000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 3355000 ) ( 5649790 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4577740 ) ( 5825000 4610000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 4585740 ) ( 5833000 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 4577740 ) ( 5577790 4643000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 4635000 ) ( 5585800 4635000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4600000 ) ( 5825000 4600000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4592000 ) ( 5769790 4625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 4617740 ) ( 5777790 4617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 4609740 ) ( 5641790 4643000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 4635000 ) ( 5649790 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2290000 ) ( 5825000 2321740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2313740 ) ( 5833000 2313740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2305740 ) ( 5545790 2353740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2345740 ) ( 5553790 2345740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 2337740 ) ( 5257790 2403000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2395000 ) ( 5265800 2395000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2300000 ) ( 5825000 2300000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2292000 ) ( 5769790 2321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 2313740 ) ( 5777790 2313740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 2305740 ) ( 5737790 2353740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2345740 ) ( 5745790 2345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2337740 ) ( 5545790 2385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 2377740 ) ( 5553790 2377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 2369740 ) ( 5321790 2403000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 2395000 ) ( 5329790 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1010000 ) ( 5825000 1041740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 1033740 ) ( 5833000 1033740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 1025740 ) ( 5545790 1073740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 1065740 ) ( 5553790 1065740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 1057740 ) ( 5257790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 1115000 ) ( 5265800 1115000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1020000 ) ( 5825000 1020000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1012000 ) ( 5769790 1041740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 1033740 ) ( 5777790 1033740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 1025740 ) ( 5737790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 1065740 ) ( 5745790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 1057740 ) ( 5545790 1105740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 1097740 ) ( 5553790 1097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 1089740 ) ( 5321790 1123000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 1115000 ) ( 5329790 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4961790 5825000 ) ( 4990000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4969790 5761740 ) ( 4969790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4929790 5769740 ) ( 4977790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937790 5601740 ) ( 4937790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4929790 5609740 ) ( 4953000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 5594200 ) ( 4945000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4980000 5761740 ) ( 4980000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4961790 5769740 ) ( 4988000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4969790 5633740 ) ( 4969790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4937000 5641740 ) ( 4977790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4945000 5594200 ) ( 4945000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4257790 175000 ) ( 4290000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4265790 167000 ) ( 4265790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4257790 233740 ) ( 4305790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 225740 ) ( 4297790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 475000 ) ( 4305800 475000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4272000 233740 ) ( 4305790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297790 225740 ) ( 4297790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 425740 ) ( 4313000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 417740 ) ( 4305000 475800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3890000 5825000 ) ( 3921790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3913790 5185740 ) ( 3913790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3900000 5761740 ) ( 3900000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3892000 5769740 ) ( 3921790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3913790 5185740 ) ( 3913790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3905790 5193740 ) ( 3953790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3945790 4577740 ) ( 3945790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3937790 4585740 ) ( 3985790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 4321740 ) ( 3977790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 4329740 ) ( 3993000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4314200 ) ( 3985000 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977790 4353740 ) ( 3977790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3969790 4361740 ) ( 3993000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4314200 ) ( 3985000 4369740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4961740 ) ( 175000 4990000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4969740 ) ( 465790 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 4947000 ) ( 457790 4977740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 4955000 ) ( 465800 4955000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4980000 ) ( 241790 4980000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4961740 ) ( 233790 4988000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4969740 ) ( 433790 4969740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 4947000 ) ( 425790 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 4955000 ) ( 465800 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3636,1315 +3712,1478 @@ SPECIALNETS 139 ; ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3380000 5825000 ) ( 3409790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3401790 5601740 ) ( 3401790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337000 5609740 ) ( 3409790 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3345000 5594200 ) ( 3345000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3390000 5761740 ) ( 3390000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3361790 5769740 ) ( 3398000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3369790 5633740 ) ( 3369790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337000 5641740 ) ( 3377790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 5594200 ) ( 3345000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3250000 175000 ) ( 3281790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3273790 167000 ) ( 3273790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3252000 233740 ) ( 3281790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3273790 225740 ) ( 3273790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3265790 265740 ) ( 3313790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3305790 257740 ) ( 3305790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3297790 521740 ) ( 3345790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 513740 ) ( 3337790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 795000 ) ( 3345800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337790 513740 ) ( 3337790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3329790 745740 ) ( 3353000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 737740 ) ( 3345000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2721790 5825000 ) ( 2750000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2729790 5761740 ) ( 2729790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 5769740 ) ( 2737790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 5601740 ) ( 2697790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 5609740 ) ( 2713000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2705000 5594200 ) ( 2705000 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2740000 5761740 ) ( 2740000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2721790 5769740 ) ( 2748000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2729790 5633740 ) ( 2729790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697000 5641740 ) ( 2737790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 5594200 ) ( 2705000 5649740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2290000 175000 ) ( 2321790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2313790 167000 ) ( 2313790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2305790 233740 ) ( 2353790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2292000 233740 ) ( 2353790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2345790 225740 ) ( 2345790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2337790 521740 ) ( 2385790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 513740 ) ( 2377790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 795000 ) ( 2385800 795000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377790 513740 ) ( 2377790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2369790 745740 ) ( 2393000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 737740 ) ( 2385000 795800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1270000 175000 ) ( 1297790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1289790 167000 ) ( 1289790 273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1281790 265740 ) ( 1361790 265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1353790 257740 ) ( 1353790 561740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1272000 233740 ) ( 1297790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1289790 225740 ) ( 1289790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1281790 297740 ) ( 1361790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1353790 289740 ) ( 1353790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1345790 553740 ) ( 1393790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1385790 545740 ) ( 1385790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1377790 1161740 ) ( 1425790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 1153740 ) ( 1417790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 1435000 ) ( 1425800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1417790 1153740 ) ( 1417790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1409790 1385740 ) ( 1433000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 1377740 ) ( 1425000 1435800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1520000 5825000 ) ( 1553790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1545790 5761740 ) ( 1545790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1505790 5769740 ) ( 1553790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1513790 5569740 ) ( 1513790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1473790 5577740 ) ( 1521790 5577740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1481790 5281740 ) ( 1481790 5585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417000 5289740 ) ( 1489790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1425000 5274200 ) ( 1425000 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1530000 5761740 ) ( 1530000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1505790 5769740 ) ( 1538000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1513790 5729740 ) ( 1513790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 5737740 ) ( 1521790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 5537740 ) ( 1481790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1441790 5545740 ) ( 1489790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1449790 5313740 ) ( 1449790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1417000 5321740 ) ( 1457790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 5274200 ) ( 1425000 5329740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4897740 ) ( 175000 4930000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4905740 ) ( 1105790 4905740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 4897740 ) ( 1097790 4963000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 4955000 ) ( 1105800 4955000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4920000 ) ( 241790 4920000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4897740 ) ( 233790 4928000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4905740 ) ( 849790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 4897740 ) ( 841790 4945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 4937740 ) ( 1073790 4937740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 4929740 ) ( 1065790 4963000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 4955000 ) ( 1105800 4955000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1505740 ) ( 175000 1530000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1513740 ) ( 241790 1513740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 1473740 ) ( 233790 1521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 1481740 ) ( 529790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1520000 ) ( 241790 1520000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1505740 ) ( 233790 1528000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1513740 ) ( 273790 1513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 1473740 ) ( 265790 1521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 1481740 ) ( 529790 1481740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 1441740 ) ( 521790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 1449740 ) ( 785790 1449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 1427000 ) ( 777790 1457740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 1435000 ) ( 785800 1435000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 513790 1449740 ) ( 753790 1449740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 1427000 ) ( 745790 1457740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 1435000 ) ( 785800 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2337740 ) ( 5825000 2370000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 2345740 ) ( 5833000 2345740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 2337740 ) ( 5577790 2403000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 2395000 ) ( 5585800 2395000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2360000 ) ( 5825000 2360000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2352000 ) ( 5769790 2385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 2377740 ) ( 5777790 2377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 2369740 ) ( 5641790 2403000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 2395000 ) ( 5649790 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1057740 ) ( 5825000 1090000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 1065740 ) ( 5833000 1065740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 1057740 ) ( 5577790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 1115000 ) ( 5585800 1115000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1080000 ) ( 5825000 1080000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1072000 ) ( 5769790 1105740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 1097740 ) ( 5777790 1097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 1089740 ) ( 5641790 1123000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 1115000 ) ( 5649790 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3380000 ) ( 5825000 3409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3401740 ) ( 5833000 3401740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3390000 ) ( 5825000 3390000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3382000 ) ( 5769790 3409740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3401740 ) ( 5777790 3401740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 3361740 ) ( 5225790 3409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 3369740 ) ( 5233790 3369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 3354200 ) ( 4945000 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 3369740 ) ( 5233790 3369740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 3347000 ) ( 5001790 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 3355000 ) ( 5009790 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4897790 5825000 ) ( 4930000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4905790 5217740 ) ( 4905790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4920000 5761740 ) ( 4920000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 5769740 ) ( 4928000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 5217740 ) ( 4905790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4897790 5225740 ) ( 4945790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937790 4961740 ) ( 4937790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4929790 4969740 ) ( 4953000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 4954200 ) ( 4945000 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4937790 4993740 ) ( 4937790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4929790 5001740 ) ( 4953000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4945000 4954200 ) ( 4945000 5009740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4641740 ) ( 5825000 4670000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4649740 ) ( 5833000 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4641740 ) ( 5769790 4689740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4660000 ) ( 5825000 4660000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4652000 ) ( 5769790 4689740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 4681740 ) ( 5777790 4681740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 4641740 ) ( 5225790 4689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 4649740 ) ( 5233790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 4634200 ) ( 4945000 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 4649740 ) ( 5233790 4649740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 4627000 ) ( 5001790 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 4635000 ) ( 5009790 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4321790 175000 ) ( 4350000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4329790 167000 ) ( 4329790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4321790 233740 ) ( 4369790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4332000 233740 ) ( 4369790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4361790 225740 ) ( 4361790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 841740 ) ( 4369790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 833740 ) ( 4297790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 1115000 ) ( 4305800 1115000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4321790 841740 ) ( 4369790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4329790 833740 ) ( 4329790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297000 1065740 ) ( 4337790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 1057740 ) ( 4305000 1115800 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3809790 5825000 ) ( 3840000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3817790 5665740 ) ( 3817790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3745790 5673740 ) ( 3825790 5673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3753790 5249740 ) ( 3753790 5681740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3713790 5257740 ) ( 3761790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3721790 4641740 ) ( 3721790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657000 4649740 ) ( 3729790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3665000 4634200 ) ( 3665000 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3830000 5761740 ) ( 3830000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3809790 5769740 ) ( 3838000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3817790 5697740 ) ( 3817790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3745790 5705740 ) ( 3825790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3753790 5505740 ) ( 3753790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3713790 5513740 ) ( 3761790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3721790 4897740 ) ( 3721790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3681790 4905740 ) ( 3729790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3689790 4673740 ) ( 3689790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657000 4681740 ) ( 3697790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 4634200 ) ( 3665000 4689740 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2740000 ) ( 175000 2769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2761740 ) ( 241790 2761740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2721740 ) ( 233790 2769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 2729740 ) ( 465790 2729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 2707000 ) ( 457790 2737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 2715000 ) ( 465800 2715000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2750000 ) ( 241790 2750000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2721740 ) ( 233790 2758000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2729740 ) ( 433790 2729740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 2707000 ) ( 425790 2737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 2715000 ) ( 465800 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3700000 ) ( 175000 3729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3721740 ) ( 241790 3721740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3681740 ) ( 233790 3729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 3689740 ) ( 465790 3689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 3667000 ) ( 457790 3697740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 3675000 ) ( 465800 3675000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3710000 ) ( 241790 3710000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3681740 ) ( 233790 3718000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3689740 ) ( 433790 3689740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 3667000 ) ( 425790 3697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 3675000 ) ( 465800 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2930000 ) ( 5825000 2961740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2953740 ) ( 5833000 2953740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2945740 ) ( 5545790 2993740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2985740 ) ( 5553790 2985740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 2977740 ) ( 5257790 3043000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3035000 ) ( 5265800 3035000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2940000 ) ( 5825000 2940000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2932000 ) ( 5769790 2961740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 2953740 ) ( 5777790 2953740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 2945740 ) ( 5737790 2993740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2985740 ) ( 5745790 2985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2977740 ) ( 5545790 3025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 3017740 ) ( 5553790 3017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 3009740 ) ( 5321790 3043000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 3035000 ) ( 5329790 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3809740 ) ( 175000 3840000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3817740 ) ( 305790 3817740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3830000 ) ( 241790 3830000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3809740 ) ( 233790 3838000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3817740 ) ( 305790 3817740 ) NEW metal9 16000 + SHAPE IOWIRE ( 297790 3745740 ) ( 297790 3825740 ) NEW metal9 16000 + SHAPE IOWIRE ( 289790 3753740 ) ( 561790 3753740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 3713740 ) ( 553790 3761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 3721740 ) ( 1169790 3721740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 3681740 ) ( 1161790 3729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 3689740 ) ( 1425790 3689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 3667000 ) ( 1417790 3697740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 3675000 ) ( 1425800 3675000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 3689740 ) ( 1393790 3689740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 3667000 ) ( 1385790 3697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 3675000 ) ( 1425800 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3105740 ) ( 5825000 3130000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5185790 3113740 ) ( 5833000 3113740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3120000 ) ( 5825000 3120000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3105740 ) ( 5769790 3128000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5185790 3113740 ) ( 5777790 3113740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 3073740 ) ( 5193790 3121740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 3081740 ) ( 5201790 3081740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 3041740 ) ( 4585790 3089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 3049740 ) ( 4593790 3049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 3034200 ) ( 4305000 3057740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 3049740 ) ( 4593790 3049740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 3027000 ) ( 4361790 3057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 3035000 ) ( 4369790 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3640000 ) ( 175000 3665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3657740 ) ( 241790 3657740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3617740 ) ( 233790 3665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 3625740 ) ( 1105790 3625740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 3617740 ) ( 1097790 3683000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 3675000 ) ( 1105800 3675000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3650000 ) ( 241790 3650000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3617740 ) ( 233790 3658000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3625740 ) ( 849790 3625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 3617740 ) ( 841790 3665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 3657740 ) ( 1073790 3657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 3649740 ) ( 1065790 3683000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 3675000 ) ( 1105800 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3190000 ) ( 5825000 3217740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3209740 ) ( 5833000 3209740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3201740 ) ( 5769790 3249740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3200000 ) ( 5825000 3200000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3192000 ) ( 5769790 3249740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5729790 3241740 ) ( 5777790 3241740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5737790 3233740 ) ( 5737790 3281740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3273740 ) ( 5745790 3273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 3265740 ) ( 5225790 3313740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3305740 ) ( 5233790 3305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 3297740 ) ( 4617790 3363000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3355000 ) ( 4625800 3355000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 3273740 ) ( 5745790 3273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 3265740 ) ( 5513790 3313740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 3305740 ) ( 5521790 3305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 3297740 ) ( 4905790 3345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 3337740 ) ( 4913790 3337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 3329740 ) ( 4681790 3363000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 3355000 ) ( 4689790 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4020000 5825000 ) ( 4049790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4041790 5601740 ) ( 4041790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977000 5609740 ) ( 4049790 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3985000 5594200 ) ( 3985000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4030000 5761740 ) ( 4030000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4001790 5769740 ) ( 4038000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4009790 5633740 ) ( 4009790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977000 5641740 ) ( 4017790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 5594200 ) ( 3985000 5649740 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4080000 5825000 ) ( 4113790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4105790 5761740 ) ( 4105790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4065790 5769740 ) ( 4113790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4073790 5569740 ) ( 4073790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4033790 5577740 ) ( 4081790 5577740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4041790 5281740 ) ( 4041790 5585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977000 5289740 ) ( 4049790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3985000 5274200 ) ( 3985000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4090000 5761740 ) ( 4090000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4065790 5769740 ) ( 4098000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4073790 5729740 ) ( 4073790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4033790 5737740 ) ( 4081790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4041790 5537740 ) ( 4041790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4001790 5545740 ) ( 4049790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4009790 5313740 ) ( 4009790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977000 5321740 ) ( 4017790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 5274200 ) ( 3985000 5329740 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4240000 5825000 ) ( 4273790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4265790 5217740 ) ( 4265790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4250000 5761740 ) ( 4250000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4242000 5769740 ) ( 4273790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4265790 5217740 ) ( 4265790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4257790 5225740 ) ( 4305790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 4961740 ) ( 4297790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 4969740 ) ( 4313000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 4954200 ) ( 4305000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4297790 4993740 ) ( 4297790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 5001740 ) ( 4313000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 4954200 ) ( 4305000 5009740 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 5825000 ) ( 4310000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297790 5601740 ) ( 4297790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4289790 5609740 ) ( 4313000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 5594200 ) ( 4305000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4300000 5761740 ) ( 4300000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 5769740 ) ( 4308000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297790 5633740 ) ( 4297790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4289790 5641740 ) ( 4313000 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 5594200 ) ( 4305000 5649740 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4410000 5825000 ) ( 4410000 5841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4353790 5833740 ) ( 4418000 5833740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4361790 5281740 ) ( 4361790 5841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 5289740 ) ( 4369790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 5274200 ) ( 4305000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 5825000 ) ( 4420000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 5537740 ) ( 4361790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4321790 5545740 ) ( 4369790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4329790 5313740 ) ( 4329790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297000 5321740 ) ( 4337790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 5274200 ) ( 4305000 5329740 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5025790 5825000 ) ( 5050000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5033790 5761740 ) ( 5033790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4993790 5769740 ) ( 5041790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5001790 5281740 ) ( 5001790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 5289740 ) ( 5009790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 5274200 ) ( 4945000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5040000 5761740 ) ( 5040000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5025790 5769740 ) ( 5048000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5033790 5729740 ) ( 5033790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 5737740 ) ( 5041790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 5537740 ) ( 5001790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4961790 5545740 ) ( 5009790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4969790 5313740 ) ( 4969790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4937000 5321740 ) ( 4977790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4945000 5274200 ) ( 4945000 5329740 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5281790 5825000 ) ( 5310000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5289790 5761740 ) ( 5289790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 5769740 ) ( 5297790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 5601740 ) ( 5257790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 5609740 ) ( 5273000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5265000 5594200 ) ( 5265000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5300000 5761740 ) ( 5300000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5281790 5769740 ) ( 5308000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5289790 5633740 ) ( 5289790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5257000 5641740 ) ( 5297790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5265000 5594200 ) ( 5265000 5649740 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 5217740 ) ( 5825000 5250000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 5225740 ) ( 5833000 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 5217740 ) ( 5577790 5283000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 5275000 ) ( 5585800 5275000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 5240000 ) ( 5825000 5240000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 5232000 ) ( 5769790 5265740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 5257740 ) ( 5777790 5257740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 5249740 ) ( 5641790 5283000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 5275000 ) ( 5649790 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4920000 ) ( 5825000 4945740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4937740 ) ( 5833000 4937740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4929740 ) ( 5769790 4977740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577000 4969740 ) ( 5777790 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5585000 4954200 ) ( 5585000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4930000 ) ( 5825000 4930000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4922000 ) ( 5769790 4945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 4937740 ) ( 5777790 4937740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 4929740 ) ( 5641790 4963000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 4955000 ) ( 5649790 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4449790 5825000 ) ( 4480000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4457790 5729740 ) ( 4457790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4385790 5737740 ) ( 4465790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4393790 5249740 ) ( 4393790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4353790 5257740 ) ( 4401790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4361790 4641740 ) ( 4361790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 4649740 ) ( 4369790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 4634200 ) ( 4305000 4657740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4470000 5761740 ) ( 4470000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4385790 5769740 ) ( 4478000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4393790 5505740 ) ( 4393790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 5513740 ) ( 4401790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 4897740 ) ( 4361790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4321790 4905740 ) ( 4369790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4329790 4673740 ) ( 4329790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4297000 4681740 ) ( 4337790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4305000 4634200 ) ( 4305000 4689740 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4705790 5825000 ) ( 4730000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4713790 5761740 ) ( 4713790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4673790 5769740 ) ( 4721790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4681790 5281740 ) ( 4681790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617000 5289740 ) ( 4689790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4625000 5274200 ) ( 4625000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4720000 5761740 ) ( 4720000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4705790 5769740 ) ( 4728000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4713790 5729740 ) ( 4713790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 5737740 ) ( 4721790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 5537740 ) ( 4681790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4641790 5545740 ) ( 4689790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4649790 5313740 ) ( 4649790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4617000 5321740 ) ( 4657790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 5274200 ) ( 4625000 5329740 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4769790 5825000 ) ( 4790000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4777790 5729740 ) ( 4777790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4705790 5737740 ) ( 4785790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4713790 5249740 ) ( 4713790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4673790 5257740 ) ( 4721790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4681790 4641740 ) ( 4681790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617000 4649740 ) ( 4689790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4625000 4634200 ) ( 4625000 4657740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4780000 5761740 ) ( 4780000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4737790 5769740 ) ( 4788000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4745790 5697740 ) ( 4745790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4705790 5705740 ) ( 4753790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4713790 5505740 ) ( 4713790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 5513740 ) ( 4721790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 4897740 ) ( 4681790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4641790 4905740 ) ( 4689790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4649790 4673740 ) ( 4649790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4617000 4681740 ) ( 4657790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 4634200 ) ( 4625000 4689740 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4850000 ) ( 5825000 4881740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4873740 ) ( 5833000 4873740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4865740 ) ( 5769790 4913740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 4905740 ) ( 5777790 4905740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 4897740 ) ( 5257790 4963000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 4955000 ) ( 5265800 4955000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4860000 ) ( 5825000 4860000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4852000 ) ( 5769790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 4905740 ) ( 5777790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 4897740 ) ( 5545790 4945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 4937740 ) ( 5553790 4937740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 4929740 ) ( 5321790 4963000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 4955000 ) ( 5329790 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4530000 ) ( 5825000 4561740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 4553740 ) ( 5833000 4553740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 4545740 ) ( 5545790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 4585740 ) ( 5553790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 4577740 ) ( 5257790 4643000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 4635000 ) ( 5265800 4635000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4540000 ) ( 5825000 4540000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4532000 ) ( 5769790 4561740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 4553740 ) ( 5777790 4553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 4545740 ) ( 5737790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 4585740 ) ( 5745790 4585740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 4577740 ) ( 5545790 4625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 4617740 ) ( 5553790 4617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 4609740 ) ( 5321790 4643000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 4635000 ) ( 5329790 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4210000 ) ( 5825000 4241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 4233740 ) ( 5833000 4233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 4225740 ) ( 5545790 4273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 4265740 ) ( 5553790 4265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 4257740 ) ( 5257790 4323000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 4315000 ) ( 5265800 4315000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4220000 ) ( 5825000 4220000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4212000 ) ( 5769790 4241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 4233740 ) ( 5777790 4233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 4225740 ) ( 5737790 4273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 4265740 ) ( 5745790 4265740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 4257740 ) ( 5545790 4305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 4297740 ) ( 5553790 4297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 4289740 ) ( 5321790 4323000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 4315000 ) ( 5329790 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4150000 ) ( 5825000 4177740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4169740 ) ( 5833000 4169740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4161740 ) ( 5769790 4209740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 4201740 ) ( 5777790 4201740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 4193740 ) ( 5513790 4241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 4233740 ) ( 5521790 4233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 4225740 ) ( 5225790 4273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 4265740 ) ( 5233790 4265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 4257740 ) ( 4617790 4323000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 4315000 ) ( 4625800 4315000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4160000 ) ( 5825000 4160000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4152000 ) ( 5769790 4177740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 4169740 ) ( 5777790 4169740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 4161740 ) ( 5705790 4241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 4233740 ) ( 5713790 4233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 4225740 ) ( 5513790 4273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 4265740 ) ( 5521790 4265740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 4257740 ) ( 4905790 4305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 4297740 ) ( 4913790 4297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 4289740 ) ( 4681790 4323000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 4315000 ) ( 4689790 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4065740 ) ( 5825000 4090000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5185790 4073740 ) ( 5833000 4073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4080000 ) ( 5825000 4080000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4065740 ) ( 5769790 4088000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5185790 4073740 ) ( 5777790 4073740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 4033740 ) ( 5193790 4081740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 4041740 ) ( 5201790 4041740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 4001740 ) ( 4585790 4049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 4009740 ) ( 4593790 4009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 3994200 ) ( 4305000 4017740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 4009740 ) ( 4593790 4009740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 3987000 ) ( 4361790 4017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 3995000 ) ( 4369790 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3681740 ) ( 5825000 3710000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3689740 ) ( 5833000 3689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3681740 ) ( 5769790 3729740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3700000 ) ( 5825000 3700000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3692000 ) ( 5769790 3729740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3721740 ) ( 5777790 3721740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 3681740 ) ( 5225790 3729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 3689740 ) ( 5233790 3689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 3674200 ) ( 4945000 3697740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 3689740 ) ( 5233790 3689740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 3667000 ) ( 5001790 3697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 3675000 ) ( 5009790 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3617740 ) ( 5825000 3650000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 3625740 ) ( 5833000 3625740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 3617740 ) ( 5577790 3683000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 3675000 ) ( 5585800 3675000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3640000 ) ( 5825000 3640000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3632000 ) ( 5769790 3665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 3657740 ) ( 5777790 3657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 3649740 ) ( 5641790 3683000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 3675000 ) ( 5649790 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3440000 ) ( 5825000 3473740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3465740 ) ( 5833000 3465740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3425740 ) ( 5769790 3473740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3450000 ) ( 5825000 3450000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3425740 ) ( 5769790 3458000 ) NEW metal9 16000 + SHAPE IOWIRE ( 5185790 3433740 ) ( 5777790 3433740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 3393740 ) ( 5193790 3441740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 3401740 ) ( 5201790 3401740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 3361740 ) ( 4585790 3409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 3369740 ) ( 4593790 3369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 3354200 ) ( 4305000 3377740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 3369740 ) ( 4593790 3369740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 3347000 ) ( 4361790 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 3355000 ) ( 4369790 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3260000 ) ( 5825000 3281740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3273740 ) ( 5833000 3273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3265740 ) ( 5769790 3313740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3305740 ) ( 5777790 3305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 3297740 ) ( 5257790 3363000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 3355000 ) ( 5265800 3355000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3270000 ) ( 5825000 3270000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3262000 ) ( 5769790 3313740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 3305740 ) ( 5777790 3305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 3297740 ) ( 5545790 3345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 3337740 ) ( 5553790 3337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 3329740 ) ( 5321790 3363000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 3355000 ) ( 5329790 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 4001740 ) ( 5825000 4030000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4009740 ) ( 5833000 4009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4001740 ) ( 5769790 4049740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 4020000 ) ( 5825000 4020000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 4012000 ) ( 5769790 4049740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 4041740 ) ( 5777790 4041740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 4001740 ) ( 5225790 4049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 4009740 ) ( 5233790 4009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 3994200 ) ( 4945000 4017740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 4009740 ) ( 5233790 4009740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 3987000 ) ( 5001790 4017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 3995000 ) ( 5009790 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3830000 ) ( 5825000 3857740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3849740 ) ( 5833000 3849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3841740 ) ( 5769790 3889740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 3881740 ) ( 5777790 3881740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 3873740 ) ( 5513790 3921740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 3913740 ) ( 5521790 3913740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 3905740 ) ( 5225790 3953740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3945740 ) ( 5233790 3945740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 3937740 ) ( 4617790 4003000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3995000 ) ( 4625800 3995000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3840000 ) ( 5825000 3840000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3832000 ) ( 5769790 3857740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 3849740 ) ( 5777790 3849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 3841740 ) ( 5705790 3921740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 3913740 ) ( 5713790 3913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 3905740 ) ( 5513790 3953740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 3945740 ) ( 5521790 3945740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 3937740 ) ( 4905790 3985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 3977740 ) ( 4913790 3977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 3969740 ) ( 4681790 4003000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 3995000 ) ( 4689790 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 3760000 ) ( 5825000 3793740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3785740 ) ( 5833000 3785740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3745740 ) ( 5769790 3793740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 3770000 ) ( 5825000 3770000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 3745740 ) ( 5769790 3778000 ) NEW metal9 16000 + SHAPE IOWIRE ( 5185790 3753740 ) ( 5777790 3753740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 3713740 ) ( 5193790 3761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 3721740 ) ( 5201790 3721740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 3681740 ) ( 4585790 3729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 3689740 ) ( 4593790 3689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 3674200 ) ( 4305000 3697740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 3689740 ) ( 4593790 3689740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 3667000 ) ( 4361790 3697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 3675000 ) ( 4369790 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2849790 5825000 ) ( 2870000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2857790 5729740 ) ( 2857790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2785790 5737740 ) ( 2865790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2793790 5249740 ) ( 2793790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2753790 5257740 ) ( 2801790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2761790 4641740 ) ( 2761790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697000 4649740 ) ( 2769790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2705000 4634200 ) ( 2705000 4657740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2860000 5761740 ) ( 2860000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2817790 5769740 ) ( 2868000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2825790 5697740 ) ( 2825790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2785790 5705740 ) ( 2833790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2793790 5505740 ) ( 2793790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2753790 5513740 ) ( 2801790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2761790 4897740 ) ( 2761790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2721790 4905740 ) ( 2769790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2729790 4673740 ) ( 2729790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697000 4681740 ) ( 2737790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 4634200 ) ( 2705000 4689740 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2930000 5825000 ) ( 2961790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2953790 5185740 ) ( 2953790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2940000 5761740 ) ( 2940000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2932000 5769740 ) ( 2961790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2953790 5185740 ) ( 2953790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2945790 5193740 ) ( 2993790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2985790 4577740 ) ( 2985790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2977790 4585740 ) ( 3025790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 4321740 ) ( 3017790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 4329740 ) ( 3033000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3025000 4314200 ) ( 3025000 4337740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3017790 4353740 ) ( 3017790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3009790 4361740 ) ( 3033000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 4314200 ) ( 3025000 4369740 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3060000 5825000 ) ( 3089790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3081790 5601740 ) ( 3081790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017000 5609740 ) ( 3089790 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3025000 5594200 ) ( 3025000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3070000 5761740 ) ( 3070000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3041790 5769740 ) ( 3078000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3049790 5633740 ) ( 3049790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017000 5641740 ) ( 3057790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 5594200 ) ( 3025000 5649740 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 5825000 ) ( 3350000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 5761740 ) ( 3337790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3297790 5769740 ) ( 3345790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3340000 5825000 ) ( 3340000 5873740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3233790 5865740 ) ( 3348000 5865740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3241790 5761740 ) ( 3241790 5873740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3233790 5769740 ) ( 3313790 5769740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3305790 5217740 ) ( 3305790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3297790 5225740 ) ( 3345790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 4961740 ) ( 3337790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 4969740 ) ( 3353000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3345000 4954200 ) ( 3345000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3337790 4993740 ) ( 3337790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3329790 5001740 ) ( 3353000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 4954200 ) ( 3345000 5009740 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3169790 5825000 ) ( 3200000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3177790 5729740 ) ( 3177790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3137790 5737740 ) ( 3185790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3145790 5537740 ) ( 3145790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3105790 5545740 ) ( 3153790 5545740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3113790 5249740 ) ( 3113790 5553740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3073790 5257740 ) ( 3121790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3081790 4641740 ) ( 3081790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017000 4649740 ) ( 3089790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3025000 4634200 ) ( 3025000 4657740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3190000 5761740 ) ( 3190000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3169790 5769740 ) ( 3198000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3177790 5697740 ) ( 3177790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3105790 5705740 ) ( 3185790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3113790 5505740 ) ( 3113790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3073790 5513740 ) ( 3121790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3081790 4897740 ) ( 3081790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3041790 4905740 ) ( 3089790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3049790 4673740 ) ( 3049790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017000 4681740 ) ( 3057790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 4634200 ) ( 3025000 4689740 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4001740 ) ( 175000 4030000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4009740 ) ( 465790 4009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 3987000 ) ( 457790 4017740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 3995000 ) ( 465800 3995000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4020000 ) ( 241790 4020000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4001740 ) ( 233790 4028000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4009740 ) ( 433790 4009740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 3987000 ) ( 425790 4017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 3995000 ) ( 465800 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4065740 ) ( 175000 4090000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4073740 ) ( 241790 4073740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4033740 ) ( 233790 4081740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 4041740 ) ( 529790 4041740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4080000 ) ( 241790 4080000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4065740 ) ( 233790 4088000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4073740 ) ( 273790 4073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 4033740 ) ( 265790 4081740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 4041740 ) ( 529790 4041740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 4001740 ) ( 521790 4049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 4009740 ) ( 785790 4009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 3987000 ) ( 777790 4017740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 3995000 ) ( 785800 3995000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 513790 4009740 ) ( 753790 4009740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 3987000 ) ( 745790 4017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 3995000 ) ( 785800 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 3995000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4129740 ) ( 175000 4160000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4137740 ) ( 241790 4137740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4097740 ) ( 233790 4145740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 4105740 ) ( 273790 4105740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 265790 4065740 ) ( 265790 4113740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 257790 4073740 ) ( 561790 4073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4150000 ) ( 241790 4150000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4097740 ) ( 233790 4158000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4105740 ) ( 305790 4105740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 297790 4065740 ) ( 297790 4113740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 289790 4073740 ) ( 561790 4073740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 4033740 ) ( 553790 4081740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 4041740 ) ( 1169790 4041740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 4001740 ) ( 1161790 4049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 4009740 ) ( 1425790 4009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 3987000 ) ( 1417790 4017740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 3995000 ) ( 1425800 3995000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 4009740 ) ( 1393790 4009740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 3987000 ) ( 1385790 4017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 3995000 ) ( 1425800 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4210000 ) ( 175000 4241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4233740 ) ( 1137790 4233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 4225740 ) ( 1129790 4273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 4265740 ) ( 1745790 4265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 4257740 ) ( 1737790 4323000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 4315000 ) ( 1745800 4315000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4220000 ) ( 241790 4220000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4212000 ) ( 233790 4241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4233740 ) ( 881790 4233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 4225740 ) ( 873790 4273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 4265740 ) ( 1489790 4265740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 4257740 ) ( 1481790 4305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 4297740 ) ( 1713790 4297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 4289740 ) ( 1705790 4323000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 4315000 ) ( 1745800 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4385740 ) ( 175000 4410000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4393740 ) ( 241790 4393740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4353740 ) ( 233790 4401740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 4361740 ) ( 529790 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4400000 ) ( 241790 4400000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4385740 ) ( 233790 4408000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4393740 ) ( 273790 4393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 4353740 ) ( 265790 4401740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 4361740 ) ( 529790 4361740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 4321740 ) ( 521790 4369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 4329740 ) ( 785790 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 4307000 ) ( 777790 4337740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 4315000 ) ( 785800 4315000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 513790 4329740 ) ( 753790 4329740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 4307000 ) ( 745790 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 4315000 ) ( 785800 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 5025740 ) ( 175000 5050000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 5033740 ) ( 241790 5033740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4993740 ) ( 233790 5041740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 5001740 ) ( 529790 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 5040000 ) ( 241790 5040000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 5025740 ) ( 233790 5048000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 5033740 ) ( 273790 5033740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 4993740 ) ( 265790 5041740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 5001740 ) ( 529790 5001740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 4961740 ) ( 521790 5009740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 4969740 ) ( 785790 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 4947000 ) ( 777790 4977740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 4955000 ) ( 785800 4955000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 513790 4969740 ) ( 753790 4969740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 4947000 ) ( 745790 4977740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 4955000 ) ( 785800 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 5281740 ) ( 175000 5310000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 5289740 ) ( 465790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 5267000 ) ( 457790 5297740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 5275000 ) ( 465800 5275000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 5300000 ) ( 241790 5300000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 5281740 ) ( 233790 5308000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 5289740 ) ( 433790 5289740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 5267000 ) ( 425790 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 5275000 ) ( 465800 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 5360000 ) ( 175000 5393740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 5385740 ) ( 241790 5385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 5313740 ) ( 233790 5393740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 5321740 ) ( 529790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 5370000 ) ( 241790 5370000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 5345740 ) ( 233790 5378000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 5353740 ) ( 273790 5353740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 5313740 ) ( 265790 5361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 5321740 ) ( 529790 5321740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 5281740 ) ( 521790 5329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 5289740 ) ( 785790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 5267000 ) ( 777790 5297740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 5275000 ) ( 785800 5275000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 513790 5289740 ) ( 753790 5289740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 5267000 ) ( 745790 5297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 5275000 ) ( 785800 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 5601740 ) ( 175000 5630000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 5609740 ) ( 465790 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 5587000 ) ( 457790 5617740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 5595000 ) ( 465800 5595000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 5620000 ) ( 241790 5620000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 5601740 ) ( 233790 5628000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 5609740 ) ( 433790 5609740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 5587000 ) ( 425790 5617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 5595000 ) ( 465800 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4449740 ) ( 175000 4470000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4457740 ) ( 241790 4457740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4417740 ) ( 233790 4465740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 4425740 ) ( 273790 4425740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 265790 4385740 ) ( 265790 4433740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 257790 4393740 ) ( 561790 4393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4460000 ) ( 241790 4460000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4417740 ) ( 233790 4468000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4425740 ) ( 305790 4425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 297790 4385740 ) ( 297790 4433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 289790 4393740 ) ( 561790 4393740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 4353740 ) ( 553790 4401740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 4361740 ) ( 1169790 4361740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 4321740 ) ( 1161790 4369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 4329740 ) ( 1425790 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 4307000 ) ( 1417790 4337740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 4315000 ) ( 1425800 4315000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 4329740 ) ( 1393790 4329740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 4307000 ) ( 1385790 4337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 4315000 ) ( 1425800 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4609740 ) ( 175000 4630000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4617740 ) ( 241790 4617740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4577740 ) ( 233790 4625740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 4585740 ) ( 1105790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 4577740 ) ( 1097790 4643000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 4635000 ) ( 1105800 4635000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4577740 ) ( 175000 4630000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 167000 4585740 ) ( 849790 4585740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 4577740 ) ( 841790 4625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 4617740 ) ( 1073790 4617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 4609740 ) ( 1065790 4643000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 4635000 ) ( 1105800 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 4670000 ) ( 175000 4690000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 4681740 ) ( 241790 4681740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 4641740 ) ( 233790 4689740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 4649740 ) ( 465790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 4627000 ) ( 457790 4657740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 4635000 ) ( 465800 4635000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 4680000 ) ( 241790 4680000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 4641740 ) ( 233790 4688000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 4649740 ) ( 433790 4649740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 4627000 ) ( 425790 4657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 4635000 ) ( 465800 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1185790 5825000 ) ( 1210000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1193790 5761740 ) ( 1193790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 5769740 ) ( 1201790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1161790 5281740 ) ( 1161790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097000 5289740 ) ( 1169790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1105000 5274200 ) ( 1105000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1200000 5761740 ) ( 1200000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1185790 5769740 ) ( 1208000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1193790 5729740 ) ( 1193790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 5737740 ) ( 1201790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1161790 5537740 ) ( 1161790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1121790 5545740 ) ( 1169790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1129790 5313740 ) ( 1129790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1097000 5321740 ) ( 1137790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1105000 5274200 ) ( 1105000 5329740 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1377790 5825000 ) ( 1410000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1385790 5217740 ) ( 1385790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1400000 5761740 ) ( 1400000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 5769740 ) ( 1408000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 5217740 ) ( 1385790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1377790 5225740 ) ( 1425790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 4961740 ) ( 1417790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 4969740 ) ( 1433000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1425000 4954200 ) ( 1425000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1417790 4993740 ) ( 1417790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1409790 5001740 ) ( 1433000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 4954200 ) ( 1425000 5009740 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1460000 5825000 ) ( 1489790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1481790 5601740 ) ( 1481790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417000 5609740 ) ( 1489790 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1425000 5594200 ) ( 1425000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1470000 5761740 ) ( 1470000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1441790 5769740 ) ( 1478000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1449790 5633740 ) ( 1449790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1417000 5641740 ) ( 1457790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 5594200 ) ( 1425000 5649740 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1761790 5825000 ) ( 1790000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1769790 5761740 ) ( 1769790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 5769740 ) ( 1777790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 5601740 ) ( 1737790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 5609740 ) ( 1753000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1745000 5594200 ) ( 1745000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1780000 5761740 ) ( 1780000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1761790 5769740 ) ( 1788000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1769790 5633740 ) ( 1769790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737000 5641740 ) ( 1777790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 5594200 ) ( 1745000 5649740 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1970000 5825000 ) ( 2001790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1993790 5185740 ) ( 1993790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1980000 5761740 ) ( 1980000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1972000 5769740 ) ( 2001790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1993790 5185740 ) ( 1993790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1985790 5193740 ) ( 2033790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2025790 4577740 ) ( 2025790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2017790 4585740 ) ( 2065790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 4321740 ) ( 2057790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 4329740 ) ( 2073000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2065000 4314200 ) ( 2065000 4337740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2057790 4353740 ) ( 2057790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2049790 4361740 ) ( 2073000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 4314200 ) ( 2065000 4369740 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2337790 5825000 ) ( 2370000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2345790 5217740 ) ( 2345790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2360000 5761740 ) ( 2360000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2337790 5769740 ) ( 2368000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2345790 5217740 ) ( 2345790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2337790 5225740 ) ( 2385790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 4961740 ) ( 2377790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 4969740 ) ( 2393000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2385000 4954200 ) ( 2385000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2377790 4993740 ) ( 2377790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2369790 5001740 ) ( 2393000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 4954200 ) ( 2385000 5009740 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2401790 5825000 ) ( 2430000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2409790 5761740 ) ( 2409790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 5769740 ) ( 2417790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 5601740 ) ( 2377790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 5609740 ) ( 2393000 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2385000 5594200 ) ( 2385000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2420000 5761740 ) ( 2420000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2401790 5769740 ) ( 2428000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2409790 5633740 ) ( 2409790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377000 5641740 ) ( 2417790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 5594200 ) ( 2385000 5649740 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2480000 5825000 ) ( 2513790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2505790 5729740 ) ( 2505790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2433790 5737740 ) ( 2513790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2441790 5281740 ) ( 2441790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377000 5289740 ) ( 2449790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2385000 5274200 ) ( 2385000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2490000 5761740 ) ( 2490000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2465790 5769740 ) ( 2498000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2473790 5729740 ) ( 2473790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2433790 5737740 ) ( 2481790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2441790 5537740 ) ( 2441790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2401790 5545740 ) ( 2449790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2409790 5313740 ) ( 2409790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377000 5321740 ) ( 2417790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 5274200 ) ( 2385000 5329740 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2529790 5825000 ) ( 2560000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2537790 5665740 ) ( 2537790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2465790 5673740 ) ( 2545790 5673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2473790 5249740 ) ( 2473790 5681740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2433790 5257740 ) ( 2481790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2441790 4641740 ) ( 2441790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377000 4649740 ) ( 2449790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2385000 4634200 ) ( 2385000 4657740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2550000 5761740 ) ( 2550000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2529790 5769740 ) ( 2558000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2537790 5697740 ) ( 2537790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2465790 5705740 ) ( 2545790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2473790 5505740 ) ( 2473790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2433790 5513740 ) ( 2481790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2441790 4897740 ) ( 2441790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2401790 4905740 ) ( 2449790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2409790 4673740 ) ( 2409790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377000 4681740 ) ( 2417790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 4634200 ) ( 2385000 4689740 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2017790 5825000 ) ( 2050000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2025790 5217740 ) ( 2025790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2040000 5761740 ) ( 2040000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2017790 5769740 ) ( 2048000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2025790 5217740 ) ( 2025790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2017790 5225740 ) ( 2065790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 4961740 ) ( 2057790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 4969740 ) ( 2073000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2065000 4954200 ) ( 2065000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2057790 4993740 ) ( 2057790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2049790 5001740 ) ( 2073000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 4954200 ) ( 2065000 5009740 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 5595000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2100000 5825000 ) ( 2129790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2121790 5601740 ) ( 2121790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057000 5609740 ) ( 2129790 5609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2065000 5594200 ) ( 2065000 5617740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2110000 5761740 ) ( 2110000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2081790 5769740 ) ( 2118000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2089790 5633740 ) ( 2089790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057000 5641740 ) ( 2097790 5641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 5594200 ) ( 2065000 5649740 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2160000 5825000 ) ( 2193790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2185790 5761740 ) ( 2185790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2145790 5769740 ) ( 2193790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2153790 5569740 ) ( 2153790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2113790 5577740 ) ( 2161790 5577740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2121790 5281740 ) ( 2121790 5585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057000 5289740 ) ( 2129790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2065000 5274200 ) ( 2065000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2170000 5761740 ) ( 2170000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2145790 5769740 ) ( 2178000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2153790 5729740 ) ( 2153790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2113790 5737740 ) ( 2161790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2121790 5537740 ) ( 2121790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2081790 5545740 ) ( 2129790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2089790 5313740 ) ( 2089790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057000 5321740 ) ( 2097790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 5274200 ) ( 2065000 5329740 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 4955000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3937790 5825000 ) ( 3970000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3945790 5217740 ) ( 3945790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3960000 5761740 ) ( 3960000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3937790 5769740 ) ( 3968000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3945790 5217740 ) ( 3945790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3937790 5225740 ) ( 3985790 5225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 4961740 ) ( 3977790 5233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 4969740 ) ( 3993000 4969740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4954200 ) ( 3985000 4977740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3977790 4993740 ) ( 3977790 5233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3969790 5001740 ) ( 3993000 5001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 4954200 ) ( 3985000 5009740 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3297790 175000 ) ( 3330000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3305790 167000 ) ( 3305790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3297790 233740 ) ( 3345790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337790 225740 ) ( 3337790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3329790 475000 ) ( 3345800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3312000 233740 ) ( 3345790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337790 225740 ) ( 3337790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3329790 425740 ) ( 3353000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 417740 ) ( 3345000 475800 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2145790 175000 ) ( 2170000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2153790 167000 ) ( 2153790 881740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2145790 233740 ) ( 2168000 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2153790 225740 ) ( 2153790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2113790 873740 ) ( 2161790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2121790 865740 ) ( 2121790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 1481740 ) ( 2129790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 1473740 ) ( 2057790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 1755000 ) ( 2065800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2081790 1481740 ) ( 2129790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2089790 1473740 ) ( 2089790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057000 1705740 ) ( 2097790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 1697740 ) ( 2065000 1755800 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2081790 175000 ) ( 2110000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2089790 167000 ) ( 2089790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2081790 233740 ) ( 2129790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2100000 175000 ) ( 2100000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2092000 233740 ) ( 2129790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2121790 225740 ) ( 2121790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 841740 ) ( 2129790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 833740 ) ( 2057790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 1115000 ) ( 2065800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2081790 841740 ) ( 2129790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2089790 833740 ) ( 2089790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2057000 1065740 ) ( 2097790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 1057740 ) ( 2065000 1115800 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2065000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1910000 175000 ) ( 1937790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1929790 167000 ) ( 1929790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1921790 233740 ) ( 1969790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1961790 225740 ) ( 1961790 305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1953790 297740 ) ( 2001790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1912000 233740 ) ( 1937790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1929790 225740 ) ( 1929790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1921790 297740 ) ( 2001790 297740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1993790 289740 ) ( 1993790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1985790 553740 ) ( 2033790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2025790 545740 ) ( 2025790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2017790 1161740 ) ( 2065790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2057790 1153740 ) ( 2057790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2049790 1435000 ) ( 2065800 1435000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2057790 1153740 ) ( 2057790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2049790 1385740 ) ( 2073000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2065000 1377740 ) ( 2065000 1435800 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1840000 175000 ) ( 1873790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1865790 167000 ) ( 1865790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1825790 233740 ) ( 1873790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1825790 233740 ) ( 1858000 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1833790 225740 ) ( 1833790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1793790 873740 ) ( 1841790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1801790 865740 ) ( 1801790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 1481740 ) ( 1809790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 1473740 ) ( 1737790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 1755000 ) ( 1745800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1761790 1481740 ) ( 1809790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1769790 1473740 ) ( 1769790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737000 1705740 ) ( 1777790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 1697740 ) ( 1745000 1755800 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1761790 175000 ) ( 1790000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1769790 167000 ) ( 1769790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1761790 233740 ) ( 1809790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1772000 233740 ) ( 1809790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1801790 225740 ) ( 1801790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 841740 ) ( 1809790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 833740 ) ( 1737790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 1115000 ) ( 1745800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1761790 841740 ) ( 1809790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1769790 833740 ) ( 1769790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737000 1065740 ) ( 1777790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 1057740 ) ( 1745000 1115800 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1697790 175000 ) ( 1730000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1705790 167000 ) ( 1705790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1697790 233740 ) ( 1745790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 225740 ) ( 1737790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 475000 ) ( 1745800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1712000 233740 ) ( 1745790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1737790 225740 ) ( 1737790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1729790 425740 ) ( 1753000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1745000 417740 ) ( 1745000 475800 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3120000 175000 ) ( 3153790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3145790 167000 ) ( 3145790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3105790 233740 ) ( 3153790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3105790 233740 ) ( 3138000 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3113790 225740 ) ( 3113790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3073790 873740 ) ( 3121790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3081790 865740 ) ( 3081790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 1481740 ) ( 3089790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 1473740 ) ( 3017790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 1755000 ) ( 3025800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3041790 1481740 ) ( 3089790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3049790 1473740 ) ( 3049790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017000 1705740 ) ( 3057790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 1697740 ) ( 3025000 1755800 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3041790 175000 ) ( 3070000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3049790 167000 ) ( 3049790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3041790 233740 ) ( 3089790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3052000 233740 ) ( 3089790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3081790 225740 ) ( 3081790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 841740 ) ( 3089790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 833740 ) ( 3017790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 1115000 ) ( 3025800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3041790 841740 ) ( 3089790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3049790 833740 ) ( 3049790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017000 1065740 ) ( 3057790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 1057740 ) ( 3025000 1115800 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3025000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2977790 175000 ) ( 3010000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2985790 167000 ) ( 2985790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2977790 233740 ) ( 3025790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3017790 225740 ) ( 3017790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3009790 475000 ) ( 3025800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2992000 233740 ) ( 3025790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3017790 225740 ) ( 3017790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3009790 425740 ) ( 3033000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3025000 417740 ) ( 3025000 475800 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2800000 175000 ) ( 2833790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2825790 167000 ) ( 2825790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2785790 233740 ) ( 2833790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2785790 233740 ) ( 2818000 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2793790 225740 ) ( 2793790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2753790 873740 ) ( 2801790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2761790 865740 ) ( 2761790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 1481740 ) ( 2769790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 1473740 ) ( 2697790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 1755000 ) ( 2705800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2721790 1481740 ) ( 2769790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2729790 1473740 ) ( 2729790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697000 1705740 ) ( 2737790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 1697740 ) ( 2705000 1755800 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2740000 175000 ) ( 2769790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2761790 167000 ) ( 2761790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 841740 ) ( 2769790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 833740 ) ( 2697790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 1115000 ) ( 2705800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2742000 233740 ) ( 2769790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2761790 225740 ) ( 2761790 849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2721790 841740 ) ( 2769790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2729790 833740 ) ( 2729790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697000 1065740 ) ( 2737790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 1057740 ) ( 2705000 1115800 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2657790 175000 ) ( 2690000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2665790 167000 ) ( 2665790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2657790 233740 ) ( 2705790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 225740 ) ( 2697790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 475000 ) ( 2705800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2672000 233740 ) ( 2705790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2697790 225740 ) ( 2697790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2689790 425740 ) ( 2713000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 417740 ) ( 2705000 475800 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2705000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2610000 175000 ) ( 2641790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2633790 167000 ) ( 2633790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2612000 233740 ) ( 2641790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2633790 225740 ) ( 2633790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2625790 265740 ) ( 2673790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2665790 257740 ) ( 2665790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 2657790 521740 ) ( 2705790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2697790 513740 ) ( 2697790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2689790 795000 ) ( 2705800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2697790 513740 ) ( 2697790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2689790 745740 ) ( 2713000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2705000 737740 ) ( 2705000 795800 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2420000 175000 ) ( 2449790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2441790 167000 ) ( 2441790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 841740 ) ( 2449790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 833740 ) ( 2377790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 1115000 ) ( 2385800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2422000 233740 ) ( 2449790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2441790 225740 ) ( 2441790 849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2401790 841740 ) ( 2449790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2409790 833740 ) ( 2409790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377000 1065740 ) ( 2417790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 1057740 ) ( 2385000 1115800 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2385000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 2360000 175000 ) ( 2385790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2377790 167000 ) ( 2377790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 2369790 475000 ) ( 2385800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2362000 233740 ) ( 2385790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2377790 225740 ) ( 2377790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2369790 425740 ) ( 2393000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 2385000 417740 ) ( 2385000 475800 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1460000 175000 ) ( 1489790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1481790 167000 ) ( 1481790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 841740 ) ( 1489790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 833740 ) ( 1417790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 1115000 ) ( 1425800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1462000 233740 ) ( 1489790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 225740 ) ( 1481790 849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1441790 841740 ) ( 1489790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1449790 833740 ) ( 1449790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1417000 1065740 ) ( 1457790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 1057740 ) ( 1425000 1115800 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1400000 175000 ) ( 1425790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 167000 ) ( 1417790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 475000 ) ( 1425800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1402000 233740 ) ( 1425790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1417790 225740 ) ( 1417790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1409790 425740 ) ( 1433000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 417740 ) ( 1425000 475800 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1330000 175000 ) ( 1361790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1353790 167000 ) ( 1353790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1345790 233740 ) ( 1393790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1332000 233740 ) ( 1393790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1385790 225740 ) ( 1385790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1377790 521740 ) ( 1425790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 513740 ) ( 1417790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 795000 ) ( 1425800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1417790 513740 ) ( 1417790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1409790 745740 ) ( 1433000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1425000 737740 ) ( 1425000 795800 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3700000 175000 ) ( 3729790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3721790 167000 ) ( 3721790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 841740 ) ( 3729790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 833740 ) ( 3657790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 1115000 ) ( 3665800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3702000 233740 ) ( 3729790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3721790 225740 ) ( 3721790 849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3681790 841740 ) ( 3729790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3689790 833740 ) ( 3689790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657000 1065740 ) ( 3697790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 1057740 ) ( 3665000 1115800 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4080000 175000 ) ( 4113790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4105790 167000 ) ( 4105790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4065790 233740 ) ( 4113790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4065790 233740 ) ( 4098000 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4073790 225740 ) ( 4073790 881740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4033790 873740 ) ( 4081790 873740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4041790 865740 ) ( 4041790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 1481740 ) ( 4049790 1481740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 1473740 ) ( 3977790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 1755000 ) ( 3985800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4001790 1481740 ) ( 4049790 1481740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4009790 1473740 ) ( 4009790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977000 1705740 ) ( 4017790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 1697740 ) ( 3985000 1755800 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4530000 175000 ) ( 4561790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4553790 167000 ) ( 4553790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4532000 233740 ) ( 4561790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4553790 225740 ) ( 4553790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4545790 265740 ) ( 4593790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 257740 ) ( 4585790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 521740 ) ( 4625790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 513740 ) ( 4617790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 795000 ) ( 4625800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4617790 513740 ) ( 4617790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4609790 745740 ) ( 4633000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 737740 ) ( 4625000 795800 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4020000 175000 ) ( 4049790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4041790 167000 ) ( 4041790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 841740 ) ( 4049790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 833740 ) ( 3977790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 1115000 ) ( 3985800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4022000 233740 ) ( 4049790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4041790 225740 ) ( 4041790 849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4001790 841740 ) ( 4049790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4009790 833740 ) ( 4009790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977000 1065740 ) ( 4017790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 1057740 ) ( 3985000 1115800 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3937790 175000 ) ( 3970000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3945790 167000 ) ( 3945790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3937790 233740 ) ( 3985790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 225740 ) ( 3977790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 475000 ) ( 3985800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3952000 233740 ) ( 3985790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3977790 225740 ) ( 3977790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3969790 425740 ) ( 3993000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 417740 ) ( 3985000 475800 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2657740 ) ( 175000 2690000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2665740 ) ( 1105790 2665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 2657740 ) ( 1097790 2723000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 2715000 ) ( 1105800 2715000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2680000 ) ( 241790 2680000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2657740 ) ( 233790 2688000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2665740 ) ( 849790 2665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 2657740 ) ( 841790 2705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 2697740 ) ( 1073790 2697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 2689740 ) ( 1065790 2723000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 2715000 ) ( 1105800 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 417790 175000 ) ( 450000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 425790 167000 ) ( 425790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 417790 233740 ) ( 465790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 225740 ) ( 457790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 475000 ) ( 465800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 432000 233740 ) ( 465790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 457790 225740 ) ( 457790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 449790 425740 ) ( 473000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 465000 417740 ) ( 465000 475800 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4850000 175000 ) ( 4881790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4873790 167000 ) ( 4873790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4852000 233740 ) ( 4881790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4873790 225740 ) ( 4873790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4865790 265740 ) ( 4913790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4905790 257740 ) ( 4905790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4897790 521740 ) ( 4945790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937790 513740 ) ( 4937790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4929790 795000 ) ( 4945800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4937790 513740 ) ( 4937790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4929790 745740 ) ( 4953000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4945000 737740 ) ( 4945000 795800 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2870000 ) ( 5825000 2897740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2889740 ) ( 5833000 2889740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2881740 ) ( 5769790 2929740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 2921740 ) ( 5777790 2921740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 2913740 ) ( 5513790 2961740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2953740 ) ( 5521790 2953740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 2945740 ) ( 5225790 2993740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2985740 ) ( 5233790 2985740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 2977740 ) ( 4617790 3043000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 3035000 ) ( 4625800 3035000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2880000 ) ( 5825000 2880000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2872000 ) ( 5769790 2897740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 2889740 ) ( 5777790 2889740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 2881740 ) ( 5705790 2961740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 2953740 ) ( 5713790 2953740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 2945740 ) ( 5513790 2993740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 2985740 ) ( 5521790 2985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 2977740 ) ( 4905790 3025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 3017740 ) ( 4913790 3017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 3009740 ) ( 4681790 3043000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 3035000 ) ( 4689790 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2849740 ) ( 175000 2880000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2857740 ) ( 305790 2857740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2870000 ) ( 241790 2870000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2849740 ) ( 233790 2878000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2857740 ) ( 305790 2857740 ) NEW metal9 16000 + SHAPE IOWIRE ( 297790 2785740 ) ( 297790 2865740 ) NEW metal9 16000 + SHAPE IOWIRE ( 289790 2793740 ) ( 561790 2793740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 2753740 ) ( 553790 2801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 2761740 ) ( 1169790 2761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 2721740 ) ( 1161790 2769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 2729740 ) ( 1425790 2729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 2707000 ) ( 1417790 2737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 2715000 ) ( 1425800 2715000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 2729740 ) ( 1393790 2729740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 2707000 ) ( 1385790 2737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 2715000 ) ( 1425800 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1889740 ) ( 175000 1910000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1897740 ) ( 241790 1897740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 1857740 ) ( 233790 1905740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 1865740 ) ( 273790 1865740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 265790 1825740 ) ( 265790 1873740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 257790 1833740 ) ( 561790 1833740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1900000 ) ( 241790 1900000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1857740 ) ( 233790 1908000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1865740 ) ( 305790 1865740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 297790 1825740 ) ( 297790 1873740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 289790 1833740 ) ( 561790 1833740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 1793740 ) ( 553790 1841740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 1801740 ) ( 1169790 1801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 1761740 ) ( 1161790 1809740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 1769740 ) ( 1425790 1769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 1747000 ) ( 1417790 1777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 1755000 ) ( 1425800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 1769740 ) ( 1393790 1769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 1747000 ) ( 1385790 1777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 1755000 ) ( 1425800 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1970000 ) ( 175000 2001740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1993740 ) ( 1137790 1993740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 1985740 ) ( 1129790 2033740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 2025740 ) ( 1745790 2025740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 2017740 ) ( 1737790 2083000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 2075000 ) ( 1745800 2075000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1980000 ) ( 241790 1980000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1972000 ) ( 233790 2001740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1993740 ) ( 881790 1993740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 1985740 ) ( 873790 2033740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 2025740 ) ( 1489790 2025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 2017740 ) ( 1481790 2065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 2057740 ) ( 1713790 2057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 2049740 ) ( 1705790 2083000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 2075000 ) ( 1745800 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2017740 ) ( 175000 2050000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2025740 ) ( 1105790 2025740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 2017740 ) ( 1097790 2083000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 2075000 ) ( 1105800 2075000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2040000 ) ( 241790 2040000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2017740 ) ( 233790 2048000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2025740 ) ( 849790 2025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 2017740 ) ( 841790 2065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 2057740 ) ( 1073790 2057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 2049740 ) ( 1065790 2083000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 2075000 ) ( 1105800 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2209740 ) ( 175000 2230000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2217740 ) ( 241790 2217740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2177740 ) ( 233790 2225740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 2185740 ) ( 273790 2185740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 265790 2145740 ) ( 265790 2193740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 257790 2153740 ) ( 561790 2153740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2220000 ) ( 241790 2220000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2177740 ) ( 233790 2228000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2185740 ) ( 305790 2185740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 297790 2145740 ) ( 297790 2193740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 289790 2153740 ) ( 561790 2153740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 2113740 ) ( 553790 2161740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 2121740 ) ( 1169790 2121740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 2081740 ) ( 1161790 2129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 2089740 ) ( 1425790 2089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 2067000 ) ( 1417790 2097740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 2075000 ) ( 1425800 2075000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 2089740 ) ( 1393790 2089740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 2067000 ) ( 1385790 2097740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 2075000 ) ( 1425800 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2290000 ) ( 175000 2321740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2313740 ) ( 1137790 2313740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 2305740 ) ( 1129790 2353740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 2345740 ) ( 1745790 2345740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 2337740 ) ( 1737790 2403000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 2395000 ) ( 1745800 2395000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2300000 ) ( 241790 2300000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2292000 ) ( 233790 2321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2313740 ) ( 881790 2313740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 2305740 ) ( 873790 2353740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 2345740 ) ( 1489790 2345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 2337740 ) ( 1481790 2385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 2377740 ) ( 1713790 2377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 2369740 ) ( 1705790 2403000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 2395000 ) ( 1745800 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2360000 ) ( 175000 2385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2377740 ) ( 241790 2377740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2337740 ) ( 233790 2385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 2345740 ) ( 1105790 2345740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 2337740 ) ( 1097790 2403000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 2395000 ) ( 1105800 2395000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2370000 ) ( 241790 2370000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2337740 ) ( 233790 2378000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2345740 ) ( 849790 2345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 2337740 ) ( 841790 2385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 2377740 ) ( 1073790 2377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 2369740 ) ( 1065790 2403000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 2395000 ) ( 1105800 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1650000 ) ( 5825000 1681740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5537790 1673740 ) ( 5833000 1673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5545790 1665740 ) ( 5545790 1713740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 1705740 ) ( 5553790 1705740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 1697740 ) ( 5257790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 1755000 ) ( 5265800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1660000 ) ( 5825000 1660000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1652000 ) ( 5769790 1681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5729790 1673740 ) ( 5777790 1673740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5737790 1665740 ) ( 5737790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 1705740 ) ( 5745790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 1697740 ) ( 5545790 1745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 1737740 ) ( 5553790 1737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 1729740 ) ( 5321790 1763000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 1755000 ) ( 5329790 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1590000 ) ( 5825000 1617740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1609740 ) ( 5833000 1609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1601740 ) ( 5769790 1649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 1641740 ) ( 5777790 1641740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 1633740 ) ( 5513790 1681740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 1673740 ) ( 5521790 1673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 1665740 ) ( 5225790 1713740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 1705740 ) ( 5233790 1705740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 1697740 ) ( 4617790 1763000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 1755000 ) ( 4625800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1600000 ) ( 5825000 1600000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1592000 ) ( 5769790 1617740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 1609740 ) ( 5777790 1609740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 1601740 ) ( 5705790 1681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 1673740 ) ( 5713790 1673740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 1665740 ) ( 5513790 1713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 1705740 ) ( 5521790 1705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 1697740 ) ( 4905790 1745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 1737740 ) ( 4913790 1737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 1729740 ) ( 4681790 1763000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 1755000 ) ( 4689790 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1441740 ) ( 5825000 1470000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1449740 ) ( 5833000 1449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1441740 ) ( 5769790 1489740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1460000 ) ( 5825000 1460000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1452000 ) ( 5769790 1489740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 1481740 ) ( 5777790 1481740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 1441740 ) ( 5225790 1489740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 1449740 ) ( 5233790 1449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 1434200 ) ( 4945000 1457740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 1449740 ) ( 5233790 1449740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 1427000 ) ( 5001790 1457740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 1435000 ) ( 5009790 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1377740 ) ( 5825000 1410000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 1385740 ) ( 5833000 1385740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 1377740 ) ( 5577790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 1435000 ) ( 5585800 1435000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1400000 ) ( 5825000 1400000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1392000 ) ( 5769790 1425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 1417740 ) ( 5777790 1417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 1409740 ) ( 5641790 1443000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 1435000 ) ( 5649790 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2930000 ) ( 175000 2961740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2953740 ) ( 1137790 2953740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 2945740 ) ( 1129790 2993740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 2985740 ) ( 1745790 2985740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 2977740 ) ( 1737790 3043000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 3035000 ) ( 1745800 3035000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2940000 ) ( 241790 2940000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2932000 ) ( 233790 2961740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2953740 ) ( 881790 2953740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 2945740 ) ( 873790 2993740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 2985740 ) ( 1489790 2985740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 2977740 ) ( 1481790 3025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 3017740 ) ( 1713790 3017740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 3009740 ) ( 1705790 3043000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 3035000 ) ( 1745800 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1121740 ) ( 5825000 1150000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1129740 ) ( 5833000 1129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1121740 ) ( 5769790 1169740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1140000 ) ( 5825000 1140000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1132000 ) ( 5769790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 1161740 ) ( 5777790 1161740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 1121740 ) ( 5225790 1169740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 1129740 ) ( 5233790 1129740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 1114200 ) ( 4945000 1137740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 1129740 ) ( 5233790 1129740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 1107000 ) ( 5001790 1137740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 1115000 ) ( 5009790 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 760000 ) ( 5825000 785740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 777740 ) ( 5833000 777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 769740 ) ( 5769790 817740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577000 809740 ) ( 5777790 809740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5585000 794200 ) ( 5585000 817740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 770000 ) ( 5825000 770000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 762000 ) ( 5769790 785740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 777740 ) ( 5777790 777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 769740 ) ( 5641790 803000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 795000 ) ( 5649790 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 690000 ) ( 5825000 721740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 713740 ) ( 5833000 713740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 705740 ) ( 5769790 753740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 745740 ) ( 5777790 745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 737740 ) ( 5257790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 795000 ) ( 5265800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 700000 ) ( 5825000 700000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 692000 ) ( 5769790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 745740 ) ( 5777790 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 737740 ) ( 5545790 785740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 777740 ) ( 5553790 777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 769740 ) ( 5321790 803000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 795000 ) ( 5329790 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 417740 ) ( 5825000 450000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 425740 ) ( 5833000 425740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577790 417740 ) ( 5577790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5569790 475000 ) ( 5585800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 440000 ) ( 5825000 440000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 432000 ) ( 5769790 465740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 457740 ) ( 5777790 457740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 449740 ) ( 5641790 483000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 475000 ) ( 5649790 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2550000 ) ( 5825000 2577740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2569740 ) ( 5833000 2569740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2561740 ) ( 5769790 2609740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 2601740 ) ( 5777790 2601740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 2593740 ) ( 5513790 2641740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2633740 ) ( 5521790 2633740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 2625740 ) ( 5225790 2673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2665740 ) ( 5233790 2665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 2657740 ) ( 4617790 2723000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2715000 ) ( 4625800 2715000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2560000 ) ( 5825000 2560000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2552000 ) ( 5769790 2577740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 2569740 ) ( 5777790 2569740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 2561740 ) ( 5705790 2641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 2633740 ) ( 5713790 2633740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 2625740 ) ( 5513790 2673740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 2665740 ) ( 5521790 2665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 2657740 ) ( 4905790 2705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 2697740 ) ( 4913790 2697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 2689740 ) ( 4681790 2723000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 2715000 ) ( 4689790 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2480000 ) ( 5825000 2513740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2505740 ) ( 5833000 2505740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2465740 ) ( 5769790 2513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2490000 ) ( 5825000 2490000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2465740 ) ( 5769790 2498000 ) NEW metal9 16000 + SHAPE IOWIRE ( 5185790 2473740 ) ( 5777790 2473740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 2433740 ) ( 5193790 2481740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 2441740 ) ( 5201790 2441740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 2401740 ) ( 4585790 2449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 2409740 ) ( 4593790 2409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 2394200 ) ( 4305000 2417740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 2409740 ) ( 4593790 2409740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 2387000 ) ( 4361790 2417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 2395000 ) ( 4369790 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2401740 ) ( 5825000 2430000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2409740 ) ( 5833000 2409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2401740 ) ( 5769790 2449740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2420000 ) ( 5825000 2420000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2412000 ) ( 5769790 2449740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2441740 ) ( 5777790 2441740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 2401740 ) ( 5225790 2449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 2409740 ) ( 5233790 2409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 2394200 ) ( 4945000 2417740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 2409740 ) ( 5233790 2409740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 2387000 ) ( 5001790 2417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 2395000 ) ( 5009790 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2230000 ) ( 5825000 2257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2249740 ) ( 5833000 2249740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2241740 ) ( 5769790 2289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5505790 2281740 ) ( 5777790 2281740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5513790 2273740 ) ( 5513790 2321740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2313740 ) ( 5521790 2313740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 2305740 ) ( 5225790 2353740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2345740 ) ( 5233790 2345740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 2337740 ) ( 4617790 2403000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2395000 ) ( 4625800 2395000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2240000 ) ( 5825000 2240000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2232000 ) ( 5769790 2257740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5697790 2249740 ) ( 5777790 2249740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5705790 2241740 ) ( 5705790 2321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 2313740 ) ( 5713790 2313740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 2305740 ) ( 5513790 2353740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 2345740 ) ( 5521790 2345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 2337740 ) ( 4905790 2385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 2377740 ) ( 4913790 2377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 2369740 ) ( 4681790 2403000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 2395000 ) ( 4689790 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5585000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2040000 ) ( 5825000 2065740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2057740 ) ( 5833000 2057740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2049740 ) ( 5769790 2097740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5577000 2089740 ) ( 5777790 2089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5585000 2074200 ) ( 5585000 2097740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2050000 ) ( 5825000 2050000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2042000 ) ( 5769790 2065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5633790 2057740 ) ( 5777790 2057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5641790 2049740 ) ( 5641790 2083000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5584200 2075000 ) ( 5649790 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5265000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1980000 ) ( 5825000 2001740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1993740 ) ( 5833000 1993740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1985740 ) ( 5769790 2033740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2025740 ) ( 5777790 2025740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5257790 2017740 ) ( 5257790 2083000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5249790 2075000 ) ( 5265800 2075000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1990000 ) ( 5825000 1990000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1982000 ) ( 5769790 2033740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5537790 2025740 ) ( 5777790 2025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5545790 2017740 ) ( 5545790 2065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5313790 2057740 ) ( 5553790 2057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5321790 2049740 ) ( 5321790 2083000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5264200 2075000 ) ( 5329790 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3105740 ) ( 175000 3130000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3113740 ) ( 241790 3113740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3073740 ) ( 233790 3121740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 3081740 ) ( 529790 3081740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3120000 ) ( 241790 3120000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3105740 ) ( 233790 3128000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3113740 ) ( 273790 3113740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 3073740 ) ( 265790 3121740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 3081740 ) ( 529790 3081740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 3041740 ) ( 521790 3089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 3049740 ) ( 785790 3049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 3027000 ) ( 777790 3057740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 3035000 ) ( 785800 3035000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 513790 3049740 ) ( 753790 3049740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 3027000 ) ( 745790 3057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 3035000 ) ( 785800 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 2075000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1910000 ) ( 5825000 1937740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1929740 ) ( 5833000 1929740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1921740 ) ( 5769790 1969740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1920000 ) ( 5825000 1920000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1912000 ) ( 5769790 1969740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5729790 1961740 ) ( 5777790 1961740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5737790 1953740 ) ( 5737790 2001740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5217790 1993740 ) ( 5745790 1993740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5225790 1985740 ) ( 5225790 2033740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2025740 ) ( 5233790 2025740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 2017740 ) ( 4617790 2083000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 2075000 ) ( 4625800 2075000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 5505790 1993740 ) ( 5745790 1993740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5513790 1985740 ) ( 5513790 2033740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4897790 2025740 ) ( 5521790 2025740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4905790 2017740 ) ( 4905790 2065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4673790 2057740 ) ( 4913790 2057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 2049740 ) ( 4681790 2083000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4624200 2075000 ) ( 4689790 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 1825740 ) ( 5825000 1850000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5185790 1833740 ) ( 5833000 1833740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 1840000 ) ( 5825000 1840000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 1825740 ) ( 5769790 1848000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5185790 1833740 ) ( 5777790 1833740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 1793740 ) ( 5193790 1841740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 1801740 ) ( 5201790 1801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 1761740 ) ( 4585790 1809740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 1769740 ) ( 4593790 1769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 1754200 ) ( 4305000 1777740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 1769740 ) ( 4593790 1769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 1747000 ) ( 4361790 1777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 1755000 ) ( 4369790 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 3035000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3169740 ) ( 175000 3190000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3177740 ) ( 241790 3177740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3137740 ) ( 233790 3185740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 3145740 ) ( 273790 3145740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 265790 3105740 ) ( 265790 3153740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 257790 3113740 ) ( 561790 3113740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3180000 ) ( 241790 3180000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3137740 ) ( 233790 3188000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3145740 ) ( 305790 3145740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 297790 3105740 ) ( 297790 3153740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 289790 3113740 ) ( 561790 3113740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 3073740 ) ( 553790 3121740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 3081740 ) ( 1169790 3081740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 3041740 ) ( 1161790 3089740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 3049740 ) ( 1425790 3049740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 3027000 ) ( 1417790 3057740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 3035000 ) ( 1425800 3035000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 3049740 ) ( 1393790 3049740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 3027000 ) ( 1385790 3057740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 3035000 ) ( 1425800 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3250000 ) ( 175000 3281740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3273740 ) ( 1137790 3273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 3265740 ) ( 1129790 3313740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 3305740 ) ( 1745790 3305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 3297740 ) ( 1737790 3363000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 3355000 ) ( 1745800 3355000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3260000 ) ( 241790 3260000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3252000 ) ( 233790 3281740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3273740 ) ( 881790 3273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 3265740 ) ( 873790 3313740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 3305740 ) ( 1489790 3305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 3297740 ) ( 1481790 3345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 3337740 ) ( 1713790 3337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 3329740 ) ( 1705790 3363000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 3355000 ) ( 1745800 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3297740 ) ( 175000 3330000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3305740 ) ( 1105790 3305740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 3297740 ) ( 1097790 3363000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 3355000 ) ( 1105800 3355000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3320000 ) ( 241790 3320000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3297740 ) ( 233790 3328000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3305740 ) ( 849790 3305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 841790 3297740 ) ( 841790 3345740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 833790 3337740 ) ( 1073790 3337740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1065790 3329740 ) ( 1065790 3363000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1057790 3355000 ) ( 1105800 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1425000 3355000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3489740 ) ( 175000 3510000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3497740 ) ( 241790 3497740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 3457740 ) ( 233790 3505740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 3465740 ) ( 273790 3465740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 265790 3425740 ) ( 265790 3473740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 257790 3433740 ) ( 561790 3433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3500000 ) ( 241790 3500000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3457740 ) ( 233790 3508000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3465740 ) ( 305790 3465740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 297790 3425740 ) ( 297790 3473740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 289790 3433740 ) ( 561790 3433740 ) NEW metal9 16000 + SHAPE IOWIRE ( 553790 3393740 ) ( 553790 3441740 ) NEW metal9 16000 + SHAPE IOWIRE ( 545790 3401740 ) ( 1169790 3401740 ) NEW metal9 16000 + SHAPE IOWIRE ( 1161790 3361740 ) ( 1161790 3409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1153790 3369740 ) ( 1425790 3369740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1417790 3347000 ) ( 1417790 3377740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1409790 3355000 ) ( 1425800 3355000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1153790 3369740 ) ( 1393790 3369740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1385790 3347000 ) ( 1385790 3377740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1377790 3355000 ) ( 1425800 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 3675000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 3570000 ) ( 175000 3601740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 3593740 ) ( 1137790 3593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 3585740 ) ( 1129790 3633740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 3625740 ) ( 1745790 3625740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 3617740 ) ( 1737790 3683000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 3675000 ) ( 1745800 3675000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 3580000 ) ( 241790 3580000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 3572000 ) ( 233790 3601740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 3593740 ) ( 881790 3593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 3585740 ) ( 873790 3633740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 3625740 ) ( 1489790 3625740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 3617740 ) ( 1481790 3665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 3657740 ) ( 1713790 3657740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 3649740 ) ( 1705790 3683000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 3675000 ) ( 1745800 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1761740 ) ( 175000 1790000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1769740 ) ( 465790 1769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 1747000 ) ( 457790 1777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 1755000 ) ( 465800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1780000 ) ( 241790 1780000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1761740 ) ( 233790 1788000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1769740 ) ( 433790 1769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 1747000 ) ( 425790 1777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 1755000 ) ( 465800 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 1755000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 1825740 ) ( 175000 1850000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 1833740 ) ( 241790 1833740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 1793740 ) ( 233790 1841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 1801740 ) ( 529790 1801740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 175000 1840000 ) ( 241790 1840000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 1825740 ) ( 233790 1848000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 1833740 ) ( 273790 1833740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 265790 1793740 ) ( 265790 1841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 257790 1801740 ) ( 529790 1801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 521790 1761740 ) ( 521790 1809740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 513790 1769740 ) ( 785790 1769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 1747000 ) ( 777790 1777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 1755000 ) ( 785800 1755000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 513790 1769740 ) ( 753790 1769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 745790 1747000 ) ( 745790 1777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 737790 1755000 ) ( 785800 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1745000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2610000 ) ( 175000 2641740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2633740 ) ( 1137790 2633740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1129790 2625740 ) ( 1129790 2673740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1121790 2665740 ) ( 1745790 2665740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1737790 2657740 ) ( 1737790 2723000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1729790 2715000 ) ( 1745800 2715000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2620000 ) ( 241790 2620000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2612000 ) ( 233790 2641740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2633740 ) ( 881790 2633740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 873790 2625740 ) ( 873790 2673740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 865790 2665740 ) ( 1489790 2665740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1481790 2657740 ) ( 1481790 2705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1473790 2697740 ) ( 1713790 2697740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1705790 2689740 ) ( 1705790 2723000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1697790 2715000 ) ( 1745800 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 785000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 690000 175000 ) ( 721790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 713790 167000 ) ( 713790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 692000 233740 ) ( 721790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 713790 225740 ) ( 713790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 705790 265740 ) ( 753790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 745790 257740 ) ( 745790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 737790 521740 ) ( 785790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 777790 513740 ) ( 777790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 769790 795000 ) ( 785800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 777790 513740 ) ( 777790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 769790 745740 ) ( 793000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 785000 737740 ) ( 785000 795800 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4577790 175000 ) ( 4610000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4585790 167000 ) ( 4585790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4577790 233740 ) ( 4625790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 225740 ) ( 4617790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 475000 ) ( 4625800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4592000 233740 ) ( 4625790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4617790 225740 ) ( 4617790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4609790 425740 ) ( 4633000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 417740 ) ( 4625000 475800 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4945000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2721740 ) ( 5825000 2750000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2729740 ) ( 5833000 2729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2721740 ) ( 5769790 2769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2740000 ) ( 5825000 2740000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2732000 ) ( 5769790 2769740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5217790 2761740 ) ( 5777790 2761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5225790 2721740 ) ( 5225790 2769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4937000 2729740 ) ( 5233790 2729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4945000 2714200 ) ( 4945000 2737740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4993790 2729740 ) ( 5233790 2729740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5001790 2707000 ) ( 5001790 2737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4944200 2715000 ) ( 5009790 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 465000 2395000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 175000 2420000 ) ( 175000 2449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 167000 2441740 ) ( 241790 2441740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 233790 2401740 ) ( 233790 2449740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 225790 2409740 ) ( 465790 2409740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 457790 2387000 ) ( 457790 2417740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 449790 2395000 ) ( 465800 2395000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 175000 2430000 ) ( 241790 2430000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 233790 2401740 ) ( 233790 2438000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 225790 2409740 ) ( 433790 2409740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 425790 2387000 ) ( 425790 2417740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 417790 2395000 ) ( 465800 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1105000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 1057790 175000 ) ( 1090000 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1065790 167000 ) ( 1065790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1057790 233740 ) ( 1105790 233740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1097790 225740 ) ( 1097790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 1089790 475000 ) ( 1105800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1072000 233740 ) ( 1105790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1097790 225740 ) ( 1097790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1089790 425740 ) ( 1113000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 1105000 417740 ) ( 1105000 475800 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4625000 1115000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 4660000 175000 ) ( 4689790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4681790 167000 ) ( 4681790 849740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 841740 ) ( 4689790 841740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4617790 833740 ) ( 4617790 1123000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4609790 1115000 ) ( 4625800 1115000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4662000 233740 ) ( 4689790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4681790 225740 ) ( 4681790 849740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4641790 841740 ) ( 4689790 841740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4649790 833740 ) ( 4649790 1073740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4617000 1065740 ) ( 4657790 1065740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4625000 1057740 ) ( 4625000 1115800 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4305000 2715000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 5825000 2785740 ) ( 5825000 2810000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 5185790 2793740 ) ( 5833000 2793740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5761790 2800000 ) ( 5825000 2800000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5769790 2785740 ) ( 5769790 2808000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 5185790 2793740 ) ( 5777790 2793740 ) NEW metal9 16000 + SHAPE IOWIRE ( 5193790 2753740 ) ( 5193790 2801740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4577790 2761740 ) ( 5201790 2761740 ) NEW metal9 16000 + SHAPE IOWIRE ( 4585790 2721740 ) ( 4585790 2769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4297000 2729740 ) ( 4593790 2729740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 4305000 2714200 ) ( 4305000 2737740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 4353790 2729740 ) ( 4593790 2729740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4361790 2707000 ) ( 4361790 2737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 4304200 2715000 ) ( 4369790 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 1435000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3510000 175000 ) ( 3537790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3529790 167000 ) ( 3529790 273740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3521790 265740 ) ( 3601790 265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3593790 257740 ) ( 3593790 561740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3512000 233740 ) ( 3537790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3529790 225740 ) ( 3529790 305740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3521790 297740 ) ( 3601790 297740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3593790 289740 ) ( 3593790 561740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3585790 553740 ) ( 3633790 553740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3625790 545740 ) ( 3625790 1169740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3617790 1161740 ) ( 3665790 1161740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 1153740 ) ( 3657790 1443000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 1435000 ) ( 3665800 1435000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3657790 1153740 ) ( 3657790 1393740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3649790 1385740 ) ( 3673000 1385740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 1377740 ) ( 3665000 1435800 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3985000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3890000 175000 ) ( 3921790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3913790 167000 ) ( 3913790 273740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3892000 233740 ) ( 3921790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3913790 225740 ) ( 3913790 273740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3905790 265740 ) ( 3953790 265740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3945790 257740 ) ( 3945790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3937790 521740 ) ( 3985790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3977790 513740 ) ( 3977790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3969790 795000 ) ( 3985800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3977790 513740 ) ( 3977790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3969790 745740 ) ( 3993000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3985000 737740 ) ( 3985000 795800 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 795000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3570000 175000 ) ( 3601790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3593790 167000 ) ( 3593790 241740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3585790 233740 ) ( 3633790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3572000 233740 ) ( 3633790 233740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3625790 225740 ) ( 3625790 529740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3617790 521740 ) ( 3665790 521740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 513740 ) ( 3657790 803000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 795000 ) ( 3665800 795000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3657790 513740 ) ( 3657790 753740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3649790 745740 ) ( 3673000 745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 737740 ) ( 3665000 795800 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 475000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3640000 175000 ) ( 3665790 175000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 167000 ) ( 3657790 483000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 475000 ) ( 3665800 475000 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 241740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3642000 233740 ) ( 3665790 233740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657790 225740 ) ( 3657790 433740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3649790 425740 ) ( 3673000 425740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 417740 ) ( 3665000 475800 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3425790 5825000 ) ( 3450000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3433790 5569740 ) ( 3433790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3393790 5577740 ) ( 3441790 5577740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3401790 5281740 ) ( 3401790 5585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337000 5289740 ) ( 3409790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3345000 5274200 ) ( 3345000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3440000 5761740 ) ( 3440000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3425790 5769740 ) ( 3448000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3433790 5729740 ) ( 3433790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3393790 5737740 ) ( 3441790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3401790 5537740 ) ( 3401790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3361790 5545740 ) ( 3409790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3369790 5313740 ) ( 3369790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337000 5321740 ) ( 3377790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 5274200 ) ( 3345000 5329740 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3345000 4635000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3489790 5825000 ) ( 3520000 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3497790 5761740 ) ( 3497790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3457790 5769740 ) ( 3505790 5769740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3465790 5537740 ) ( 3465790 5777740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3425790 5545740 ) ( 3473790 5545740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3433790 5249740 ) ( 3433790 5553740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3393790 5257740 ) ( 3441790 5257740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3401790 4641740 ) ( 3401790 5265740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3337000 4649740 ) ( 3409790 4649740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3345000 4634200 ) ( 3345000 4657740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3510000 5761740 ) ( 3510000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3457790 5769740 ) ( 3518000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3465790 5697740 ) ( 3465790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3425790 5705740 ) ( 3473790 5705740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3433790 5505740 ) ( 3433790 5713740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3393790 5513740 ) ( 3441790 5513740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3401790 4897740 ) ( 3401790 5521740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3361790 4905740 ) ( 3409790 4905740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3369790 4673740 ) ( 3369790 4913740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3337000 4681740 ) ( 3377790 4681740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3345000 4634200 ) ( 3345000 4689740 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 4315000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3570000 5825000 ) ( 3601790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3593790 5185740 ) ( 3593790 5833000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3580000 5761740 ) ( 3580000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3572000 5769740 ) ( 3601790 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3593790 5185740 ) ( 3593790 5777740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3585790 5193740 ) ( 3633790 5193740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3625790 4577740 ) ( 3625790 5201740 ) NEW metal9 16000 + SHAPE IOWIRE ( 3617790 4585740 ) ( 3665790 4585740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657790 4321740 ) ( 3657790 4593740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3649790 4329740 ) ( 3673000 4329740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3665000 4314200 ) ( 3665000 4337740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3657790 4353740 ) ( 3657790 4593740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3649790 4361740 ) ( 3673000 4361740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 4314200 ) ( 3665000 4369740 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3665000 5275000 ) via9_0 - NEW metal9 16000 + SHAPE IOWIRE ( 3760000 5825000 ) ( 3793790 5825000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3785790 5729740 ) ( 3785790 5833000 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3713790 5737740 ) ( 3793790 5737740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3721790 5281740 ) ( 3721790 5745740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3657000 5289740 ) ( 3729790 5289740 ) - NEW metal9 16000 + SHAPE IOWIRE ( 3665000 5274200 ) ( 3665000 5297740 ) ; + NEW metal9 16000 + SHAPE IOWIRE ( 3770000 5761740 ) ( 3770000 5825000 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3745790 5769740 ) ( 3778000 5769740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3753790 5729740 ) ( 3753790 5777740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3713790 5737740 ) ( 3761790 5737740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3721790 5537740 ) ( 3721790 5745740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3681790 5545740 ) ( 3729790 5545740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3689790 5313740 ) ( 3689790 5553740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3657000 5321740 ) ( 3697790 5321740 ) + NEW metal9 16000 + SHAPE IOWIRE ( 3665000 5274200 ) ( 3665000 5329740 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_failed.ok b/src/pad/test/rdl_route_failed.ok index f29984a2ca2..8770be05728 100644 --- a/src/pad/test/rdl_route_failed.ok +++ b/src/pad/test/rdl_route_failed.ok @@ -6,85 +6,63 @@ [INFO ODB-0132] Created 4 special nets and 4706 connections. [INFO ODB-0133] Created 354 nets and 627 connections. [INFO PAD-0005] Routing 139 nets -[INFO PAD-0037] End of routing iteration 1: 84.6% complete -[INFO PAD-0037] End of routing iteration 2: 82.5% complete -[INFO PAD-0037] End of routing iteration 3: 80.2% complete -[INFO PAD-0037] End of routing iteration 4: 84.0% complete -[INFO PAD-0037] End of routing iteration 5: 82.5% complete -[INFO PAD-0037] End of routing iteration 6: 81.9% complete -[INFO PAD-0037] End of routing iteration 7: 85.9% complete -[INFO PAD-0037] End of routing iteration 8: 80.7% complete -[INFO PAD-0037] End of routing iteration 9: 71.9% complete -[INFO PAD-0037] End of routing iteration 10: 75.1% complete -[WARNING PAD-0006] Failed to route the following 28 nets: +[INFO PAD-0037] End of routing iteration 1: 81.6% complete +[INFO PAD-0037] End of routing iteration 2: 80.8% complete +[INFO PAD-0037] End of routing iteration 3: 77.6% complete +[INFO PAD-0037] End of routing iteration 4: 79.1% complete +[INFO PAD-0037] End of routing iteration 5: 84.1% complete +[INFO PAD-0037] End of routing iteration 6: 83.9% complete +[INFO PAD-0037] End of routing iteration 7: 83.4% complete +[INFO PAD-0037] End of routing iteration 8: 81.0% complete +[INFO PAD-0037] End of routing iteration 9: 80.0% complete +[INFO PAD-0037] End of routing iteration 10: 84.4% complete +[WARNING PAD-0006] Failed to route the following 19 nets: DVDD - BUMP_13_14/PAD -> u_v18_18/DVDD, u_v18_19/DVDD, u_v18_17/DVDD, u_v18_20/DVDD, u_v18_16/DVDD, ... (67 possible terminals) - BUMP_16_11/PAD -> u_v18_15/DVDD, u_v18_16/DVDD, u_v18_14/DVDD, u_v18_13/DVDD, u_v18_12/DVDD, ... (67 possible terminals) - BUMP_1_6/PAD -> u_v18_31/DVDD, u_v18_32/DVDD, u_v18_30/DVDD, u_v18_29/DVDD, u_v18_33/DVDD, ... (67 possible terminals) - BUMP_2_8/PAD -> u_v18_30/DVDD, u_v18_29/DVDD, u_v18_31/DVDD, u_v18_28/DVDD, u_v18_32/DVDD, ... (67 possible terminals) - BUMP_4_1/PAD -> u_v18_1/DVDD, u_v18_2/DVDD, u_v18_0/DVDD, u_v18_3/DVDD, u_v18_4/DVDD, ... (67 possible terminals) - BUMP_7_12/PAD -> u_v18_22/DVDD, u_v18_21/DVDD, u_v18_23/DVDD, u_v18_24/DVDD, u_v18_20/DVDD, ... (67 possible terminals) + BUMP_0_9/PAD -> u_v18_29/DVDD, u_v18_30/DVDD, u_v18_28/DVDD, u_v18_31/DVDD, u_v18_27/DVDD, ... (67 possible terminals) + BUMP_16_7/PAD -> u_v18_12/DVDD, u_v18_13/DVDD, u_v18_11/DVDD, u_v18_14/DVDD, u_v18_10/DVDD, ... (67 possible terminals) + BUMP_2_12/PAD -> u_v18_27/DVDD, u_v18_26/DVDD, u_v18_28/DVDD, u_v18_29/DVDD, u_v18_25/DVDD, ... (67 possible terminals) + BUMP_5_0/PAD -> u_v18_2/DVDD, u_v18_1/DVDD, u_v18_3/DVDD, u_v18_4/DVDD, u_v18_0/DVDD, ... (67 possible terminals) + BUMP_8_1/PAD -> u_v18_4/DVDD, u_v18_5/DVDD, u_v18_3/DVDD, u_v18_6/DVDD, u_v18_2/DVDD, ... (67 possible terminals) DVSS - BUMP_10_4/PAD -> u_vzz_6/DVSS, u_vzz_5/DVSS, u_vzz_7/DVSS, u_vzz_4/DVSS, u_vzz_3/DVSS, ... (67 possible terminals) - BUMP_13_10/PAD -> u_vzz_14/DVSS, u_vzz_15/DVSS, u_vzz_16/DVSS, u_vzz_13/DVSS, u_vzz_12/DVSS, ... (67 possible terminals) - BUMP_14_5/PAD -> u_vzz_11/DVSS, u_vzz_10/DVSS, u_vzz_12/DVSS, u_vzz_9/DVSS, u_vzz_13/DVSS, ... (67 possible terminals) + BUMP_13_16/PAD -> u_vzz_18/DVSS, u_vzz_19/DVSS, u_vzz_17/DVSS, u_vzz_20/DVSS, u_vzz_21/DVSS, ... (67 possible terminals) BUMP_15_11/PAD -> u_vzz_15/DVSS, u_vzz_16/DVSS, u_vzz_14/DVSS, u_vzz_13/DVSS, u_vzz_12/DVSS, ... (67 possible terminals) - BUMP_16_12/PAD -> u_vzz_16/DVSS, u_vzz_15/DVSS, u_vzz_14/DVSS, u_vzz_13/DVSS, u_vzz_17/DVSS, ... (67 possible terminals) - BUMP_4_13/PAD -> u_vzz_24/DVSS, u_vzz_23/DVSS, u_vzz_25/DVSS, u_vzz_22/DVSS, u_vzz_26/DVSS, ... (67 possible terminals) + BUMP_15_7/PAD -> u_vzz_12/DVSS, u_vzz_13/DVSS, u_vzz_11/DVSS, u_vzz_14/DVSS, u_vzz_10/DVSS, ... (67 possible terminals) + BUMP_1_5/PAD -> u_vzz_32/DVSS, u_vzz_31/DVSS, u_vzz_33/DVSS, u_vzz_30/DVSS, u_vzz_29/DVSS, ... (67 possible terminals) + BUMP_3_6/PAD -> u_vzz_31/DVSS, u_vzz_32/DVSS, u_vzz_30/DVSS, u_vzz_33/DVSS, u_vzz_29/DVSS, ... (67 possible terminals) + BUMP_5_1/PAD -> u_vzz_2/DVSS, u_vzz_3/DVSS, u_vzz_1/DVSS, u_vzz_4/DVSS, u_vzz_0/DVSS, ... (67 possible terminals) VDD - BUMP_15_2/PAD -> u_vdd_4/VDD, u_vdd_5/VDD, u_vdd_3/VDD, u_vdd_2/VDD, u_vdd_6/VDD, ... (33 possible terminals) - BUMP_15_6/PAD -> u_vdd_5/VDD, u_vdd_6/VDD, u_vdd_4/VDD, u_vdd_7/VDD, u_vdd_3/VDD, ... (33 possible terminals) - BUMP_1_7/PAD -> u_vdd_14/VDD, u_vdd_15/VDD, u_vdd_13/VDD, u_vdd_12/VDD, u_vdd_0/VDD, ... (33 possible terminals) + BUMP_2_4/PAD -> u_vdd_15/VDD, u_vdd_14/VDD, u_vdd_0/VDD, u_vdd_1/VDD, u_vdd_13/VDD, ... (33 possible terminals) VSS - BUMP_6_1/PAD -> u_vss_1/VSS, u_vss_2/VSS, u_vss_0/VSS, u_vss_3/VSS, u_vss_15/VSS, ... (33 possible terminals) - BUMP_9_1/PAD -> u_vss_2/VSS, u_vss_3/VSS, u_vss_1/VSS, u_vss_0/VSS, u_vss_4/VSS, ... (33 possible terminals) - p_bsg_tag_en_i - BUMP_13_9/PAD -> u_bsg_tag_en_i/PAD - p_ci_2_i - BUMP_15_12/PAD -> u_ci_2_i/PAD + BUMP_7_16/PAD -> u_vss_10/VSS, u_vss_pll/VSS, u_vss_9/VSS, u_vss_11/VSS, u_vss_8/VSS, ... (33 possible terminals) + p_ci2_1_o + BUMP_11_15/PAD -> u_ci2_1_o/PAD + p_ci2_clk_o + BUMP_12_13/PAD -> u_ci2_clk_o/PAD + p_ci2_v_o + BUMP_13_13/PAD -> u_ci2_v_o/PAD p_ci_3_i BUMP_13_12/PAD -> u_ci_3_i/PAD p_ci_5_i BUMP_14_10/PAD -> u_ci_5_i/PAD - p_clk_o - BUMP_8_13/PAD -> u_clk_o/PAD - p_co2_tkn_i - BUMP_2_13/PAD -> u_co2_tkn_i/PAD - p_co_0_i - BUMP_2_15/PAD -> u_co_0_i/PAD - p_co_5_i - BUMP_6_14/PAD -> u_co_5_i/PAD - p_ddr_addr_12_o - BUMP_5_3/PAD -> u_ddr_addr_12_o/PAD - p_ddr_addr_6_o - BUMP_7_0/PAD -> u_ddr_addr_6_o/PAD + p_clk_A_i + BUMP_7_13/PAD -> u_clk_A_i/PAD + p_core_async_reset_i + BUMP_11_14/PAD -> u_core_async_reset_i/PAD p_ddr_addr_7_o BUMP_7_1/PAD -> u_ddr_addr_7_o/PAD - p_ddr_ba_2_o - BUMP_3_1/PAD -> u_ddr_ba_2_o/PAD - p_ddr_cs_n_o - BUMP_11_0/PAD -> u_ddr_cs_n_o/PAD + p_ddr_ck_n_o + BUMP_11_4/PAD -> u_ddr_ck_n_o/PAD p_ddr_dm_0_o BUMP_2_7/PAD -> u_ddr_dm_0_o/PAD - p_ddr_dq_10_io - BUMP_3_4/PAD -> u_ddr_dq_10_io/PAD - p_ddr_dq_26_io - BUMP_14_6/PAD -> u_ddr_dq_26_io/PAD - p_ddr_dq_28_io - BUMP_16_5/PAD -> u_ddr_dq_28_io/PAD - p_ddr_dq_2_io - BUMP_1_8/PAD -> u_ddr_dq_2_io/PAD - p_ddr_dq_31_io - BUMP_12_4/PAD -> u_ddr_dq_31_io/PAD - p_ddr_dq_8_io - BUMP_0_4/PAD -> u_ddr_dq_8_io/PAD - p_ddr_dq_9_io - BUMP_1_4/PAD -> u_ddr_dq_9_io/PAD - p_ddr_dqs_p_0_io - BUMP_0_6/PAD -> u_ddr_dqs_p_0_io/PAD - p_ddr_ras_n_o - BUMP_11_1/PAD -> u_ddr_ras_n_o/PAD + p_ddr_dq_12_io + BUMP_2_5/PAD -> u_ddr_dq_12_io/PAD + p_ddr_dq_1_io + BUMP_4_8/PAD -> u_ddr_dq_1_io/PAD + p_ddr_dqs_p_3_io + BUMP_12_7/PAD -> u_ddr_dqs_p_3_io/PAD p_ddr_reset_n_o BUMP_10_1/PAD -> u_ddr_reset_n_o/PAD -[ERROR PAD-0007] Failed to route 28 nets. + p_sel_1_i + BUMP_10_12/PAD -> u_sel_1_i/PAD +[ERROR PAD-0007] Failed to route 19 nets. PAD-0007 diff --git a/src/pad/test/rdl_route_max_iterations.ok b/src/pad/test/rdl_route_max_iterations.ok index 68184c1bf22..497e1c71866 100644 --- a/src/pad/test/rdl_route_max_iterations.ok +++ b/src/pad/test/rdl_route_max_iterations.ok @@ -6,81 +6,68 @@ [INFO ODB-0132] Created 4 special nets and 4706 connections. [INFO ODB-0133] Created 354 nets and 627 connections. [INFO PAD-0005] Routing 139 nets -[INFO PAD-0037] End of routing iteration 1: 84.6% complete -[INFO PAD-0037] End of routing iteration 2: 82.5% complete -[INFO PAD-0037] End of routing iteration 3: 80.2% complete -[INFO PAD-0037] End of routing iteration 4: 84.0% complete -[WARNING PAD-0006] Failed to route the following 31 nets: +[INFO PAD-0037] End of routing iteration 1: 81.6% complete +[INFO PAD-0037] End of routing iteration 2: 80.8% complete +[INFO PAD-0037] End of routing iteration 3: 77.6% complete +[INFO PAD-0037] End of routing iteration 4: 79.1% complete +[WARNING PAD-0006] Failed to route the following 24 nets: DVDD BUMP_11_13/PAD -> u_v18_19/DVDD, u_v18_20/DVDD, u_v18_18/DVDD, u_v18_21/DVDD, u_v18_17/DVDD, ... (67 possible terminals) - BUMP_4_15/PAD -> u_v18_24/DVDD, u_v18_23/DVDD, u_v18_22/DVDD, u_v18_25/DVDD, u_v18_21/DVDD, ... (67 possible terminals) - BUMP_8_1/PAD -> u_v18_4/DVDD, u_v18_5/DVDD, u_v18_3/DVDD, u_v18_6/DVDD, u_v18_2/DVDD, ... (67 possible terminals) + BUMP_12_1/PAD -> u_v18_7/DVDD, u_v18_6/DVDD, u_v18_5/DVDD, u_v18_8/DVDD, u_v18_4/DVDD, ... (67 possible terminals) + BUMP_14_12/PAD -> u_v18_16/DVDD, u_v18_15/DVDD, u_v18_14/DVDD, u_v18_13/DVDD, u_v18_18/DVDD, ... (67 possible terminals) + BUMP_14_8/PAD -> u_v18_13/DVDD, u_v18_12/DVDD, u_v18_14/DVDD, u_v18_11/DVDD, u_v18_15/DVDD, ... (67 possible terminals) + BUMP_4_11/PAD -> u_v18_28/DVDD, u_v18_27/DVDD, u_v18_29/DVDD, u_v18_26/DVDD, u_v18_24/DVDD, ... (67 possible terminals) + BUMP_5_13/PAD -> u_v18_23/DVDD, u_v18_24/DVDD, u_v18_22/DVDD, u_v18_21/DVDD, u_v18_25/DVDD, ... (67 possible terminals) + BUMP_7_3/PAD -> u_v18_3/DVDD, u_v18_4/DVDD, u_v18_2/DVDD, u_v18_5/DVDD, u_v18_1/DVDD, ... (67 possible terminals) DVSS - BUMP_0_8/PAD -> u_vzz_30/DVSS, u_vzz_29/DVSS, u_vzz_31/DVSS, u_vzz_32/DVSS, u_vzz_28/DVSS, ... (67 possible terminals) - BUMP_13_16/PAD -> u_vzz_18/DVSS, u_vzz_19/DVSS, u_vzz_17/DVSS, u_vzz_20/DVSS, u_vzz_21/DVSS, ... (67 possible terminals) - BUMP_7_14/PAD -> u_vzz_22/DVSS, u_vzz_23/DVSS, u_vzz_21/DVSS, u_vzz_24/DVSS, u_vzz_20/DVSS, ... (67 possible terminals) + BUMP_8_3/PAD -> u_vzz_4/DVSS, u_vzz_5/DVSS, u_vzz_3/DVSS, u_vzz_6/DVSS, u_vzz_2/DVSS, ... (67 possible terminals) BUMP_9_2/PAD -> u_vzz_5/DVSS, u_vzz_6/DVSS, u_vzz_4/DVSS, u_vzz_7/DVSS, u_vzz_3/DVSS, ... (67 possible terminals) - VDD - BUMP_11_12/PAD -> u_vdd_9/VDD, u_vdd_pll/VDD, u_vdd_8/VDD, u_vdd_7/VDD, u_vdd_10/VDD, ... (33 possible terminals) - BUMP_1_10/PAD -> u_vdd_13/VDD, u_vdd_14/VDD, u_vdd_12/VDD, u_vdd_15/VDD, u_vdd_11/VDD, ... (33 possible terminals) - BUMP_7_15/PAD -> u_vdd_10/VDD, u_vdd_pll/VDD, u_vdd_11/VDD, u_vdd_9/VDD, u_vdd_8/VDD, ... (33 possible terminals) VSS - BUMP_0_7/PAD -> u_vss_14/VSS, u_vss_13/VSS, u_vss_15/VSS, u_vss_12/VSS, u_vss_0/VSS, ... (33 possible terminals) BUMP_10_13/PAD -> u_vss_9/VSS, u_vss_pll/VSS, u_vss_10/VSS, u_vss_8/VSS, u_vss_7/VSS, ... (33 possible terminals) + BUMP_14_9/PAD -> u_vss_6/VSS, u_vss_5/VSS, u_vss_7/VSS, u_vss_4/VSS, u_vss_8/VSS, ... (33 possible terminals) BUMP_9_1/PAD -> u_vss_2/VSS, u_vss_3/VSS, u_vss_1/VSS, u_vss_0/VSS, u_vss_4/VSS, ... (33 possible terminals) - p_bsg_tag_data_i - BUMP_12_8/PAD -> u_bsg_tag_data_i/PAD - p_bsg_tag_en_i - BUMP_13_9/PAD -> u_bsg_tag_en_i/PAD - p_ci2_2_o - BUMP_12_14/PAD -> u_ci2_2_o/PAD - p_ci2_clk_o - BUMP_12_13/PAD -> u_ci2_clk_o/PAD - p_ci_clk_i - BUMP_14_11/PAD -> u_ci_clk_i/PAD - p_clk_async_reset_i - BUMP_9_14/PAD -> u_clk_async_reset_i/PAD + BUMP_9_16/PAD -> u_vss_pll/VSS, u_vss_9/VSS, u_vss_10/VSS, u_vss_8/VSS, u_vss_11/VSS, ... (33 possible terminals) + p_ci_8_i + BUMP_15_9/PAD -> u_ci_8_i/PAD + p_clk_A_i + BUMP_7_13/PAD -> u_clk_A_i/PAD + p_clk_B_i + BUMP_8_12/PAD -> u_clk_B_i/PAD p_co2_clk_o BUMP_3_12/PAD -> u_co2_clk_o/PAD - p_co_4_i - BUMP_5_12/PAD -> u_co_4_i/PAD - p_core_async_reset_i - BUMP_11_14/PAD -> u_core_async_reset_i/PAD + p_co_8_i + BUMP_6_13/PAD -> u_co_8_i/PAD + p_co_clk_i + BUMP_5_14/PAD -> u_co_clk_i/PAD p_ddr_addr_10_o BUMP_5_4/PAD -> u_ddr_addr_10_o/PAD - p_ddr_addr_15_o - BUMP_4_0/PAD -> u_ddr_addr_15_o/PAD + p_ddr_addr_13_o + BUMP_4_4/PAD -> u_ddr_addr_13_o/PAD + p_ddr_addr_14_o + BUMP_4_2/PAD -> u_ddr_addr_14_o/PAD p_ddr_addr_2_o BUMP_8_2/PAD -> u_ddr_addr_2_o/PAD - p_ddr_addr_5_o - BUMP_7_2/PAD -> u_ddr_addr_5_o/PAD - p_ddr_addr_7_o - BUMP_7_1/PAD -> u_ddr_addr_7_o/PAD - p_ddr_dm_3_o - BUMP_13_8/PAD -> u_ddr_dm_3_o/PAD - p_ddr_dq_10_io - BUMP_3_4/PAD -> u_ddr_dq_10_io/PAD - p_ddr_dq_11_io - BUMP_4_5/PAD -> u_ddr_dq_11_io/PAD - p_ddr_dq_13_io - BUMP_3_5/PAD -> u_ddr_dq_13_io/PAD - p_ddr_dq_14_io - BUMP_4_6/PAD -> u_ddr_dq_14_io/PAD - p_ddr_dq_24_io - BUMP_13_7/PAD -> u_ddr_dq_24_io/PAD - p_ddr_dq_25_io - BUMP_12_6/PAD -> u_ddr_dq_25_io/PAD - p_ddr_dq_27_io - BUMP_13_6/PAD -> u_ddr_dq_27_io/PAD + p_ddr_cke_o + BUMP_11_2/PAD -> u_ddr_cke_o/PAD + p_ddr_dq_26_io + BUMP_14_6/PAD -> u_ddr_dq_26_io/PAD + p_ddr_dq_31_io + BUMP_12_4/PAD -> u_ddr_dq_31_io/PAD p_ddr_dq_3_io BUMP_3_8/PAD -> u_ddr_dq_3_io/PAD p_ddr_dq_4_io BUMP_4_9/PAD -> u_ddr_dq_4_io/PAD - p_ddr_dq_7_io - BUMP_4_10/PAD -> u_ddr_dq_7_io/PAD + p_ddr_dq_5_io + BUMP_2_9/PAD -> u_ddr_dq_5_io/PAD p_ddr_dqs_n_0_io BUMP_4_7/PAD -> u_ddr_dqs_n_0_io/PAD - p_ddr_dqs_p_3_io - BUMP_12_7/PAD -> u_ddr_dqs_p_3_io/PAD -[ERROR PAD-0007] Failed to route 31 nets. + p_ddr_dqs_n_3_io + BUMP_14_7/PAD -> u_ddr_dqs_n_3_io/PAD + p_ddr_odt_o + BUMP_10_3/PAD -> u_ddr_odt_o/PAD + p_misc_o + BUMP_9_15/PAD -> u_misc_o/PAD + p_sel_0_i + BUMP_9_13/PAD -> u_sel_0_i/PAD +[ERROR PAD-0007] Failed to route 24 nets. PAD-0007 diff --git a/src/pad/test/rdl_route_ports.defok b/src/pad/test/rdl_route_ports.defok index 39e370c9645..1f338a14232 100644 --- a/src/pad/test/rdl_route_ports.defok +++ b/src/pad/test/rdl_route_ports.defok @@ -2509,248 +2509,255 @@ SPECIALNETS 139 ; ( BUMP_10_16 PAD ) ( BUMP_11_13 PAD ) ( BUMP_13_14 PAD ) ( BUMP_15_15 PAD ) ( BUMP_14_12 PAD ) ( BUMP_16_11 PAD ) ( BUMP_15_10 PAD ) ( BUMP_14_8 PAD ) ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3450590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3446590 171000 ) ( 3446590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3426590 198540 ) ( 3450590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3430590 194540 ) ( 3430590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 886540 ) ( 3434590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 882540 ) ( 3414590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 1510540 ) ( 3418590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1506540 ) ( 3350590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1750540 ) ( 3354590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1746540 ) ( 3345000 1755000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3010000 5825000 ) ( 3018590 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5778540 ) ( 3014590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5782540 ) ( 3018590 5782540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 175000 ) ( 3440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3426590 198540 ) ( 3444000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 194540 ) ( 3430590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 870540 ) ( 3434590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 866540 ) ( 3414590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 1494540 ) ( 3418590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1490540 ) ( 3350590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1686540 ) ( 3354590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1682540 ) ( 3345000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3010000 5794540 ) ( 3010000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2994590 5798540 ) ( 3014000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2998590 5778540 ) ( 2998590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5782540 ) ( 3002590 5782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2966590 5202540 ) ( 2966590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5206540 ) ( 3034590 5206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4951000 ) ( 3030590 5210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3034590 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2936000 182540 ) ( 2970590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 178540 ) ( 2966590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 550540 ) ( 3034590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 546540 ) ( 3030590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 790540 ) ( 3034590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 786540 ) ( 3025000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2570590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2566590 171000 ) ( 2566590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2562590 198540 ) ( 2602590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2598590 194540 ) ( 2598590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2594590 230540 ) ( 2634590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2630590 226540 ) ( 2630590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2626590 1414540 ) ( 2650590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 1410540 ) ( 2646590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 1430540 ) ( 2709000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1426540 ) ( 2705000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2610590 5825000 ) ( 2620000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2614590 5794540 ) ( 2614590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2610590 5798540 ) ( 2634590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2630590 5170540 ) ( 2630590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2626590 5174540 ) ( 2650590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4546540 ) ( 2646590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 4550540 ) ( 2714590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4311000 ) ( 2710590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2714590 4315000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 2232295 5821000 ) ( 2232295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2230590 5794540 ) ( 2230590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2178590 5798540 ) ( 2234590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2182590 5762540 ) ( 2182590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2146590 5766540 ) ( 2186590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2150590 5490540 ) ( 2150590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5494540 ) ( 2154590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4866540 ) ( 2134590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 4870540 ) ( 2138590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4631000 ) ( 2070590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2074590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2036000 182540 ) ( 2074590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 178540 ) ( 2070590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 470540 ) ( 2074590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 466540 ) ( 2065000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1840000 5825000 ) ( 1840000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5830540 ) ( 1844000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5506540 ) ( 1814590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5510540 ) ( 1818590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5271000 ) ( 1750590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1754590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3890540 ) ( 175000 3900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3894540 ) ( 202590 3894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3890540 ) ( 198590 3914540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3910540 ) ( 890590 3910540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3906540 ) ( 886590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3926540 ) ( 1514590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3922540 ) ( 1510590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3990540 ) ( 1749000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3986540 ) ( 1745000 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1656000 182540 ) ( 1690590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 178540 ) ( 1686590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 550540 ) ( 1754590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 546540 ) ( 1750590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 790540 ) ( 1754590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 786540 ) ( 1745000 795000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2997270 ) ( 179000 2997270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2998540 ) ( 218590 2998540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2962540 ) ( 214590 3002540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2966540 ) ( 874590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2962540 ) ( 870590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3030540 ) ( 1109000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3026540 ) ( 1105000 3035000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 1397270 ) ( 179000 1397270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1398540 ) ( 218590 1398540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 1362540 ) ( 214590 1402540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 1366540 ) ( 874590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 1362540 ) ( 870590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 1430540 ) ( 1109000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1426540 ) ( 1105000 1435000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4277270 ) ( 179000 4277270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4278540 ) ( 218590 4278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 4242540 ) ( 214590 4282540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 4246540 ) ( 874590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 4242540 ) ( 870590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 4310540 ) ( 1109000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4306540 ) ( 1105000 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1016000 182540 ) ( 1050590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1046590 178540 ) ( 1046590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1042590 550540 ) ( 1114590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 546540 ) ( 1110590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 790540 ) ( 1114590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 786540 ) ( 1105000 795000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 2486270 ) ( 179000 2486270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2486540 ) ( 186590 2486540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2450540 ) ( 182590 2490540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2454540 ) ( 554590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2386540 ) ( 550590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2390540 ) ( 789000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2386540 ) ( 785000 2395000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 823295 5821000 ) ( 823295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 822590 5794540 ) ( 822590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 786590 5798540 ) ( 826590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 5591000 ) ( 790590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 794590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4740000 ) ( 186590 4740000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4706540 ) ( 182590 4744000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4710540 ) ( 202590 4710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4690540 ) ( 198590 4714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4694540 ) ( 554590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4626540 ) ( 550590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4630540 ) ( 789000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4626540 ) ( 785000 4635000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2677270 ) ( 5829000 2677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2678540 ) ( 5829000 2678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2674540 ) ( 5798590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2710540 ) ( 5802590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2706540 ) ( 5590590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5594590 2715000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 3957270 ) ( 5829000 3957270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3958540 ) ( 5829000 3958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3954540 ) ( 5798590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3990540 ) ( 5802590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3986540 ) ( 5590590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5594590 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1330540 ) ( 5825000 1340000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1334540 ) ( 5829000 1334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1330540 ) ( 5798590 1370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1366540 ) ( 5802590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1362540 ) ( 5510590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1430540 ) ( 5514590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1426540 ) ( 5270590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5274590 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5360000 5825000 ) ( 5360000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5330590 5830540 ) ( 5364000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5506540 ) ( 5334590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5510540 ) ( 5338590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5271000 ) ( 5270590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5274590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3570540 ) ( 5825000 3580000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3574540 ) ( 5829000 3574540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3570540 ) ( 5798590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3606540 ) ( 5802590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3602540 ) ( 5510590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3670540 ) ( 5514590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3666540 ) ( 5270590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5274590 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5236000 182540 ) ( 5274590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 178540 ) ( 5270590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5261000 470540 ) ( 5274590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 466540 ) ( 5265000 475000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 3063270 ) ( 5829000 3063270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3062540 ) ( 5829000 3062540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 5206540 ) ( 3018590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5010540 ) ( 3014590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 5014540 ) ( 3029000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 175000 ) ( 2940000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2936000 198540 ) ( 2970590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 194540 ) ( 2966590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 534540 ) ( 3018590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 530540 ) ( 3014590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 726540 ) ( 3029000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 722540 ) ( 3025000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2560000 175000 ) ( 2560000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2556000 198540 ) ( 2570590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2566590 194540 ) ( 2566590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2562590 214540 ) ( 2634590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 210540 ) ( 2630590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2626590 550540 ) ( 2650590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 546540 ) ( 2646590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 1174540 ) ( 2698590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 1170540 ) ( 2694590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 1366540 ) ( 2709000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1362540 ) ( 2705000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 5794540 ) ( 2620000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2616000 5798540 ) ( 2634590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 5186540 ) ( 2630590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2626590 5190540 ) ( 2650590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4562540 ) ( 2646590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 4566540 ) ( 2698590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 4370540 ) ( 2694590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 4374540 ) ( 2709000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2230000 5794540 ) ( 2230000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2210590 5798540 ) ( 2234000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2214590 5778540 ) ( 2214590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2178590 5782540 ) ( 2218590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2182590 5746540 ) ( 2182590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2146590 5750540 ) ( 2186590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 5506540 ) ( 2150590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5510540 ) ( 2154590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4882540 ) ( 2134590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 4886540 ) ( 2138590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4690540 ) ( 2070590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 4694540 ) ( 2074590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2040000 175000 ) ( 2040000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2036000 198540 ) ( 2058590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 194540 ) ( 2054590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 406540 ) ( 2069000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 402540 ) ( 2065000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1810590 5825000 ) ( 1840000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5522540 ) ( 1814590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5526540 ) ( 1818590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5330540 ) ( 1750590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5334540 ) ( 1754590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3900000 ) ( 202590 3900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3896000 ) ( 198590 3914540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3910540 ) ( 874590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3906540 ) ( 870590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3926540 ) ( 1498590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3922540 ) ( 1494590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3990540 ) ( 1690590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3986540 ) ( 1686590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3995000 ) ( 1745000 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1660000 175000 ) ( 1660000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1656000 198540 ) ( 1690590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 194540 ) ( 1686590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 534540 ) ( 1738590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 530540 ) ( 1734590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 726540 ) ( 1749000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 722540 ) ( 1745000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2962540 ) ( 175000 3000000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2966540 ) ( 858590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2962540 ) ( 854590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3030540 ) ( 1050590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3026540 ) ( 1046590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3035000 ) ( 1105000 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1362540 ) ( 175000 1400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1366540 ) ( 858590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1362540 ) ( 854590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 1430540 ) ( 1050590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1426540 ) ( 1046590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1435000 ) ( 1105000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4242540 ) ( 175000 4280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4246540 ) ( 858590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4242540 ) ( 854590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4310540 ) ( 1050590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4306540 ) ( 1046590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4315000 ) ( 1105000 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1020000 175000 ) ( 1020000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1016000 198540 ) ( 1050590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 194540 ) ( 1046590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 534540 ) ( 1098590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 530540 ) ( 1094590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 726540 ) ( 1109000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 722540 ) ( 1105000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2450540 ) ( 175000 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2454540 ) ( 538590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2402540 ) ( 534590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2406540 ) ( 730590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2391000 ) ( 726590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2395000 ) ( 785000 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 786590 5825000 ) ( 820000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 790590 5650540 ) ( 790590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 781000 5654540 ) ( 794590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4740000 ) ( 202590 4740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4722540 ) ( 198590 4744000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4726540 ) ( 218590 4726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4690540 ) ( 214590 4730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4694540 ) ( 538590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4642540 ) ( 534590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4646540 ) ( 730590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4631000 ) ( 726590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4635000 ) ( 785000 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2680000 ) ( 5825000 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2710540 ) ( 5829000 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2706540 ) ( 5654590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5658590 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3960000 ) ( 5825000 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3990540 ) ( 5829000 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3986540 ) ( 5654590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5658590 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1340000 ) ( 5825000 1340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1336000 ) ( 5798590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1366540 ) ( 5802590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1362540 ) ( 5526590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1430540 ) ( 5530590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1426540 ) ( 5334590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5338590 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5330590 5825000 ) ( 5360000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5522540 ) ( 5334590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5526540 ) ( 5338590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5330540 ) ( 5270590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5334540 ) ( 5274590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3580000 ) ( 5825000 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3576000 ) ( 5798590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3606540 ) ( 5802590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3602540 ) ( 5526590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3670540 ) ( 5530590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3666540 ) ( 5334590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5338590 3675000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5240000 175000 ) ( 5240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5236000 198540 ) ( 5258590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5254590 194540 ) ( 5254590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5250590 406540 ) ( 5269000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 402540 ) ( 5265000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3060000 ) ( 5825000 3060000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3056000 ) ( 5798590 3066540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3062540 ) ( 5802590 3062540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3058540 ) ( 5782590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3094540 ) ( 5786590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3031000 ) ( 4950590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 4954590 3035000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1783270 ) ( 5829000 1783270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1782540 ) ( 5829000 1782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3094540 ) ( 5786590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3042540 ) ( 5206590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3046540 ) ( 5210590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3031000 ) ( 5014590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5018590 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1780000 ) ( 5825000 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1776000 ) ( 5798590 1786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1782540 ) ( 5802590 1782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1778540 ) ( 5782590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1814540 ) ( 5786590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1751000 ) ( 4950590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 4954590 1755000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4343270 ) ( 5829000 4343270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4342540 ) ( 5829000 4342540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1814540 ) ( 5786590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1762540 ) ( 5206590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1766540 ) ( 5210590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1751000 ) ( 5014590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5018590 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4340000 ) ( 5825000 4340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4336000 ) ( 5798590 4346540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4342540 ) ( 5802590 4342540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4338540 ) ( 5782590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4374540 ) ( 5786590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4311000 ) ( 4950590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 4954590 4315000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4597295 5821000 ) ( 4597295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4598590 5778540 ) ( 4598590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5782540 ) ( 4602590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5186540 ) ( 4566590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5190540 ) ( 4634590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4951000 ) ( 4630590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4634590 4955000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 2166270 ) ( 5829000 2166270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2166540 ) ( 5829000 2166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2146540 ) ( 5798590 2170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2150540 ) ( 5802590 2150540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2130540 ) ( 4934590 2154540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2134540 ) ( 4938590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2071000 ) ( 4310590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4314590 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4216000 182540 ) ( 4250590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 178540 ) ( 4246590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 550540 ) ( 4314590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 546540 ) ( 4310590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 790540 ) ( 4314590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 786540 ) ( 4305000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3850590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3846590 171000 ) ( 3846590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3842590 198540 ) ( 3882590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3878590 194540 ) ( 3878590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3874590 230540 ) ( 3914590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3910590 226540 ) ( 3910590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3906590 1414540 ) ( 3930590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 1410540 ) ( 3926590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 1430540 ) ( 3989000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1426540 ) ( 3985000 1435000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 4152295 5821000 ) ( 4152295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4150590 5794540 ) ( 4150590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4098590 5798540 ) ( 4154590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4102590 5762540 ) ( 4102590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4066590 5766540 ) ( 4106590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4070590 5490540 ) ( 4070590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5494540 ) ( 4074590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4866540 ) ( 4054590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 4870540 ) ( 4058590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4631000 ) ( 3990590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3994590 4635000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3703295 5821000 ) ( 3703295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3702590 5794540 ) ( 3702590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5798540 ) ( 3706590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5591000 ) ( 3670590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3674590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3380000 ) ( 186590 3380000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3346540 ) ( 182590 3384000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3350540 ) ( 469000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3346540 ) ( 465000 3355000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2100000 ) ( 186590 2100000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2066540 ) ( 182590 2104000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2070540 ) ( 469000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2066540 ) ( 465000 2075000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4374540 ) ( 5786590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4322540 ) ( 5206590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4326540 ) ( 5210590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4311000 ) ( 5014590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5018590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4562590 5825000 ) ( 4600000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5202540 ) ( 4566590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 5206540 ) ( 4618590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 5010540 ) ( 4614590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 5014540 ) ( 4629000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2170000 ) ( 5825000 2170000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2146540 ) ( 5798590 2174000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2150540 ) ( 5802590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2130540 ) ( 5190590 2154540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2134540 ) ( 5194590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2082540 ) ( 4566590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2086540 ) ( 4570590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2071000 ) ( 4374590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4378590 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4220000 175000 ) ( 4220000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4216000 198540 ) ( 4250590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 194540 ) ( 4246590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 534540 ) ( 4298590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 530540 ) ( 4294590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 726540 ) ( 4309000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 722540 ) ( 4305000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3840000 175000 ) ( 3840000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3836000 198540 ) ( 3850590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3846590 194540 ) ( 3846590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3842590 214540 ) ( 3914590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 210540 ) ( 3910590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3906590 550540 ) ( 3930590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 546540 ) ( 3926590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 1174540 ) ( 3978590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 1170540 ) ( 3974590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 1366540 ) ( 3989000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1362540 ) ( 3985000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4150000 5794540 ) ( 4150000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4130590 5798540 ) ( 4154000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4134590 5778540 ) ( 4134590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4098590 5782540 ) ( 4138590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4102590 5746540 ) ( 4102590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4066590 5750540 ) ( 4106590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 5506540 ) ( 4070590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5510540 ) ( 4074590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4882540 ) ( 4054590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 4886540 ) ( 4058590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4690540 ) ( 3990590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 4694540 ) ( 3994590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3666590 5825000 ) ( 3700000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5650540 ) ( 3670590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5654540 ) ( 3674590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3380000 ) ( 202590 3380000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3362540 ) ( 198590 3384000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3366540 ) ( 410590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3351000 ) ( 406590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3355000 ) ( 465000 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2100000 ) ( 202590 2100000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2082540 ) ( 198590 2104000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2086540 ) ( 410590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2071000 ) ( 406590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2903,264 +2910,260 @@ SPECIALNETS 139 ; ( BUMP_10_14 PAD ) ( BUMP_12_12 PAD ) ( BUMP_13_16 PAD ) ( BUMP_16_16 PAD ) ( BUMP_16_12 PAD ) ( BUMP_15_11 PAD ) ( BUMP_13_10 PAD ) ( BUMP_16_8 PAD ) ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3383295 171000 ) ( 3383295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3382590 171000 ) ( 3382590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3380000 175000 ) ( 3380000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3376000 198540 ) ( 3386590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3382590 194540 ) ( 3382590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3378590 214540 ) ( 3418590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 210540 ) ( 3414590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 870540 ) ( 3418590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 866540 ) ( 3350590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1110540 ) ( 3354590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1106540 ) ( 3345000 1115000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2890590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2886590 171000 ) ( 2886590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2882590 198540 ) ( 2922590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2918590 194540 ) ( 2918590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2914590 230540 ) ( 2954590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2950590 226540 ) ( 2950590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2946590 1414540 ) ( 2970590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 1410540 ) ( 2966590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 1430540 ) ( 3029000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1426540 ) ( 3025000 1435000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3126295 5821000 ) ( 3126295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5794540 ) ( 3126590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5798540 ) ( 3130590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5506540 ) ( 3094590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5510540 ) ( 3098590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5271000 ) ( 3030590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3034590 5275000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2677295 5821000 ) ( 2677295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2678590 5778540 ) ( 2678590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 5782540 ) ( 2682590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5186540 ) ( 2646590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 5190540 ) ( 2714590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4951000 ) ( 2710590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2714590 4955000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2486295 171000 ) ( 2486295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2486590 171000 ) ( 2486590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2466590 198540 ) ( 2490590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2470590 194540 ) ( 2470590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 886540 ) ( 2474590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 882540 ) ( 2454590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 1510540 ) ( 2458590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 1506540 ) ( 2390590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1750540 ) ( 2394590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1746540 ) ( 2385000 1755000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2290590 5825000 ) ( 2300000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2294590 5794540 ) ( 2294590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2290590 5798540 ) ( 2314590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2310590 5170540 ) ( 2310590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2306590 5174540 ) ( 2330590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 4546540 ) ( 2326590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 4550540 ) ( 2394590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4311000 ) ( 2390590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2394590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1976000 182540 ) ( 2010590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 178540 ) ( 2006590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 550540 ) ( 2074590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 546540 ) ( 2070590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 790540 ) ( 2074590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 786540 ) ( 2065000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1610590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1606590 171000 ) ( 1606590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1602590 198540 ) ( 1642590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1638590 194540 ) ( 1638590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1634590 230540 ) ( 1674590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1670590 226540 ) ( 1670590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1666590 1414540 ) ( 1690590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 1410540 ) ( 1686590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 1430540 ) ( 1749000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1426540 ) ( 1745000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1890590 5825000 ) ( 1900000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1894590 5794540 ) ( 1894590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1858590 5798540 ) ( 1898590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1862590 5762540 ) ( 1862590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1826590 5766540 ) ( 1866590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1830590 5490540 ) ( 1830590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5494540 ) ( 1834590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 4866540 ) ( 1814590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 4870540 ) ( 1818590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4631000 ) ( 1750590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1754590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2550000 ) ( 186590 2550000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2514540 ) ( 182590 2554000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2518540 ) ( 202590 2518540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2498540 ) ( 198590 2522540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2502540 ) ( 234590 2502540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2466540 ) ( 230590 2506540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2470540 ) ( 570590 2470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 2450540 ) ( 566590 2474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 2454540 ) ( 1194590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2386540 ) ( 1190590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2390540 ) ( 1429000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2386540 ) ( 1425000 2395000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4800000 ) ( 186590 4800000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4770540 ) ( 182590 4804000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4774540 ) ( 234590 4774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4738540 ) ( 230590 4778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4742540 ) ( 266590 4742540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 262590 4706540 ) ( 262590 4746540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 258590 4710540 ) ( 570590 4710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4690540 ) ( 566590 4714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4694540 ) ( 1194590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 4626540 ) ( 1190590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 4630540 ) ( 1429000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4626540 ) ( 1425000 4635000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1143295 5821000 ) ( 1143295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1142590 5794540 ) ( 1142590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5798540 ) ( 1146590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5591000 ) ( 1110590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1114590 5595000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 3957270 ) ( 179000 3957270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3958540 ) ( 218590 3958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 3922540 ) ( 214590 3962540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 3926540 ) ( 874590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3922540 ) ( 870590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3990540 ) ( 1109000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3986540 ) ( 1105000 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3440000 ) ( 186590 3440000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3410540 ) ( 182590 3444000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3414540 ) ( 554590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3346540 ) ( 550590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3350540 ) ( 789000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3346540 ) ( 785000 3355000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2160000 ) ( 186590 2160000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2130540 ) ( 182590 2164000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2134540 ) ( 554590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2066540 ) ( 550590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2070540 ) ( 789000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2066540 ) ( 785000 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 756000 182540 ) ( 794590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 178540 ) ( 790590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 781000 470540 ) ( 794590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 466540 ) ( 785000 475000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2997270 ) ( 5829000 2997270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2998540 ) ( 5829000 2998540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2994540 ) ( 5798590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3030540 ) ( 5802590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3026540 ) ( 5590590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5594590 3035000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1717270 ) ( 5829000 1717270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1718540 ) ( 5829000 1718540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1714540 ) ( 5798590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1750540 ) ( 5802590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1746540 ) ( 5590590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5594590 1755000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 5623295 5821000 ) ( 5623295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5622590 5794540 ) ( 5622590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 5798540 ) ( 5626590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5591000 ) ( 5590590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5594590 5595000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 4277270 ) ( 5829000 4277270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4278540 ) ( 5829000 4278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4274540 ) ( 5798590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4310540 ) ( 5802590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4306540 ) ( 5590590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5594590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2610540 ) ( 5825000 2620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2614540 ) ( 5829000 2614540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2610540 ) ( 5798590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2646540 ) ( 5802590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2642540 ) ( 5510590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2710540 ) ( 5514590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2706540 ) ( 5270590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5274590 2715000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3890540 ) ( 5825000 3900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3894540 ) ( 5829000 3894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3890540 ) ( 5798590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3926540 ) ( 5802590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3922540 ) ( 5510590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3990540 ) ( 5514590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3986540 ) ( 5270590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5274590 3995000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2110000 ) ( 5834590 2110000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5830590 2106000 ) ( 5830590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2134540 ) ( 5834590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2071000 ) ( 4950590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 4954590 2075000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4916000 182540 ) ( 4954590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 178540 ) ( 4950590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4941000 470540 ) ( 4954590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 466540 ) ( 4945000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1280000 ) ( 5825000 1290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1286540 ) ( 5829000 1286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1282540 ) ( 5798590 1322540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1318540 ) ( 5802590 1318540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1314540 ) ( 5766590 1354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1350540 ) ( 5770590 1350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1346540 ) ( 5494590 1370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 1366540 ) ( 5498590 1366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 1362540 ) ( 4870590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 1430540 ) ( 4874590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 1426540 ) ( 4630590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4634590 1435000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 4663295 5821000 ) ( 4663295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4662590 5794540 ) ( 4662590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5798540 ) ( 4666590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5591000 ) ( 4630590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4634590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3520000 ) ( 5825000 3530540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3526540 ) ( 5829000 3526540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3522540 ) ( 5798590 3562540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3558540 ) ( 5802590 3558540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3554540 ) ( 5766590 3594540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3590540 ) ( 5770590 3590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3586540 ) ( 5494590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3606540 ) ( 5498590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3602540 ) ( 4870590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3670540 ) ( 4874590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3666540 ) ( 4630590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4634590 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4170590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4166590 171000 ) ( 4166590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4162590 198540 ) ( 4202590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4198590 194540 ) ( 4198590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4194590 230540 ) ( 4234590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4230590 226540 ) ( 4230590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4226590 1414540 ) ( 4250590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 1410540 ) ( 4246590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 1430540 ) ( 4309000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1426540 ) ( 4305000 1435000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4197295 5821000 ) ( 4197295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4198590 5794540 ) ( 4198590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4194590 5798540 ) ( 4234590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4230590 5170540 ) ( 4230590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4226590 5174540 ) ( 4250590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 4546540 ) ( 4246590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 4550540 ) ( 4314590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4311000 ) ( 4310590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4314590 4315000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3766295 171000 ) ( 3766295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3766590 171000 ) ( 3766590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3746590 198540 ) ( 3770590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3750590 194540 ) ( 3750590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 886540 ) ( 3754590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 882540 ) ( 3734590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 1510540 ) ( 3738590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 1506540 ) ( 3670590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1750540 ) ( 3674590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1746540 ) ( 3665000 1755000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 3637295 5821000 ) ( 3637295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3638590 5778540 ) ( 3638590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 5782540 ) ( 3642590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5186540 ) ( 3606590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 5190540 ) ( 3674590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4951000 ) ( 3670590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3674590 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3060000 ) ( 186590 3060000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3026540 ) ( 182590 3064000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3030540 ) ( 469000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3026540 ) ( 465000 3035000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1460000 ) ( 186590 1460000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1426540 ) ( 182590 1464000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1430540 ) ( 469000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 1426540 ) ( 465000 1435000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4340000 ) ( 186590 4340000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4306540 ) ( 182590 4344000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4310540 ) ( 469000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4306540 ) ( 465000 4315000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 210540 ) ( 3414590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 854540 ) ( 3418590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 850540 ) ( 3350590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1046540 ) ( 3354590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1042540 ) ( 3345000 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2880000 175000 ) ( 2880000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2876000 198540 ) ( 2890590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2886590 194540 ) ( 2886590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2882590 214540 ) ( 2954590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2950590 210540 ) ( 2950590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2946590 550540 ) ( 2970590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 546540 ) ( 2966590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 1174540 ) ( 3018590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 1170540 ) ( 3014590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 1366540 ) ( 3029000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1362540 ) ( 3025000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3130000 5794540 ) ( 3130000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3122590 5798540 ) ( 3134000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5778540 ) ( 3126590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5782540 ) ( 3130590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5522540 ) ( 3094590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5526540 ) ( 3098590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5330540 ) ( 3030590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5334540 ) ( 3034590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2642590 5825000 ) ( 2680000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5202540 ) ( 2646590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 5206540 ) ( 2698590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 5010540 ) ( 2694590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 5014540 ) ( 2709000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2490000 175000 ) ( 2490000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2466590 198540 ) ( 2494000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 194540 ) ( 2470590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 870540 ) ( 2474590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 866540 ) ( 2454590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 1494540 ) ( 2458590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 1490540 ) ( 2390590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1686540 ) ( 2394590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1682540 ) ( 2385000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2300000 5794540 ) ( 2300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2296000 5798540 ) ( 2314590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 5186540 ) ( 2310590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2306590 5190540 ) ( 2330590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 4562540 ) ( 2326590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 4566540 ) ( 2378590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 4370540 ) ( 2374590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 4374540 ) ( 2389000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 175000 ) ( 1980000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1976000 198540 ) ( 2010590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 194540 ) ( 2006590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 534540 ) ( 2058590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 530540 ) ( 2054590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 726540 ) ( 2069000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 722540 ) ( 2065000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1600000 175000 ) ( 1600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1596000 198540 ) ( 1610590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1606590 194540 ) ( 1606590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1602590 214540 ) ( 1674590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1670590 210540 ) ( 1670590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1666590 550540 ) ( 1690590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 546540 ) ( 1686590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 1174540 ) ( 1738590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 1170540 ) ( 1734590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 1366540 ) ( 1749000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1362540 ) ( 1745000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1900000 5794540 ) ( 1900000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1890590 5798540 ) ( 1904000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1894590 5778540 ) ( 1894590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1826590 5782540 ) ( 1898590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 5506540 ) ( 1830590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5510540 ) ( 1834590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 4882540 ) ( 1814590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 4886540 ) ( 1818590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4690540 ) ( 1750590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 4694540 ) ( 1754590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2550000 ) ( 202590 2550000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2530540 ) ( 198590 2554000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2534540 ) ( 218590 2534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2498540 ) ( 214590 2538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2502540 ) ( 250590 2502540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2466540 ) ( 246590 2506540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 2470540 ) ( 554590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 2450540 ) ( 550590 2474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 2454540 ) ( 1178590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2402540 ) ( 1174590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2406540 ) ( 1370590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2391000 ) ( 1366590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2395000 ) ( 1425000 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4800000 ) ( 202590 4800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4786540 ) ( 198590 4804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4790540 ) ( 218590 4790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4738540 ) ( 214590 4794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4742540 ) ( 250590 4742540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4706540 ) ( 246590 4746540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 4710540 ) ( 554590 4710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4690540 ) ( 550590 4714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4694540 ) ( 1178590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4642540 ) ( 1174590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4646540 ) ( 1370590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4631000 ) ( 1366590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4635000 ) ( 1425000 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1106590 5825000 ) ( 1140000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5650540 ) ( 1110590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5654540 ) ( 1114590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3922540 ) ( 175000 3960000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3926540 ) ( 858590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3922540 ) ( 854590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3990540 ) ( 1050590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3986540 ) ( 1046590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3995000 ) ( 1105000 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3440000 ) ( 202590 3440000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3410540 ) ( 198590 3444000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3414540 ) ( 538590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3362540 ) ( 534590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3366540 ) ( 730590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3351000 ) ( 726590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3355000 ) ( 785000 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2160000 ) ( 202590 2160000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2130540 ) ( 198590 2164000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2134540 ) ( 538590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2082540 ) ( 534590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2086540 ) ( 730590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2071000 ) ( 726590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2075000 ) ( 785000 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 760000 175000 ) ( 760000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 756000 198540 ) ( 778590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 194540 ) ( 774590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 406540 ) ( 789000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 402540 ) ( 785000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3000000 ) ( 5825000 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3030540 ) ( 5829000 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3026540 ) ( 5654590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5658590 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1720000 ) ( 5825000 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1750540 ) ( 5829000 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1746540 ) ( 5654590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5658590 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5586590 5825000 ) ( 5620000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5650540 ) ( 5590590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5581000 5654540 ) ( 5594590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4280000 ) ( 5825000 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4310540 ) ( 5829000 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4306540 ) ( 5654590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5658590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2620000 ) ( 5825000 2620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2616000 ) ( 5798590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2646540 ) ( 5802590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2642540 ) ( 5526590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2710540 ) ( 5530590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2706540 ) ( 5334590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5338590 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3900000 ) ( 5825000 3900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3896000 ) ( 5798590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3926540 ) ( 5802590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3922540 ) ( 5526590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3990540 ) ( 5530590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3986540 ) ( 5334590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5338590 3995000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2110000 ) ( 5825000 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2134540 ) ( 5829000 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2082540 ) ( 5206590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2086540 ) ( 5210590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2071000 ) ( 5014590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 5018590 2075000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4920000 175000 ) ( 4920000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4916000 198540 ) ( 4938590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 194540 ) ( 4934590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 406540 ) ( 4949000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 402540 ) ( 4945000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1280000 ) ( 5825000 1280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1276000 ) ( 5798590 1290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1286540 ) ( 5802590 1286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1282540 ) ( 5782590 1354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1350540 ) ( 5786590 1350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1346540 ) ( 5510590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 1366540 ) ( 5514590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1362540 ) ( 4886590 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1430540 ) ( 4890590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1426540 ) ( 4694590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4698590 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4626590 5825000 ) ( 4660000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5650540 ) ( 4630590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5654540 ) ( 4634590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3520000 ) ( 5825000 3520000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3516000 ) ( 5798590 3530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3526540 ) ( 5802590 3526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3522540 ) ( 5782590 3594540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3590540 ) ( 5786590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3586540 ) ( 5510590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3606540 ) ( 5514590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3602540 ) ( 4886590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3670540 ) ( 4890590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3666540 ) ( 4694590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4698590 3675000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4160000 175000 ) ( 4160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4156000 198540 ) ( 4170590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4166590 194540 ) ( 4166590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4162590 214540 ) ( 4234590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 210540 ) ( 4230590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4226590 550540 ) ( 4250590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 546540 ) ( 4246590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 1174540 ) ( 4298590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 1170540 ) ( 4294590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 1366540 ) ( 4309000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1362540 ) ( 4305000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4200000 5794540 ) ( 4200000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4196000 5798540 ) ( 4234590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 5186540 ) ( 4230590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4226590 5190540 ) ( 4250590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 4562540 ) ( 4246590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 4566540 ) ( 4298590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 4370540 ) ( 4294590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 4374540 ) ( 4309000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3770000 175000 ) ( 3770000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3746590 198540 ) ( 3774000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 194540 ) ( 3750590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 870540 ) ( 3754590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 866540 ) ( 3734590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 1494540 ) ( 3738590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 1490540 ) ( 3670590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1686540 ) ( 3674590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1682540 ) ( 3665000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3602590 5825000 ) ( 3640000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5202540 ) ( 3606590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 5206540 ) ( 3658590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 5010540 ) ( 3654590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 5014540 ) ( 3669000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3060000 ) ( 202590 3060000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3042540 ) ( 198590 3064000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3046540 ) ( 410590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3031000 ) ( 406590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3035000 ) ( 465000 3035000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1460000 ) ( 202590 1460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1442540 ) ( 198590 1464000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1446540 ) ( 410590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1431000 ) ( 406590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1435000 ) ( 465000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4340000 ) ( 202590 4340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4322540 ) ( 198590 4344000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4326540 ) ( 410590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4311000 ) ( 406590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4315000 ) ( 465000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3311,128 +3314,119 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VDD ) ( IO_FILL_IO_WEST_0_485 VDD ) ( BUMP_2_4 PAD ) ( BUMP_1_7 PAD ) ( BUMP_1_10 PAD ) ( BUMP_0_14 PAD ) ( BUMP_4_14 PAD ) ( BUMP_7_15 PAD ) ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3210590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3206590 171000 ) ( 3206590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3202590 198540 ) ( 3242590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3238590 194540 ) ( 3238590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3234590 230540 ) ( 3274590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3270590 226540 ) ( 3270590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3266590 1414540 ) ( 3290590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 1410540 ) ( 3286590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 1430540 ) ( 3349000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1426540 ) ( 3345000 1435000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3286295 5821000 ) ( 3286295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5794540 ) ( 3286590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3266590 5798540 ) ( 3290590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3270590 5186540 ) ( 3270590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3266590 5190540 ) ( 3290590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 4546540 ) ( 3286590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 4550540 ) ( 3354590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4311000 ) ( 3350590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3354590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2800000 5825000 ) ( 2800000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5830540 ) ( 2804000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 5506540 ) ( 2774590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 5510540 ) ( 2778590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5271000 ) ( 2710590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2714590 5275000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2250590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2246590 171000 ) ( 2246590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2242590 198540 ) ( 2282590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2278590 194540 ) ( 2278590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2274590 230540 ) ( 2314590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2310590 226540 ) ( 2310590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2306590 1414540 ) ( 2330590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 1410540 ) ( 2326590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 1430540 ) ( 2389000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1426540 ) ( 2385000 1435000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 1717295 5821000 ) ( 1717295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1718590 5778540 ) ( 1718590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 5782540 ) ( 1722590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1686590 5186540 ) ( 1686590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1682590 5190540 ) ( 1754590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4951000 ) ( 1750590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1754590 4955000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 1717270 ) ( 179000 1717270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1718540 ) ( 218590 1718540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 1682540 ) ( 214590 1722540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 1686540 ) ( 874590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 1682540 ) ( 870590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 1750540 ) ( 1109000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1746540 ) ( 1105000 1755000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1143295 171000 ) ( 1143295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1142590 171000 ) ( 1142590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3200000 175000 ) ( 3200000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3196000 198540 ) ( 3210590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3206590 194540 ) ( 3206590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3202590 214540 ) ( 3274590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3270590 210540 ) ( 3270590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3266590 550540 ) ( 3290590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 546540 ) ( 3286590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 1174540 ) ( 3338590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 1170540 ) ( 3334590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 1366540 ) ( 3349000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1362540 ) ( 3345000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3985000 4242540 ) ( 3985000 4315000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4246540 ) ( 3989000 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4242540 ) ( 3606590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 4310540 ) ( 3610590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4306540 ) ( 3414590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3418590 4315000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2770590 5825000 ) ( 2800000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 5522540 ) ( 2774590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 5526540 ) ( 2778590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5330540 ) ( 2710590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 5334540 ) ( 2714590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2240000 175000 ) ( 2240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2236000 198540 ) ( 2250590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2246590 194540 ) ( 2246590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2242590 214540 ) ( 2314590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 210540 ) ( 2310590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2306590 550540 ) ( 2330590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 546540 ) ( 2326590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 1174540 ) ( 2378590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 1170540 ) ( 2374590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 1366540 ) ( 2389000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1362540 ) ( 2385000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1682590 5825000 ) ( 1720000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 5202540 ) ( 1686590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 5206540 ) ( 1738590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 5010540 ) ( 1734590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 5014540 ) ( 1749000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1682540 ) ( 175000 1720000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1686540 ) ( 858590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1682540 ) ( 854590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 1750540 ) ( 1050590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1746540 ) ( 1046590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1755000 ) ( 1105000 1755000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1140000 175000 ) ( 1140000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1136000 198540 ) ( 1146590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1142590 194540 ) ( 1142590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 1138590 214540 ) ( 1178590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1174590 210540 ) ( 1174590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 870540 ) ( 1178590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 866540 ) ( 1110590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 1110540 ) ( 1114590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1106540 ) ( 1105000 1115000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 2806270 ) ( 179000 2806270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2806540 ) ( 186590 2806540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2770540 ) ( 182590 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2774540 ) ( 554590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 2706540 ) ( 550590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 2710540 ) ( 789000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 2706540 ) ( 785000 2715000 ) - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 3766270 ) ( 179000 3766270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3766540 ) ( 186590 3766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3730540 ) ( 182590 3770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3734540 ) ( 554590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3666540 ) ( 550590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3670540 ) ( 789000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3666540 ) ( 785000 3675000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3330000 ) ( 5825000 3338540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3334540 ) ( 5829000 3334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3330540 ) ( 5798590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3350540 ) ( 5802590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3346540 ) ( 5590590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5594590 3355000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 4597270 ) ( 5829000 4597270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4598540 ) ( 5829000 4598540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4594540 ) ( 5798590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4630540 ) ( 5802590 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4626540 ) ( 5590590 4639000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5594590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2290540 ) ( 5825000 2300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2294540 ) ( 5829000 2294540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2290540 ) ( 5798590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2326540 ) ( 5802590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2322540 ) ( 5510590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2390540 ) ( 5514590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2386540 ) ( 5270590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5274590 2395000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1010540 ) ( 5825000 1020000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1014540 ) ( 5829000 1014540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1010540 ) ( 5798590 1050540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1046540 ) ( 5802590 1046540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1042540 ) ( 5510590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1110540 ) ( 5514590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1106540 ) ( 5270590 1119000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5274590 1115000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 4983295 5821000 ) ( 4983295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4982590 5794540 ) ( 4982590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5798540 ) ( 4986590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5591000 ) ( 4950590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4954590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4276000 182540 ) ( 4314590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 178540 ) ( 4310590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 470540 ) ( 4314590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 466540 ) ( 4305000 475000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3890590 5825000 ) ( 3900000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3894590 5794540 ) ( 3894590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3890590 5798540 ) ( 3914590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3910590 5170540 ) ( 3910590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3906590 5174540 ) ( 3930590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 4546540 ) ( 3926590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 4550540 ) ( 3994590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4311000 ) ( 3990590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3994590 4315000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4980000 ) ( 186590 4980000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4946540 ) ( 182590 4984000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4950540 ) ( 469000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4946540 ) ( 465000 4955000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 210540 ) ( 1174590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1106590 854540 ) ( 1178590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 850540 ) ( 1110590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 1046540 ) ( 1114590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1042540 ) ( 1105000 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2770540 ) ( 175000 2810000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2774540 ) ( 538590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 2722540 ) ( 534590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 2726540 ) ( 730590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2711000 ) ( 726590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2715000 ) ( 785000 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3730540 ) ( 175000 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3734540 ) ( 538590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3682540 ) ( 534590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3686540 ) ( 730590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3671000 ) ( 726590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3675000 ) ( 785000 3675000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3330000 ) ( 5825000 3330000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3326000 ) ( 5798590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3350540 ) ( 5802590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3346540 ) ( 5654590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5658590 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4600000 ) ( 5825000 4634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4630540 ) ( 5829000 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4626540 ) ( 5654590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5658590 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2300000 ) ( 5825000 2300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2296000 ) ( 5798590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2326540 ) ( 5802590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2322540 ) ( 5526590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2390540 ) ( 5530590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2386540 ) ( 5334590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5338590 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1020000 ) ( 5825000 1020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1016000 ) ( 5798590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1046540 ) ( 5802590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1042540 ) ( 5526590 1114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1110540 ) ( 5530590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1106540 ) ( 5334590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5338590 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4946590 5825000 ) ( 4980000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5650540 ) ( 4950590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5654540 ) ( 4954590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4280000 175000 ) ( 4280000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4276000 198540 ) ( 4298590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 194540 ) ( 4294590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 406540 ) ( 4309000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 402540 ) ( 4305000 475000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 5794540 ) ( 3900000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3896000 5798540 ) ( 3914590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 5186540 ) ( 3910590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3906590 5190540 ) ( 3930590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 4562540 ) ( 3926590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 4566540 ) ( 3978590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 4370540 ) ( 3974590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 4374540 ) ( 3989000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4378540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4980000 ) ( 202590 4980000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4962540 ) ( 198590 4984000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4966540 ) ( 410590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4951000 ) ( 406590 4970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4955000 ) ( 465000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3583,1278 +3577,1307 @@ SPECIALNETS 139 ; ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) ( BUMP_1_3 PAD ) ( BUMP_0_7 PAD ) ( BUMP_0_10 PAD ) ( BUMP_2_14 PAD ) ( BUMP_3_15 PAD ) ( BUMP_7_16 PAD ) ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3378590 5825000 ) ( 3390000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3382590 5794540 ) ( 3382590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5798540 ) ( 3386590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5591000 ) ( 3350590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3354590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3256000 182540 ) ( 3290590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3286590 178540 ) ( 3286590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 550540 ) ( 3354590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 546540 ) ( 3350590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 790540 ) ( 3354590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 786540 ) ( 3345000 795000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2743295 5821000 ) ( 2743295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2742590 5794540 ) ( 2742590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 5798540 ) ( 2746590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5591000 ) ( 2710590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2714590 5595000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2296000 182540 ) ( 2330590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 178540 ) ( 2326590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 550540 ) ( 2394590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 546540 ) ( 2390590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 790540 ) ( 2394590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 786540 ) ( 2385000 795000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1290590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1286590 171000 ) ( 1286590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1282590 198540 ) ( 1322590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1318590 194540 ) ( 1318590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1314590 230540 ) ( 1354590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1350590 226540 ) ( 1350590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1346590 1414540 ) ( 1370590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1410540 ) ( 1366590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1430540 ) ( 1429000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1426540 ) ( 1425000 1435000 ) - + ROUTED metal10 7410 + SHAPE IOWIRE ( 1526295 5821000 ) ( 1526295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1526590 5794540 ) ( 1526590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1490590 5798540 ) ( 1530590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1494590 5506540 ) ( 1494590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5510540 ) ( 1498590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5271000 ) ( 1430590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1434590 5275000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4917270 ) ( 179000 4917270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4918540 ) ( 218590 4918540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 4882540 ) ( 214590 4922540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 4886540 ) ( 874590 4886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 4882540 ) ( 870590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 4950540 ) ( 1109000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4946540 ) ( 1105000 4955000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1520000 ) ( 186590 1520000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1490540 ) ( 182590 1524000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1494540 ) ( 554590 1494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 1426540 ) ( 550590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 1430540 ) ( 789000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 1426540 ) ( 785000 1435000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 2357270 ) ( 5829000 2357270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2358540 ) ( 5829000 2358540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2354540 ) ( 5798590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2390540 ) ( 5802590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2386540 ) ( 5590590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5594590 2395000 ) - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1077270 ) ( 5829000 1077270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1078540 ) ( 5829000 1078540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1074540 ) ( 5798590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1110540 ) ( 5802590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1106540 ) ( 5590590 1119000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5594590 1115000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3390000 ) ( 5834590 3390000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5830590 3386000 ) ( 5830590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3414540 ) ( 5834590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3351000 ) ( 4950590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 4954590 3355000 ) - + ROUTED metal10 5410 + SHAPE IOWIRE ( 4917295 5821000 ) ( 4917295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4918590 5778540 ) ( 4918590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 5782540 ) ( 4922590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4886590 5186540 ) ( 4886590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 5190540 ) ( 4954590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4951000 ) ( 4950590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4954590 4955000 ) - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4663270 ) ( 5829000 4663270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4662540 ) ( 5829000 4662540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3362590 5825000 ) ( 3390000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3366590 5794540 ) ( 3366590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5798540 ) ( 3370590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5650540 ) ( 3350590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5654540 ) ( 3354590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3260000 175000 ) ( 3260000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3256000 198540 ) ( 3290590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 194540 ) ( 3286590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 534540 ) ( 3338590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 530540 ) ( 3334590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 726540 ) ( 3349000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 722540 ) ( 3345000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2706590 5825000 ) ( 2740000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5650540 ) ( 2710590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 5654540 ) ( 2714590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5658540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2300000 175000 ) ( 2300000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2296000 198540 ) ( 2330590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 194540 ) ( 2326590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 534540 ) ( 2378590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 530540 ) ( 2374590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 726540 ) ( 2389000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 722540 ) ( 2385000 795000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1280000 175000 ) ( 1280000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1276000 198540 ) ( 1290590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1286590 194540 ) ( 1286590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1282590 214540 ) ( 1354590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1350590 210540 ) ( 1350590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1346590 550540 ) ( 1370590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 546540 ) ( 1366590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1174540 ) ( 1418590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 1170540 ) ( 1414590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 1366540 ) ( 1429000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1362540 ) ( 1425000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1530000 5794540 ) ( 1530000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1522590 5798540 ) ( 1534000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1526590 5778540 ) ( 1526590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 5782540 ) ( 1530590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 5522540 ) ( 1494590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5526540 ) ( 1498590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5330540 ) ( 1430590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5334540 ) ( 1434590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5338540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4882540 ) ( 175000 4920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4886540 ) ( 858590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4882540 ) ( 854590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4950540 ) ( 1050590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4946540 ) ( 1046590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4955000 ) ( 1105000 4955000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1520000 ) ( 202590 1520000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1490540 ) ( 198590 1524000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1494540 ) ( 538590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 1442540 ) ( 534590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 1446540 ) ( 730590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1431000 ) ( 726590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1435000 ) ( 785000 1435000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2360000 ) ( 5825000 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2390540 ) ( 5829000 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2386540 ) ( 5654590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5658590 2395000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1080000 ) ( 5825000 1114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1110540 ) ( 5829000 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1106540 ) ( 5654590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5658590 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3390000 ) ( 5825000 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3414540 ) ( 5829000 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3362540 ) ( 5206590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3366540 ) ( 5210590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3351000 ) ( 5014590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 5018590 3355000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4882590 5825000 ) ( 4920000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 5202540 ) ( 4886590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 5206540 ) ( 4938590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 5010540 ) ( 4934590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 5014540 ) ( 4949000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 5018540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4660000 ) ( 5825000 4660000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4656000 ) ( 5798590 4666540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4662540 ) ( 5802590 4662540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4658540 ) ( 5782590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4694540 ) ( 5786590 4694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 4631000 ) ( 4950590 4698540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 4954590 4635000 ) - + ROUTED metal10 6590 + SHAPE IOWIRE ( 4343295 171000 ) ( 4343295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4342590 171000 ) ( 4342590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4694540 ) ( 5786590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4642540 ) ( 5206590 4698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4646540 ) ( 5210590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4631000 ) ( 5014590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 5018590 4635000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4340000 175000 ) ( 4340000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4336000 198540 ) ( 4346590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4342590 194540 ) ( 4342590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 4338590 214540 ) ( 4378590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 210540 ) ( 4374590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 870540 ) ( 4378590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 866540 ) ( 4310590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4301000 1110540 ) ( 4314590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1106540 ) ( 4305000 1115000 ) - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3832295 5821000 ) ( 3832295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3830590 5794540 ) ( 3830590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3778590 5798540 ) ( 3834590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3782590 5762540 ) ( 3782590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3746590 5766540 ) ( 3786590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3750590 5490540 ) ( 3750590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5494540 ) ( 3754590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 4866540 ) ( 3734590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 4870540 ) ( 3738590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4631000 ) ( 3670590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3674590 4635000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2738540 ) ( 175000 2750000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2742540 ) ( 202590 2742540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2706540 ) ( 198590 2746540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2710540 ) ( 469000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2706540 ) ( 465000 2715000 ) - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3698540 ) ( 175000 3710000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3702540 ) ( 202590 3702540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3666540 ) ( 198590 3706540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3670540 ) ( 469000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3666540 ) ( 465000 3675000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 210540 ) ( 4374590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 854540 ) ( 4378590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 850540 ) ( 4310590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 1046540 ) ( 4314590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1042540 ) ( 4305000 1115000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3830000 5794540 ) ( 3830000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3810590 5798540 ) ( 3834000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3814590 5778540 ) ( 3814590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3778590 5782540 ) ( 3818590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3782590 5746540 ) ( 3782590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3746590 5750540 ) ( 3786590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 5506540 ) ( 3750590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5510540 ) ( 3754590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 4882540 ) ( 3734590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 4886540 ) ( 3738590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4690540 ) ( 3670590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 4694540 ) ( 3674590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4698540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2722540 ) ( 175000 2750000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2726540 ) ( 410590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2711000 ) ( 406590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2715000 ) ( 465000 2715000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3710000 ) ( 202590 3710000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3682540 ) ( 198590 3714000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3686540 ) ( 410590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3671000 ) ( 406590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3675000 ) ( 465000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2930540 ) ( 5825000 2940000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2934540 ) ( 5829000 2934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2930540 ) ( 5798590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2966540 ) ( 5802590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2962540 ) ( 5510590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3030540 ) ( 5514590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3026540 ) ( 5270590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5274590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2940000 ) ( 5825000 2940000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2936000 ) ( 5798590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2966540 ) ( 5802590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2962540 ) ( 5526590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3030540 ) ( 5530590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3026540 ) ( 5334590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5338590 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3830000 ) ( 186590 3830000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3794540 ) ( 182590 3834000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3798540 ) ( 202590 3798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3778540 ) ( 198590 3802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3782540 ) ( 234590 3782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3746540 ) ( 230590 3786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3750540 ) ( 570590 3750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3730540 ) ( 566590 3754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3734540 ) ( 1194590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3666540 ) ( 1190590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3670540 ) ( 1429000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3666540 ) ( 1425000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3830000 ) ( 202590 3830000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3810540 ) ( 198590 3834000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3814540 ) ( 218590 3814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3778540 ) ( 214590 3818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3782540 ) ( 250590 3782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3746540 ) ( 246590 3786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 3750540 ) ( 554590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3730540 ) ( 550590 3754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3734540 ) ( 1178590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3682540 ) ( 1174590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3686540 ) ( 1370590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3671000 ) ( 1366590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3120000 ) ( 5825000 3130540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3126540 ) ( 5829000 3126540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3106540 ) ( 5798590 3130540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3110540 ) ( 5802590 3110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3090540 ) ( 4934590 3114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3094540 ) ( 4938590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3031000 ) ( 4310590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4314590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3120000 ) ( 5825000 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3106540 ) ( 5798590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3110540 ) ( 5802590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3090540 ) ( 5190590 3114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3094540 ) ( 5194590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3042540 ) ( 4566590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3046540 ) ( 4570590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3031000 ) ( 4374590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4378590 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3650000 ) ( 186590 3650000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3602540 ) ( 182590 3654000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3606540 ) ( 874590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3602540 ) ( 870590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3670540 ) ( 1109000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3666540 ) ( 1105000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3618540 ) ( 175000 3650000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3622540 ) ( 186590 3622540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 3602540 ) ( 182590 3626540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 3606540 ) ( 858590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3602540 ) ( 854590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3670540 ) ( 1050590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3666540 ) ( 1046590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3200000 ) ( 5825000 3210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3206540 ) ( 5829000 3206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3202540 ) ( 5798590 3242540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3238540 ) ( 5802590 3238540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3234540 ) ( 5766590 3274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3270540 ) ( 5770590 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3266540 ) ( 5494590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3286540 ) ( 5498590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3282540 ) ( 4870590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3350540 ) ( 4874590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3346540 ) ( 4630590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4634590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3200000 ) ( 5825000 3200000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3196000 ) ( 5798590 3210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3206540 ) ( 5802590 3206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3202540 ) ( 5782590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3270540 ) ( 5786590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3266540 ) ( 5510590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3286540 ) ( 5514590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3282540 ) ( 4886590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3350540 ) ( 4890590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3346540 ) ( 4694590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4698590 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4018590 5825000 ) ( 4030000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5794540 ) ( 4022590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5798540 ) ( 4026590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5591000 ) ( 3990590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3994590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 5794540 ) ( 4030000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4018590 5798540 ) ( 4034000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5778540 ) ( 4022590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5782540 ) ( 4026590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5650540 ) ( 3990590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5654540 ) ( 3994590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5658540 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4086295 5821000 ) ( 4086295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5794540 ) ( 4086590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5798540 ) ( 4090590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5506540 ) ( 4054590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5510540 ) ( 4058590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5271000 ) ( 3990590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3994590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4090000 5794540 ) ( 4090000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4082590 5798540 ) ( 4094000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5778540 ) ( 4086590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5782540 ) ( 4090590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5522540 ) ( 4054590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5526540 ) ( 4058590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5330540 ) ( 3990590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5334540 ) ( 3994590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5338540 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4246295 5821000 ) ( 4246295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5186540 ) ( 4246590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5190540 ) ( 4314590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4951000 ) ( 4310590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4314590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4250000 5794540 ) ( 4250000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5798540 ) ( 4254000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5202540 ) ( 4246590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5206540 ) ( 4298590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5010540 ) ( 4294590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5014540 ) ( 4309000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5018540 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4290590 5825000 ) ( 4300000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5794540 ) ( 4294590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4314590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5591000 ) ( 4310590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4314590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4300000 5794540 ) ( 4300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4304000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5650540 ) ( 4294590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5654540 ) ( 4309000 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5658540 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4406295 5821000 ) ( 4406295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5794540 ) ( 4406590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5798540 ) ( 4410590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5506540 ) ( 4374590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 5510540 ) ( 4378590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5271000 ) ( 4310590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4314590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4410000 5794540 ) ( 4410000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4402590 5798540 ) ( 4414000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5778540 ) ( 4406590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5782540 ) ( 4410590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5522540 ) ( 4374590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 5526540 ) ( 4378590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5330540 ) ( 4310590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 5334540 ) ( 4314590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5338540 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5040000 5825000 ) ( 5040000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5010590 5830540 ) ( 5044000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5506540 ) ( 5014590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5510540 ) ( 5018590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5271000 ) ( 4950590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4954590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5010590 5825000 ) ( 5040000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5522540 ) ( 5014590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5526540 ) ( 5018590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5330540 ) ( 4950590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5334540 ) ( 4954590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5338540 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 5303295 5821000 ) ( 5303295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5302590 5794540 ) ( 5302590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5798540 ) ( 5306590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5591000 ) ( 5270590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5274590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5266590 5825000 ) ( 5300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5650540 ) ( 5270590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5654540 ) ( 5274590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5658540 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 5237270 ) ( 5829000 5237270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 5238540 ) ( 5829000 5238540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 5234540 ) ( 5798590 5274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 5270540 ) ( 5802590 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5266540 ) ( 5590590 5279000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5594590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 5240000 ) ( 5825000 5274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 5270540 ) ( 5829000 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 5266540 ) ( 5654590 5279000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5658590 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4930000 ) ( 5825000 4938540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4934540 ) ( 5829000 4934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4930540 ) ( 5798590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4950540 ) ( 5802590 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4946540 ) ( 5590590 4959000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5594590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4930000 ) ( 5825000 4930000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4926000 ) ( 5798590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4950540 ) ( 5802590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4946540 ) ( 5654590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5658590 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 4472295 5821000 ) ( 4472295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4470590 5794540 ) ( 4470590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4418590 5798540 ) ( 4474590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4422590 5762540 ) ( 4422590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4386590 5766540 ) ( 4426590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5490540 ) ( 4390590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5494540 ) ( 4394590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4866540 ) ( 4374590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4870540 ) ( 4378590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4631000 ) ( 4310590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4314590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4470000 5794540 ) ( 4470000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4450590 5798540 ) ( 4474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4454590 5778540 ) ( 4454590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4418590 5782540 ) ( 4458590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4422590 5746540 ) ( 4422590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4386590 5750540 ) ( 4426590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5506540 ) ( 4390590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5510540 ) ( 4394590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4882540 ) ( 4374590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4886540 ) ( 4378590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4690540 ) ( 4310590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 4694540 ) ( 4314590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4698540 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4720000 5825000 ) ( 4720000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5830540 ) ( 4724000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5506540 ) ( 4694590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5510540 ) ( 4698590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5271000 ) ( 4630590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4634590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4690590 5825000 ) ( 4720000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5522540 ) ( 4694590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5526540 ) ( 4698590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5330540 ) ( 4630590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5334540 ) ( 4634590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5338540 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4770590 5825000 ) ( 4780000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4774590 5794540 ) ( 4774590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4738590 5798540 ) ( 4778590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4742590 5762540 ) ( 4742590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4706590 5766540 ) ( 4746590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5490540 ) ( 4710590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5494540 ) ( 4714590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4866540 ) ( 4694590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4870540 ) ( 4698590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4631000 ) ( 4630590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4634590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4780000 5794540 ) ( 4780000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4770590 5798540 ) ( 4784000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4774590 5778540 ) ( 4774590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4706590 5782540 ) ( 4778590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5506540 ) ( 4710590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5510540 ) ( 4714590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4882540 ) ( 4694590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4886540 ) ( 4698590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4690540 ) ( 4630590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 4694540 ) ( 4634590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4698540 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4850540 ) ( 5825000 4860000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4854540 ) ( 5829000 4854540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4850540 ) ( 5798590 4890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4886540 ) ( 5802590 4886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4882540 ) ( 5510590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4950540 ) ( 5514590 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4946540 ) ( 5270590 4959000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5274590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4860000 ) ( 5825000 4860000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4856000 ) ( 5798590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4886540 ) ( 5802590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4882540 ) ( 5526590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4950540 ) ( 5530590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4946540 ) ( 5334590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5338590 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4530540 ) ( 5825000 4540000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4534540 ) ( 5829000 4534540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4530540 ) ( 5798590 4570540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4566540 ) ( 5802590 4566540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4562540 ) ( 5510590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4630540 ) ( 5514590 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4626540 ) ( 5270590 4639000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5274590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4540000 ) ( 5825000 4540000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4536000 ) ( 5798590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4566540 ) ( 5802590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4562540 ) ( 5526590 4634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4630540 ) ( 5530590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4626540 ) ( 5334590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5338590 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4210540 ) ( 5825000 4220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4214540 ) ( 5829000 4214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4210540 ) ( 5798590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4246540 ) ( 5802590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4242540 ) ( 5510590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4310540 ) ( 5514590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4306540 ) ( 5270590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5274590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4220000 ) ( 5825000 4220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4216000 ) ( 5798590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4246540 ) ( 5802590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4242540 ) ( 5526590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4310540 ) ( 5530590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4306540 ) ( 5334590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5338590 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4160000 ) ( 5825000 4170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4166540 ) ( 5829000 4166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4162540 ) ( 5798590 4202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 4198540 ) ( 5802590 4198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 4194540 ) ( 5766590 4234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 4230540 ) ( 5770590 4230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 4226540 ) ( 5494590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 4246540 ) ( 5498590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 4242540 ) ( 4870590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4310540 ) ( 4874590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4306540 ) ( 4630590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4634590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4160000 ) ( 5825000 4160000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4156000 ) ( 5798590 4170540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4166540 ) ( 5802590 4166540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4162540 ) ( 5782590 4234540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4230540 ) ( 5786590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4226540 ) ( 5510590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 4246540 ) ( 5514590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 4242540 ) ( 4886590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 4310540 ) ( 4890590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4306540 ) ( 4694590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4698590 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4080000 ) ( 5825000 4090540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4086540 ) ( 5829000 4086540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4090540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 4070540 ) ( 5802590 4070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 4050540 ) ( 4934590 4074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4054540 ) ( 4938590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3991000 ) ( 4310590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4314590 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4080000 ) ( 5825000 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 4070540 ) ( 5802590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 4050540 ) ( 5190590 4074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 4054540 ) ( 5194590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 4002540 ) ( 4566590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 4006540 ) ( 4570590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3991000 ) ( 4374590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4378590 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 3703270 ) ( 5829000 3703270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3702540 ) ( 5829000 3702540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3700000 ) ( 5825000 3700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3696000 ) ( 5798590 3706540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3702540 ) ( 5802590 3702540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3698540 ) ( 5782590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3734540 ) ( 5786590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3671000 ) ( 4950590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 4954590 3675000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3734540 ) ( 5786590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3682540 ) ( 5206590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3686540 ) ( 5210590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3671000 ) ( 5014590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5018590 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 3637270 ) ( 5829000 3637270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3638540 ) ( 5829000 3638540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3634540 ) ( 5798590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3670540 ) ( 5802590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3666540 ) ( 5590590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5594590 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3640000 ) ( 5825000 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3670540 ) ( 5829000 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3666540 ) ( 5654590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5658590 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 3446270 ) ( 5829000 3446270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3446540 ) ( 5829000 3446540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3426540 ) ( 5798590 3450540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3430540 ) ( 5802590 3430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3410540 ) ( 4934590 3434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3414540 ) ( 4938590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3351000 ) ( 4310590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4314590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3450000 ) ( 5825000 3450000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3426540 ) ( 5798590 3454000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3430540 ) ( 5802590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3410540 ) ( 5190590 3434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3414540 ) ( 5194590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3362540 ) ( 4566590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3366540 ) ( 4570590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3351000 ) ( 4374590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4378590 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4540 + SHAPE IOWIRE ( 5821000 3272270 ) ( 5829000 3272270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3270540 ) ( 5829000 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3266540 ) ( 5798590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3286540 ) ( 5802590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3282540 ) ( 5510590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3350540 ) ( 5514590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3346540 ) ( 5270590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5274590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3270000 ) ( 5825000 3270000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3266000 ) ( 5798590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3286540 ) ( 5802590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3282540 ) ( 5526590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3350540 ) ( 5530590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3346540 ) ( 5334590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5338590 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4023270 ) ( 5829000 4023270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4022540 ) ( 5829000 4022540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4020000 ) ( 5825000 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4016000 ) ( 5798590 4026540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4022540 ) ( 5802590 4022540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4018540 ) ( 5782590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4054540 ) ( 5786590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3991000 ) ( 4950590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 4954590 3995000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4054540 ) ( 5786590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4002540 ) ( 5206590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4006540 ) ( 5210590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3991000 ) ( 5014590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5018590 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3840000 ) ( 5825000 3850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3846540 ) ( 5829000 3846540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3842540 ) ( 5798590 3882540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3878540 ) ( 5802590 3878540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3874540 ) ( 5766590 3914540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3910540 ) ( 5770590 3910540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3906540 ) ( 5494590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3926540 ) ( 5498590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3922540 ) ( 4870590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3990540 ) ( 4874590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3986540 ) ( 4630590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4634590 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3840000 ) ( 5825000 3840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3836000 ) ( 5798590 3850540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3846540 ) ( 5802590 3846540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3842540 ) ( 5782590 3914540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3910540 ) ( 5786590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3906540 ) ( 5510590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3926540 ) ( 5514590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3922540 ) ( 4886590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3990540 ) ( 4890590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3986540 ) ( 4694590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4698590 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 3766270 ) ( 5829000 3766270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3766540 ) ( 5829000 3766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3746540 ) ( 5798590 3770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3750540 ) ( 5802590 3750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3730540 ) ( 4934590 3754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3734540 ) ( 4938590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3671000 ) ( 4310590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4314590 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3770000 ) ( 5825000 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3746540 ) ( 5798590 3774000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3750540 ) ( 5802590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3730540 ) ( 5190590 3754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3734540 ) ( 5194590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3682540 ) ( 4566590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3686540 ) ( 4570590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3671000 ) ( 4374590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4378590 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2850590 5825000 ) ( 2860000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5794540 ) ( 2854590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2818590 5798540 ) ( 2858590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2822590 5762540 ) ( 2822590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2786590 5766540 ) ( 2826590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2790590 5490540 ) ( 2790590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5494540 ) ( 2794590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4866540 ) ( 2774590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 4870540 ) ( 2778590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4631000 ) ( 2710590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2714590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2860000 5794540 ) ( 2860000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2850590 5798540 ) ( 2864000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5778540 ) ( 2854590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2786590 5782540 ) ( 2858590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 5506540 ) ( 2790590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5510540 ) ( 2794590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4882540 ) ( 2774590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 4886540 ) ( 2778590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4690540 ) ( 2710590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 4694540 ) ( 2714590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4698540 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2930590 5825000 ) ( 2940000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2934590 5794540 ) ( 2934590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2930590 5798540 ) ( 2954590 5798540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 5794540 ) ( 2940000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2936000 5798540 ) ( 2954590 5798540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2950590 5186540 ) ( 2950590 5802540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2946590 5190540 ) ( 2970590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4546540 ) ( 2966590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 4550540 ) ( 3034590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4311000 ) ( 3030590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3034590 4315000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4562540 ) ( 2966590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 4566540 ) ( 3018590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 4370540 ) ( 3014590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 4374540 ) ( 3029000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4378540 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3058590 5825000 ) ( 3070000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3062590 5794540 ) ( 3062590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5798540 ) ( 3066590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5591000 ) ( 3030590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3034590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3042590 5825000 ) ( 3070000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3046590 5794540 ) ( 3046590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5798540 ) ( 3050590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5650540 ) ( 3030590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5654540 ) ( 3034590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5658540 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3330590 5825000 ) ( 3340000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5778540 ) ( 3334590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5782540 ) ( 3338590 5782540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3340000 5825000 ) ( 3340000 5850540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3250590 5846540 ) ( 3344000 5846540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3254590 5778540 ) ( 3254590 5850540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3250590 5782540 ) ( 3290590 5782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5202540 ) ( 3286590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5206540 ) ( 3354590 5206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4951000 ) ( 3350590 5210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3354590 4955000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5206540 ) ( 3338590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5010540 ) ( 3334590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 5014540 ) ( 3349000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5018540 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3192295 5821000 ) ( 3192295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3190590 5794540 ) ( 3190590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3138590 5798540 ) ( 3194590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3142590 5762540 ) ( 3142590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3106590 5766540 ) ( 3146590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3110590 5490540 ) ( 3110590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5494540 ) ( 3114590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4866540 ) ( 3094590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 4870540 ) ( 3098590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4631000 ) ( 3030590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3034590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3190000 5794540 ) ( 3190000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3170590 5798540 ) ( 3194000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3174590 5778540 ) ( 3174590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3138590 5782540 ) ( 3178590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3142590 5746540 ) ( 3142590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3106590 5750540 ) ( 3146590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 5506540 ) ( 3110590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5510540 ) ( 3114590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4882540 ) ( 3094590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 4886540 ) ( 3098590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4690540 ) ( 3030590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 4694540 ) ( 3034590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4698540 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4020000 ) ( 186590 4020000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3986540 ) ( 182590 4024000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3990540 ) ( 469000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3986540 ) ( 465000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4020000 ) ( 202590 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4002540 ) ( 198590 4024000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4006540 ) ( 410590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3991000 ) ( 406590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4080000 ) ( 186590 4080000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4050540 ) ( 182590 4084000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4054540 ) ( 554590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3986540 ) ( 550590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3990540 ) ( 789000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3986540 ) ( 785000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4080000 ) ( 202590 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4050540 ) ( 198590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4054540 ) ( 538590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4002540 ) ( 534590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4006540 ) ( 730590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3991000 ) ( 726590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4150000 ) ( 186590 4150000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4098540 ) ( 182590 4154000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4102540 ) ( 234590 4102540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4066540 ) ( 230590 4106540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4070540 ) ( 570590 4070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4050540 ) ( 566590 4074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4054540 ) ( 1194590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3986540 ) ( 1190590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3990540 ) ( 1429000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3986540 ) ( 1425000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4114540 ) ( 175000 4150000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4118540 ) ( 186590 4118540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 4098540 ) ( 182590 4122540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 4102540 ) ( 250590 4102540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4066540 ) ( 246590 4106540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 4070540 ) ( 554590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4050540 ) ( 550590 4074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4054540 ) ( 1178590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4002540 ) ( 1174590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4006540 ) ( 1370590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3991000 ) ( 1366590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4210540 ) ( 175000 4220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4214540 ) ( 202590 4214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4210540 ) ( 198590 4234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4230540 ) ( 890590 4230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 4226540 ) ( 886590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 4246540 ) ( 1514590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 4242540 ) ( 1510590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 4310540 ) ( 1749000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4306540 ) ( 1745000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4220000 ) ( 202590 4220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4216000 ) ( 198590 4234540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4230540 ) ( 874590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 4226540 ) ( 870590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 4246540 ) ( 1498590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 4242540 ) ( 1494590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 4310540 ) ( 1690590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 4306540 ) ( 1686590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4400000 ) ( 186590 4400000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4370540 ) ( 182590 4404000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4374540 ) ( 554590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4306540 ) ( 550590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4310540 ) ( 789000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4306540 ) ( 785000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4400000 ) ( 202590 4400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4370540 ) ( 198590 4404000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4374540 ) ( 538590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4322540 ) ( 534590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4326540 ) ( 730590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4311000 ) ( 726590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5040000 ) ( 186590 5040000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5010540 ) ( 182590 5044000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5014540 ) ( 554590 5014540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4946540 ) ( 550590 5018540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4950540 ) ( 789000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4946540 ) ( 785000 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5040000 ) ( 202590 5040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5010540 ) ( 198590 5044000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5014540 ) ( 538590 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4962540 ) ( 534590 5018540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4966540 ) ( 730590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4951000 ) ( 726590 4970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5300000 ) ( 186590 5300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5266540 ) ( 182590 5304000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5270540 ) ( 469000 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 5266540 ) ( 465000 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5300000 ) ( 202590 5300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5282540 ) ( 198590 5304000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5286540 ) ( 410590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5271000 ) ( 406590 5290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 5366270 ) ( 179000 5366270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 5366540 ) ( 186590 5366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5330540 ) ( 182590 5370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5334540 ) ( 554590 5334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 5266540 ) ( 550590 5338540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 5270540 ) ( 789000 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 5266540 ) ( 785000 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5330540 ) ( 175000 5370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 5334540 ) ( 538590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 5282540 ) ( 534590 5338540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 5286540 ) ( 730590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 5271000 ) ( 726590 5290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5620000 ) ( 186590 5620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5586540 ) ( 182590 5624000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5590540 ) ( 469000 5590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 5586540 ) ( 465000 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5620000 ) ( 202590 5620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5602540 ) ( 198590 5624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5606540 ) ( 410590 5606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5591000 ) ( 406590 5610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4450540 ) ( 175000 4460000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4454540 ) ( 202590 4454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4418540 ) ( 198590 4458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4422540 ) ( 234590 4422540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4386540 ) ( 230590 4426540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4390540 ) ( 570590 4390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4370540 ) ( 566590 4394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4374540 ) ( 1194590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 4306540 ) ( 1190590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 4310540 ) ( 1429000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4306540 ) ( 1425000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4418540 ) ( 175000 4460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4422540 ) ( 218590 4422540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4386540 ) ( 214590 4426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4390540 ) ( 554590 4390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4370540 ) ( 550590 4394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4374540 ) ( 1178590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4322540 ) ( 1174590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4326540 ) ( 1370590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4311000 ) ( 1366590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4610540 ) ( 175000 4620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4614540 ) ( 218590 4614540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4620000 ) ( 202590 4620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4610540 ) ( 198590 4624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4614540 ) ( 218590 4614540 ) NEW metal10 8000 + SHAPE IOWIRE ( 214590 4562540 ) ( 214590 4618540 ) NEW metal10 8000 + SHAPE IOWIRE ( 210590 4566540 ) ( 858590 4566540 ) NEW metal10 8000 + SHAPE IOWIRE ( 854590 4562540 ) ( 854590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 850590 4630540 ) ( 1109000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4626540 ) ( 1105000 4635000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4630540 ) ( 1050590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4626540 ) ( 1046590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4677270 ) ( 179000 4677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4678540 ) ( 202590 4678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4626540 ) ( 198590 4682540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4630540 ) ( 469000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4626540 ) ( 465000 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4642540 ) ( 175000 4680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4646540 ) ( 410590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4631000 ) ( 406590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1200000 5825000 ) ( 1200000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1170590 5830540 ) ( 1204000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5506540 ) ( 1174590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5510540 ) ( 1178590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5271000 ) ( 1110590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1114590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1170590 5825000 ) ( 1200000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5522540 ) ( 1174590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5526540 ) ( 1178590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5330540 ) ( 1110590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5334540 ) ( 1114590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5338540 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 1397295 5821000 ) ( 1397295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1398590 5778540 ) ( 1398590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5782540 ) ( 1402590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5186540 ) ( 1366590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5190540 ) ( 1434590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 4951000 ) ( 1430590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1434590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1362590 5825000 ) ( 1400000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5202540 ) ( 1366590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5206540 ) ( 1418590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 5010540 ) ( 1414590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 5014540 ) ( 1429000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5018540 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1458590 5825000 ) ( 1470000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5794540 ) ( 1462590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5798540 ) ( 1466590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5591000 ) ( 1430590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1434590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 5794540 ) ( 1470000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1458590 5798540 ) ( 1474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5778540 ) ( 1462590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5782540 ) ( 1466590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5650540 ) ( 1430590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5654540 ) ( 1434590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5658540 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1783295 5821000 ) ( 1783295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1782590 5794540 ) ( 1782590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5798540 ) ( 1786590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5591000 ) ( 1750590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1754590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1746590 5825000 ) ( 1780000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5650540 ) ( 1750590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5654540 ) ( 1754590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5658540 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1970590 5825000 ) ( 1980000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1974590 5794540 ) ( 1974590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1970590 5798540 ) ( 1994590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5170540 ) ( 1990590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1986590 5174540 ) ( 2010590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4546540 ) ( 2006590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 4550540 ) ( 2074590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4311000 ) ( 2070590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2074590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 5794540 ) ( 1980000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1976000 5798540 ) ( 1994590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5186540 ) ( 1990590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1986590 5190540 ) ( 2010590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4562540 ) ( 2006590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 4566540 ) ( 2058590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 4370540 ) ( 2054590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 4374540 ) ( 2069000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4378540 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2357295 5821000 ) ( 2357295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2358590 5778540 ) ( 2358590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5782540 ) ( 2362590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5186540 ) ( 2326590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5190540 ) ( 2394590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4951000 ) ( 2390590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2394590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2322590 5825000 ) ( 2360000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5202540 ) ( 2326590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5206540 ) ( 2378590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 5010540 ) ( 2374590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 5014540 ) ( 2389000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5018540 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2423295 5821000 ) ( 2423295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2422590 5794540 ) ( 2422590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5798540 ) ( 2426590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5591000 ) ( 2390590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2394590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2386590 5825000 ) ( 2420000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5650540 ) ( 2390590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5654540 ) ( 2394590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5658540 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2486295 5821000 ) ( 2486295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5794540 ) ( 2486590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5798540 ) ( 2490590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5506540 ) ( 2454590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5510540 ) ( 2458590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5271000 ) ( 2390590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2394590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2490000 5794540 ) ( 2490000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2482590 5798540 ) ( 2494000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5778540 ) ( 2486590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5782540 ) ( 2490590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5522540 ) ( 2454590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5526540 ) ( 2458590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5330540 ) ( 2390590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5334540 ) ( 2394590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5338540 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 2552295 5821000 ) ( 2552295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2550590 5794540 ) ( 2550590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2498590 5798540 ) ( 2554590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2502590 5762540 ) ( 2502590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2466590 5766540 ) ( 2506590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5490540 ) ( 2470590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5494540 ) ( 2474590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4866540 ) ( 2454590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 4870540 ) ( 2458590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4631000 ) ( 2390590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2394590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2550000 5794540 ) ( 2550000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2530590 5798540 ) ( 2554000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2534590 5778540 ) ( 2534590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2498590 5782540 ) ( 2538590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2502590 5746540 ) ( 2502590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2466590 5750540 ) ( 2506590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5506540 ) ( 2470590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5510540 ) ( 2474590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4882540 ) ( 2454590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 4886540 ) ( 2458590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4690540 ) ( 2390590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 4694540 ) ( 2394590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4698540 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2037295 5821000 ) ( 2037295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2038590 5778540 ) ( 2038590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5782540 ) ( 2042590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5186540 ) ( 2006590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5190540 ) ( 2074590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4951000 ) ( 2070590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2074590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2002590 5825000 ) ( 2040000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5202540 ) ( 2006590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5206540 ) ( 2058590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 5010540 ) ( 2054590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 5014540 ) ( 2069000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5018540 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2098590 5825000 ) ( 2110000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5794540 ) ( 2102590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5798540 ) ( 2106590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5591000 ) ( 2070590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2074590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2110000 5794540 ) ( 2110000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2098590 5798540 ) ( 2114000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5778540 ) ( 2102590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5782540 ) ( 2106590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5650540 ) ( 2070590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5654540 ) ( 2074590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5658540 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2166295 5821000 ) ( 2166295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5794540 ) ( 2166590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5798540 ) ( 2170590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5506540 ) ( 2134590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5510540 ) ( 2138590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5271000 ) ( 2070590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2074590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2170000 5794540 ) ( 2170000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2162590 5798540 ) ( 2174000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5778540 ) ( 2166590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5782540 ) ( 2170590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5522540 ) ( 2134590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5526540 ) ( 2138590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5330540 ) ( 2070590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5334540 ) ( 2074590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5338540 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 3957295 5821000 ) ( 3957295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3958590 5778540 ) ( 3958590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5782540 ) ( 3962590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5186540 ) ( 3926590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5190540 ) ( 3994590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4951000 ) ( 3990590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3994590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3922590 5825000 ) ( 3960000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5202540 ) ( 3926590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5206540 ) ( 3978590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 5010540 ) ( 3974590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 5014540 ) ( 3989000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5018540 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3316000 182540 ) ( 3354590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 178540 ) ( 3350590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 470540 ) ( 3354590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 466540 ) ( 3345000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3316000 198540 ) ( 3338590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 194540 ) ( 3334590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 406540 ) ( 3349000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 402540 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2170590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 171000 ) ( 2166590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2170590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 886540 ) ( 2154590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 882540 ) ( 2134590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 1510540 ) ( 2138590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1506540 ) ( 2070590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1750540 ) ( 2074590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1746540 ) ( 2065000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2164000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 870540 ) ( 2154590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 866540 ) ( 2134590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 1494540 ) ( 2138590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1490540 ) ( 2070590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1686540 ) ( 2074590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1682540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2103295 171000 ) ( 2103295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2102590 171000 ) ( 2102590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2100000 175000 ) ( 2100000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2096000 198540 ) ( 2106590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 194540 ) ( 2102590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 2098590 214540 ) ( 2138590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 210540 ) ( 2134590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 870540 ) ( 2138590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 866540 ) ( 2070590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1110540 ) ( 2074590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1106540 ) ( 2065000 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 210540 ) ( 2134590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 854540 ) ( 2138590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 850540 ) ( 2070590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1046540 ) ( 2074590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1042540 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1930590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1926590 171000 ) ( 1926590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1922590 198540 ) ( 1962590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1958590 194540 ) ( 1958590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1954590 230540 ) ( 1994590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1990590 226540 ) ( 1990590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1986590 1414540 ) ( 2010590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 1410540 ) ( 2006590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 1430540 ) ( 2069000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1426540 ) ( 2065000 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1920000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1916000 198540 ) ( 1930590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1926590 194540 ) ( 1926590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1922590 214540 ) ( 1994590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 210540 ) ( 1990590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1986590 550540 ) ( 2010590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 546540 ) ( 2006590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 1174540 ) ( 2058590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 1170540 ) ( 2054590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 1366540 ) ( 2069000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1362540 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 1846295 171000 ) ( 1846295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1846590 171000 ) ( 1846590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1826590 198540 ) ( 1850590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1830590 194540 ) ( 1830590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 886540 ) ( 1834590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 882540 ) ( 1814590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 1510540 ) ( 1818590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1506540 ) ( 1750590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1750540 ) ( 1754590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1746540 ) ( 1745000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1826590 198540 ) ( 1854000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 194540 ) ( 1830590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1810590 870540 ) ( 1834590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 866540 ) ( 1814590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 1494540 ) ( 1818590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1490540 ) ( 1750590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1686540 ) ( 1754590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1682540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1783295 171000 ) ( 1783295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1782590 171000 ) ( 1782590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1780000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1776000 198540 ) ( 1786590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1782590 194540 ) ( 1782590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 1778590 214540 ) ( 1818590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 210540 ) ( 1814590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 870540 ) ( 1818590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 866540 ) ( 1750590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1110540 ) ( 1754590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1106540 ) ( 1745000 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 210540 ) ( 1814590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 854540 ) ( 1818590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 850540 ) ( 1750590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1046540 ) ( 1754590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1042540 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1716000 182540 ) ( 1754590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 178540 ) ( 1750590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 470540 ) ( 1754590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 466540 ) ( 1745000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1716000 198540 ) ( 1738590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 194540 ) ( 1734590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 406540 ) ( 1749000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 402540 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3126295 171000 ) ( 3126295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3126590 171000 ) ( 3126590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3106590 198540 ) ( 3130590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3110590 194540 ) ( 3110590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 886540 ) ( 3114590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 882540 ) ( 3094590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 1510540 ) ( 3098590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1506540 ) ( 3030590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1750540 ) ( 3034590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1746540 ) ( 3025000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3106590 198540 ) ( 3134000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 194540 ) ( 3110590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 870540 ) ( 3114590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 866540 ) ( 3094590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 1494540 ) ( 3098590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1490540 ) ( 3030590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1686540 ) ( 3034590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1682540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3063295 171000 ) ( 3063295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3062590 171000 ) ( 3062590 218540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3060000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3056000 198540 ) ( 3066590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3062590 194540 ) ( 3062590 218540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3058590 214540 ) ( 3098590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 210540 ) ( 3094590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 870540 ) ( 3098590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 866540 ) ( 3030590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1110540 ) ( 3034590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1106540 ) ( 3025000 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 210540 ) ( 3094590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 854540 ) ( 3098590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 850540 ) ( 3030590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1046540 ) ( 3034590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1042540 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2996000 182540 ) ( 3034590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 178540 ) ( 3030590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 470540 ) ( 3034590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 466540 ) ( 3025000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2996000 198540 ) ( 3018590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 194540 ) ( 3014590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 406540 ) ( 3029000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 402540 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2806295 171000 ) ( 2806295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2806590 171000 ) ( 2806590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2786590 198540 ) ( 2810590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2790590 194540 ) ( 2790590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 886540 ) ( 2794590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 882540 ) ( 2774590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 1510540 ) ( 2778590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1506540 ) ( 2710590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1750540 ) ( 2714590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1746540 ) ( 2705000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2786590 198540 ) ( 2814000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 194540 ) ( 2790590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 870540 ) ( 2794590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 866540 ) ( 2774590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 1494540 ) ( 2778590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1490540 ) ( 2710590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1686540 ) ( 2714590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1682540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2746000 182540 ) ( 2778590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 178540 ) ( 2774590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 870540 ) ( 2778590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 866540 ) ( 2710590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1110540 ) ( 2714590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1106540 ) ( 2705000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2746000 198540 ) ( 2778590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 194540 ) ( 2774590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 854540 ) ( 2778590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 850540 ) ( 2710590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1046540 ) ( 2714590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1042540 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2676000 182540 ) ( 2714590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 178540 ) ( 2710590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 470540 ) ( 2714590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 466540 ) ( 2705000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2676000 198540 ) ( 2698590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 194540 ) ( 2694590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 406540 ) ( 2709000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 402540 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2616000 182540 ) ( 2650590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 178540 ) ( 2646590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 550540 ) ( 2714590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 546540 ) ( 2710590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 790540 ) ( 2714590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 786540 ) ( 2705000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2616000 198540 ) ( 2650590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 194540 ) ( 2646590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 534540 ) ( 2698590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 530540 ) ( 2694590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 726540 ) ( 2709000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 722540 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2426000 182540 ) ( 2458590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 178540 ) ( 2454590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 870540 ) ( 2458590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 866540 ) ( 2390590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1110540 ) ( 2394590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1106540 ) ( 2385000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2426000 198540 ) ( 2458590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 194540 ) ( 2454590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 854540 ) ( 2458590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 850540 ) ( 2390590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1046540 ) ( 2394590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1042540 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2378590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2374590 171000 ) ( 2374590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2370590 198540 ) ( 2394590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 194540 ) ( 2390590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 470540 ) ( 2394590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 466540 ) ( 2385000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2366000 198540 ) ( 2378590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 194540 ) ( 2374590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 406540 ) ( 2389000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 402540 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1466000 182540 ) ( 1498590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1494590 178540 ) ( 1494590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 870540 ) ( 1498590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 866540 ) ( 1430590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1110540 ) ( 1434590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1106540 ) ( 1425000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1466000 198540 ) ( 1498590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 194540 ) ( 1494590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 854540 ) ( 1498590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 850540 ) ( 1430590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1046540 ) ( 1434590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1042540 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1418590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1414590 171000 ) ( 1414590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1410590 198540 ) ( 1434590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 194540 ) ( 1430590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 470540 ) ( 1434590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 466540 ) ( 1425000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1406000 198540 ) ( 1418590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 194540 ) ( 1414590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 406540 ) ( 1429000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 402540 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1336000 182540 ) ( 1370590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 178540 ) ( 1366590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 550540 ) ( 1434590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 546540 ) ( 1430590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 790540 ) ( 1434590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 786540 ) ( 1425000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1336000 198540 ) ( 1370590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 194540 ) ( 1366590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 534540 ) ( 1418590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 530540 ) ( 1414590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 726540 ) ( 1429000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 722540 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3706000 182540 ) ( 3738590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 178540 ) ( 3734590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 870540 ) ( 3738590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 866540 ) ( 3670590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1110540 ) ( 3674590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1106540 ) ( 3665000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3706000 198540 ) ( 3738590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 194540 ) ( 3734590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 854540 ) ( 3738590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 850540 ) ( 3670590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1046540 ) ( 3674590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1042540 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4086295 171000 ) ( 4086295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 171000 ) ( 4086590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4066590 198540 ) ( 4090590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4070590 194540 ) ( 4070590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 886540 ) ( 4074590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 882540 ) ( 4054590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 1510540 ) ( 4058590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1506540 ) ( 3990590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1750540 ) ( 3994590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1746540 ) ( 3985000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4066590 198540 ) ( 4094000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 194540 ) ( 4070590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 870540 ) ( 4074590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 866540 ) ( 4054590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 1494540 ) ( 4058590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1490540 ) ( 3990590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1686540 ) ( 3994590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1682540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4536000 182540 ) ( 4570590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4566590 178540 ) ( 4566590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 550540 ) ( 4634590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 546540 ) ( 4630590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 790540 ) ( 4634590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 786540 ) ( 4625000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4536000 198540 ) ( 4570590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 194540 ) ( 4566590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 534540 ) ( 4618590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 530540 ) ( 4614590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 726540 ) ( 4629000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 722540 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4026000 182540 ) ( 4058590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 178540 ) ( 4054590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 870540 ) ( 4058590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 866540 ) ( 3990590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1110540 ) ( 3994590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1106540 ) ( 3985000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4026000 198540 ) ( 4058590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 194540 ) ( 4054590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 854540 ) ( 4058590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 850540 ) ( 3990590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1046540 ) ( 3994590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1042540 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3956000 182540 ) ( 3994590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 178540 ) ( 3990590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 470540 ) ( 3994590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 466540 ) ( 3985000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3956000 198540 ) ( 3978590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 194540 ) ( 3974590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 406540 ) ( 3989000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 402540 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2677270 ) ( 179000 2677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2678540 ) ( 218590 2678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2642540 ) ( 214590 2682540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2646540 ) ( 874590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2642540 ) ( 870590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2710540 ) ( 1109000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2706540 ) ( 1105000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2642540 ) ( 175000 2680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2646540 ) ( 858590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2642540 ) ( 854590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2710540 ) ( 1050590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2706540 ) ( 1046590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 436000 182540 ) ( 474590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 470590 178540 ) ( 470590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 461000 470540 ) ( 474590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 466540 ) ( 465000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 436000 198540 ) ( 458590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 454590 194540 ) ( 454590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 450590 406540 ) ( 469000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 465000 402540 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4856000 182540 ) ( 4890590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4886590 178540 ) ( 4886590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 550540 ) ( 4954590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 546540 ) ( 4950590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4941000 790540 ) ( 4954590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 786540 ) ( 4945000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4856000 198540 ) ( 4890590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 194540 ) ( 4886590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 534540 ) ( 4938590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 530540 ) ( 4934590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 726540 ) ( 4949000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 722540 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2880000 ) ( 5825000 2890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2886540 ) ( 5829000 2886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2882540 ) ( 5798590 2922540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2918540 ) ( 5802590 2918540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2914540 ) ( 5766590 2954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2950540 ) ( 5770590 2950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2946540 ) ( 5494590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2966540 ) ( 5498590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2962540 ) ( 4870590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3030540 ) ( 4874590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3026540 ) ( 4630590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4634590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2880000 ) ( 5825000 2880000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2876000 ) ( 5798590 2890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2886540 ) ( 5802590 2886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2882540 ) ( 5782590 2954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2950540 ) ( 5786590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2946540 ) ( 5510590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2966540 ) ( 5514590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2962540 ) ( 4886590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3030540 ) ( 4890590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3026540 ) ( 4694590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4698590 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2870000 ) ( 186590 2870000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2834540 ) ( 182590 2874000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2838540 ) ( 202590 2838540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2818540 ) ( 198590 2842540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2822540 ) ( 234590 2822540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2786540 ) ( 230590 2826540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2790540 ) ( 570590 2790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 2770540 ) ( 566590 2794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 2774540 ) ( 1194590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2706540 ) ( 1190590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2710540 ) ( 1429000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2706540 ) ( 1425000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2870000 ) ( 202590 2870000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2850540 ) ( 198590 2874000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2854540 ) ( 218590 2854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2818540 ) ( 214590 2858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2822540 ) ( 250590 2822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2786540 ) ( 246590 2826540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 2790540 ) ( 554590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 2770540 ) ( 550590 2794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 2774540 ) ( 1178590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2722540 ) ( 1174590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2726540 ) ( 1370590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2711000 ) ( 1366590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1890540 ) ( 175000 1900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1894540 ) ( 202590 1894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 1858540 ) ( 198590 1898540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 1862540 ) ( 234590 1862540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 1826540 ) ( 230590 1866540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 1830540 ) ( 570590 1830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 1810540 ) ( 566590 1834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 1814540 ) ( 1194590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 1746540 ) ( 1190590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 1750540 ) ( 1429000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1746540 ) ( 1425000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1858540 ) ( 175000 1900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1862540 ) ( 218590 1862540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1826540 ) ( 214590 1866540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 1830540 ) ( 554590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 1810540 ) ( 550590 1834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 1814540 ) ( 1178590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 1762540 ) ( 1174590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 1766540 ) ( 1370590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1751000 ) ( 1366590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1970540 ) ( 175000 1980000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1974540 ) ( 202590 1974540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 1970540 ) ( 198590 1994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 890590 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 1986540 ) ( 886590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2006540 ) ( 1514590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2002540 ) ( 1510590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2070540 ) ( 1749000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2066540 ) ( 1745000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1980000 ) ( 202590 1980000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1976000 ) ( 198590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 874590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 1986540 ) ( 870590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2006540 ) ( 1498590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2002540 ) ( 1494590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2070540 ) ( 1690590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2066540 ) ( 1686590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2037270 ) ( 179000 2037270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2038540 ) ( 218590 2038540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2002540 ) ( 214590 2042540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2006540 ) ( 874590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2002540 ) ( 870590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2070540 ) ( 1109000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2066540 ) ( 1105000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2002540 ) ( 175000 2040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2006540 ) ( 858590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2002540 ) ( 854590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2070540 ) ( 1050590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2066540 ) ( 1046590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2210540 ) ( 175000 2220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2214540 ) ( 202590 2214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2178540 ) ( 198590 2218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2182540 ) ( 234590 2182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2146540 ) ( 230590 2186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2150540 ) ( 570590 2150540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 2130540 ) ( 566590 2154540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 2134540 ) ( 1194590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2066540 ) ( 1190590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2070540 ) ( 1429000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2066540 ) ( 1425000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2178540 ) ( 175000 2220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2182540 ) ( 218590 2182540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2146540 ) ( 214590 2186540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2150540 ) ( 554590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 2130540 ) ( 550590 2154540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 2134540 ) ( 1178590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2082540 ) ( 1174590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2086540 ) ( 1370590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2071000 ) ( 1366590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2290540 ) ( 175000 2300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2294540 ) ( 202590 2294540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2290540 ) ( 198590 2314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 890590 2310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2306540 ) ( 886590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2326540 ) ( 1514590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2322540 ) ( 1510590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2390540 ) ( 1749000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2386540 ) ( 1745000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2300000 ) ( 202590 2300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2296000 ) ( 198590 2314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 874590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2306540 ) ( 870590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2326540 ) ( 1498590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2322540 ) ( 1494590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2390540 ) ( 1690590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2386540 ) ( 1686590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2370000 ) ( 186590 2370000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2322540 ) ( 182590 2374000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2326540 ) ( 874590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2322540 ) ( 870590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2390540 ) ( 1109000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2386540 ) ( 1105000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2338540 ) ( 175000 2370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2342540 ) ( 186590 2342540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 2322540 ) ( 182590 2346540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 2326540 ) ( 858590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2322540 ) ( 854590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2390540 ) ( 1050590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2386540 ) ( 1046590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1650540 ) ( 5825000 1660000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1654540 ) ( 5829000 1654540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1650540 ) ( 5798590 1690540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1686540 ) ( 5802590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1682540 ) ( 5510590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1750540 ) ( 5514590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1746540 ) ( 5270590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5274590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1660000 ) ( 5825000 1660000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1656000 ) ( 5798590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1686540 ) ( 5802590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1682540 ) ( 5526590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1750540 ) ( 5530590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1746540 ) ( 5334590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5338590 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1600000 ) ( 5825000 1610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1606540 ) ( 5829000 1606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1602540 ) ( 5798590 1642540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1638540 ) ( 5802590 1638540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1634540 ) ( 5766590 1674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1670540 ) ( 5770590 1670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1666540 ) ( 5494590 1690540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 1686540 ) ( 5498590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 1682540 ) ( 4870590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 1750540 ) ( 4874590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 1746540 ) ( 4630590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4634590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1600000 ) ( 5825000 1600000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1596000 ) ( 5798590 1610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1606540 ) ( 5802590 1606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1602540 ) ( 5782590 1674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1670540 ) ( 5786590 1670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1666540 ) ( 5510590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 1686540 ) ( 5514590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1682540 ) ( 4886590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1750540 ) ( 4890590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1746540 ) ( 4694590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4698590 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1463270 ) ( 5829000 1463270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1462540 ) ( 5829000 1462540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1460000 ) ( 5825000 1460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1456000 ) ( 5798590 1466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1462540 ) ( 5802590 1462540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1458540 ) ( 5782590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1494540 ) ( 5786590 1494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1431000 ) ( 4950590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 4954590 1435000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1494540 ) ( 5786590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1442540 ) ( 5206590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1446540 ) ( 5210590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1431000 ) ( 5014590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5018590 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1397270 ) ( 5829000 1397270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1398540 ) ( 5829000 1398540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1394540 ) ( 5798590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1430540 ) ( 5802590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1426540 ) ( 5590590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5594590 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1400000 ) ( 5825000 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1430540 ) ( 5829000 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1426540 ) ( 5654590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5658590 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2930540 ) ( 175000 2940000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2934540 ) ( 202590 2934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2930540 ) ( 198590 2954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2950540 ) ( 890590 2950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2946540 ) ( 886590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2966540 ) ( 1514590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2962540 ) ( 1510590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3030540 ) ( 1749000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3026540 ) ( 1745000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2940000 ) ( 202590 2940000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2936000 ) ( 198590 2954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2950540 ) ( 874590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2946540 ) ( 870590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2966540 ) ( 1498590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2962540 ) ( 1494590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3030540 ) ( 1690590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3026540 ) ( 1686590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1143270 ) ( 5829000 1143270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1142540 ) ( 5829000 1142540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1140000 ) ( 5825000 1140000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1136000 ) ( 5798590 1146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1142540 ) ( 5802590 1142540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1138540 ) ( 5782590 1178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1174540 ) ( 5786590 1174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1111000 ) ( 4950590 1178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 4954590 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1174540 ) ( 5786590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1122540 ) ( 5206590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1126540 ) ( 5210590 1126540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1111000 ) ( 5014590 1130540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5018590 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 770000 ) ( 5825000 778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 774540 ) ( 5829000 774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 770540 ) ( 5798590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 790540 ) ( 5802590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 786540 ) ( 5590590 799000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5594590 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 770000 ) ( 5825000 770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 766000 ) ( 5798590 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 790540 ) ( 5802590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 786540 ) ( 5654590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5658590 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 690540 ) ( 5825000 700000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 694540 ) ( 5829000 694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 690540 ) ( 5798590 730540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 726540 ) ( 5802590 726540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 722540 ) ( 5510590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 790540 ) ( 5514590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 786540 ) ( 5270590 799000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5274590 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 700000 ) ( 5825000 700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 696000 ) ( 5798590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 726540 ) ( 5802590 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 722540 ) ( 5526590 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 790540 ) ( 5530590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 786540 ) ( 5334590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5338590 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 437270 ) ( 5829000 437270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 438540 ) ( 5829000 438540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 434540 ) ( 5798590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 470540 ) ( 5802590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 466540 ) ( 5590590 479000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5594590 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 440000 ) ( 5825000 474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 470540 ) ( 5829000 470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 466540 ) ( 5654590 479000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5658590 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2560000 ) ( 5825000 2570540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2566540 ) ( 5829000 2566540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2562540 ) ( 5798590 2602540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2598540 ) ( 5802590 2598540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2594540 ) ( 5766590 2634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2630540 ) ( 5770590 2630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2626540 ) ( 5494590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2646540 ) ( 5498590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2642540 ) ( 4870590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2710540 ) ( 4874590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2706540 ) ( 4630590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4634590 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2560000 ) ( 5825000 2560000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2556000 ) ( 5798590 2570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2566540 ) ( 5802590 2566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2562540 ) ( 5782590 2634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2630540 ) ( 5786590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2626540 ) ( 5510590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2646540 ) ( 5514590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2642540 ) ( 4886590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2710540 ) ( 4890590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2706540 ) ( 4694590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4698590 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 2486270 ) ( 5829000 2486270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2486540 ) ( 5829000 2486540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2466540 ) ( 5798590 2490540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2470540 ) ( 5802590 2470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2450540 ) ( 4934590 2474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2454540 ) ( 4938590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2391000 ) ( 4310590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4314590 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2490000 ) ( 5825000 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2466540 ) ( 5798590 2494000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2470540 ) ( 5802590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2450540 ) ( 5190590 2474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2454540 ) ( 5194590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2402540 ) ( 4566590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2406540 ) ( 4570590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2391000 ) ( 4374590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4378590 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 2423270 ) ( 5829000 2423270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2422540 ) ( 5829000 2422540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2420000 ) ( 5825000 2420000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2416000 ) ( 5798590 2426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2422540 ) ( 5802590 2422540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2418540 ) ( 5782590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2454540 ) ( 5786590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2391000 ) ( 4950590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 4954590 2395000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2454540 ) ( 5786590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2402540 ) ( 5206590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2406540 ) ( 5210590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2391000 ) ( 5014590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5018590 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2240000 ) ( 5825000 2250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2246540 ) ( 5829000 2246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2242540 ) ( 5798590 2282540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2278540 ) ( 5802590 2278540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2274540 ) ( 5766590 2314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2310540 ) ( 5770590 2310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2306540 ) ( 5494590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2326540 ) ( 5498590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2322540 ) ( 4870590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2390540 ) ( 4874590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2386540 ) ( 4630590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4634590 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2240000 ) ( 5825000 2240000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2236000 ) ( 5798590 2250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2246540 ) ( 5802590 2246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2242540 ) ( 5782590 2314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2310540 ) ( 5786590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2306540 ) ( 5510590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2326540 ) ( 5514590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2322540 ) ( 4886590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2390540 ) ( 4890590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2386540 ) ( 4694590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4698590 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2050000 ) ( 5825000 2058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2054540 ) ( 5829000 2054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2050540 ) ( 5798590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2070540 ) ( 5802590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2066540 ) ( 5590590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5594590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2050000 ) ( 5825000 2050000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2046000 ) ( 5798590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2070540 ) ( 5802590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2066540 ) ( 5654590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5658590 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 4540 + SHAPE IOWIRE ( 5821000 1992270 ) ( 5829000 1992270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1990540 ) ( 5829000 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1986540 ) ( 5798590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2006540 ) ( 5802590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2002540 ) ( 5510590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2070540 ) ( 5514590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2066540 ) ( 5270590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5274590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1990000 ) ( 5825000 1990000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1986000 ) ( 5798590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2006540 ) ( 5802590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2002540 ) ( 5526590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2070540 ) ( 5530590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2066540 ) ( 5334590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5338590 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3120000 ) ( 186590 3120000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3090540 ) ( 182590 3124000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3094540 ) ( 554590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3026540 ) ( 550590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3030540 ) ( 789000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3026540 ) ( 785000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3120000 ) ( 202590 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3090540 ) ( 198590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3094540 ) ( 538590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3042540 ) ( 534590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3046540 ) ( 730590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3031000 ) ( 726590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1920000 ) ( 5825000 1930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1926540 ) ( 5829000 1926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1922540 ) ( 5798590 1962540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1958540 ) ( 5802590 1958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1954540 ) ( 5766590 1994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1990540 ) ( 5770590 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1986540 ) ( 5494590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2006540 ) ( 5498590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2002540 ) ( 4870590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2070540 ) ( 4874590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2066540 ) ( 4630590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4634590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1920000 ) ( 5825000 1920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1916000 ) ( 5798590 1930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1926540 ) ( 5802590 1926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1922540 ) ( 5782590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1990540 ) ( 5786590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1986540 ) ( 5510590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2006540 ) ( 5514590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2002540 ) ( 4886590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2070540 ) ( 4890590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2066540 ) ( 4694590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4698590 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1840000 ) ( 5825000 1850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1846540 ) ( 5829000 1846540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1826540 ) ( 5798590 1850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 1830540 ) ( 5802590 1830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 1810540 ) ( 4934590 1834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 1814540 ) ( 4938590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 1751000 ) ( 4310590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4314590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1840000 ) ( 5825000 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1826540 ) ( 5798590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 1830540 ) ( 5802590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 1810540 ) ( 5190590 1834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 1814540 ) ( 5194590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 1762540 ) ( 4566590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 1766540 ) ( 4570590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 1751000 ) ( 4374590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4378590 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3170540 ) ( 175000 3180000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3174540 ) ( 202590 3174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3138540 ) ( 198590 3178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3142540 ) ( 234590 3142540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3106540 ) ( 230590 3146540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3110540 ) ( 570590 3110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3090540 ) ( 566590 3114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3094540 ) ( 1194590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3026540 ) ( 1190590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3030540 ) ( 1429000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3026540 ) ( 1425000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3138540 ) ( 175000 3180000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3142540 ) ( 218590 3142540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3106540 ) ( 214590 3146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3110540 ) ( 554590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3090540 ) ( 550590 3114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3094540 ) ( 1178590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3042540 ) ( 1174590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3046540 ) ( 1370590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3031000 ) ( 1366590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3250540 ) ( 175000 3260000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3254540 ) ( 202590 3254540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3250540 ) ( 198590 3274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 890590 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3266540 ) ( 886590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3286540 ) ( 1514590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3282540 ) ( 1510590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3350540 ) ( 1749000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3346540 ) ( 1745000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3260000 ) ( 202590 3260000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3256000 ) ( 198590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 874590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3266540 ) ( 870590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3286540 ) ( 1498590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3282540 ) ( 1494590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3350540 ) ( 1690590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3346540 ) ( 1686590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 3317270 ) ( 179000 3317270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3318540 ) ( 218590 3318540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 3282540 ) ( 214590 3322540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 3286540 ) ( 874590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3282540 ) ( 870590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3350540 ) ( 1109000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3346540 ) ( 1105000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3282540 ) ( 175000 3320000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3286540 ) ( 858590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3282540 ) ( 854590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3350540 ) ( 1050590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3346540 ) ( 1046590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3490540 ) ( 175000 3500000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3494540 ) ( 202590 3494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3458540 ) ( 198590 3498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3462540 ) ( 234590 3462540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3426540 ) ( 230590 3466540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3430540 ) ( 570590 3430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3410540 ) ( 566590 3434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3414540 ) ( 1194590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3346540 ) ( 1190590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3350540 ) ( 1429000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3346540 ) ( 1425000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3458540 ) ( 175000 3500000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3462540 ) ( 218590 3462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3426540 ) ( 214590 3466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3430540 ) ( 554590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3410540 ) ( 550590 3434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3414540 ) ( 1178590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3362540 ) ( 1174590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3366540 ) ( 1370590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3351000 ) ( 1366590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3570540 ) ( 175000 3580000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3574540 ) ( 202590 3574540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3570540 ) ( 198590 3594540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 890590 3590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3586540 ) ( 886590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3606540 ) ( 1514590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3602540 ) ( 1510590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3670540 ) ( 1749000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3666540 ) ( 1745000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3580000 ) ( 202590 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3576000 ) ( 198590 3594540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 874590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3586540 ) ( 870590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3606540 ) ( 1498590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3602540 ) ( 1494590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3670540 ) ( 1690590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3666540 ) ( 1686590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1780000 ) ( 186590 1780000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1746540 ) ( 182590 1784000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1750540 ) ( 469000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 1746540 ) ( 465000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1780000 ) ( 202590 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1762540 ) ( 198590 1784000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1766540 ) ( 410590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1751000 ) ( 406590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1840000 ) ( 186590 1840000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1810540 ) ( 182590 1844000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1814540 ) ( 554590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 1746540 ) ( 550590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 1750540 ) ( 789000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 1746540 ) ( 785000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1840000 ) ( 202590 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1810540 ) ( 198590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1814540 ) ( 538590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 1762540 ) ( 534590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 1766540 ) ( 730590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1751000 ) ( 726590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2610540 ) ( 175000 2620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2614540 ) ( 202590 2614540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2610540 ) ( 198590 2634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 890590 2630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2626540 ) ( 886590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2646540 ) ( 1514590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2642540 ) ( 1510590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2710540 ) ( 1749000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2706540 ) ( 1745000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2620000 ) ( 202590 2620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2616000 ) ( 198590 2634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 874590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2626540 ) ( 870590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2646540 ) ( 1498590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2642540 ) ( 1494590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2710540 ) ( 1690590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2706540 ) ( 1686590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 696000 182540 ) ( 730590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 726590 178540 ) ( 726590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 722590 550540 ) ( 794590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 546540 ) ( 790590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 781000 790540 ) ( 794590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 786540 ) ( 785000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 696000 198540 ) ( 730590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 194540 ) ( 726590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 534540 ) ( 778590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 530540 ) ( 774590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 726540 ) ( 789000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 722540 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4596000 182540 ) ( 4634590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 178540 ) ( 4630590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 470540 ) ( 4634590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 466540 ) ( 4625000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4596000 198540 ) ( 4618590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 194540 ) ( 4614590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 406540 ) ( 4629000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 402540 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 2743270 ) ( 5829000 2743270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2742540 ) ( 5829000 2742540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2740000 ) ( 5825000 2740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2736000 ) ( 5798590 2746540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2742540 ) ( 5802590 2742540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2738540 ) ( 5782590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2774540 ) ( 5786590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2711000 ) ( 4950590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 4954590 2715000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2774540 ) ( 5786590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2722540 ) ( 5206590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2726540 ) ( 5210590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2711000 ) ( 5014590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5018590 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2418540 ) ( 175000 2430000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2422540 ) ( 202590 2422540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2386540 ) ( 198590 2426540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2390540 ) ( 469000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2386540 ) ( 465000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2430000 ) ( 202590 2430000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2402540 ) ( 198590 2434000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2406540 ) ( 410590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2391000 ) ( 406590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1076000 182540 ) ( 1114590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 178540 ) ( 1110590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 470540 ) ( 1114590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 466540 ) ( 1105000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1076000 198540 ) ( 1098590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 194540 ) ( 1094590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 406540 ) ( 1109000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 402540 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4666000 182540 ) ( 4698590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 178540 ) ( 4694590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 870540 ) ( 4698590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 866540 ) ( 4630590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1110540 ) ( 4634590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1106540 ) ( 4625000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4666000 198540 ) ( 4698590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 194540 ) ( 4694590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 854540 ) ( 4698590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 850540 ) ( 4630590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1046540 ) ( 4634590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1042540 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2800000 ) ( 5825000 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2806540 ) ( 5829000 2806540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2790540 ) ( 5802590 2790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2770540 ) ( 4934590 2794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2774540 ) ( 4938590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2711000 ) ( 4310590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4314590 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2800000 ) ( 5825000 2800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2790540 ) ( 5802590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2770540 ) ( 5190590 2794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2774540 ) ( 5194590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2722540 ) ( 4566590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2726540 ) ( 4570590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2711000 ) ( 4374590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4378590 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3530590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3526590 171000 ) ( 3526590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3522590 198540 ) ( 3562590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3558590 194540 ) ( 3558590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3554590 230540 ) ( 3594590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3590590 226540 ) ( 3590590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3586590 1414540 ) ( 3610590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 1410540 ) ( 3606590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 1430540 ) ( 3669000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1426540 ) ( 3665000 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3516000 198540 ) ( 3530590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3526590 194540 ) ( 3526590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3522590 214540 ) ( 3594590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 210540 ) ( 3590590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3586590 550540 ) ( 3610590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 546540 ) ( 3606590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 1174540 ) ( 3658590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 1170540 ) ( 3654590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 1366540 ) ( 3669000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1362540 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3896000 182540 ) ( 3930590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 178540 ) ( 3926590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 550540 ) ( 3994590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 546540 ) ( 3990590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 790540 ) ( 3994590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 786540 ) ( 3985000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3896000 198540 ) ( 3930590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 194540 ) ( 3926590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 534540 ) ( 3978590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 530540 ) ( 3974590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 726540 ) ( 3989000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 722540 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3576000 182540 ) ( 3610590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 178540 ) ( 3606590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 550540 ) ( 3674590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 546540 ) ( 3670590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 790540 ) ( 3674590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 786540 ) ( 3665000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3576000 198540 ) ( 3610590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 194540 ) ( 3606590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 534540 ) ( 3658590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 530540 ) ( 3654590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 726540 ) ( 3669000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 722540 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3658590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3654590 171000 ) ( 3654590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3650590 198540 ) ( 3674590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 194540 ) ( 3670590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 470540 ) ( 3674590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 466540 ) ( 3665000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3646000 198540 ) ( 3658590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 194540 ) ( 3654590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 406540 ) ( 3669000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 402540 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 5825000 ) ( 3440000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5830540 ) ( 3444000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5506540 ) ( 3414590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5510540 ) ( 3418590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5271000 ) ( 3350590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3354590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3410590 5825000 ) ( 3440000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5522540 ) ( 3414590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5526540 ) ( 3418590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5330540 ) ( 3350590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5334540 ) ( 3354590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5338540 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3512295 5821000 ) ( 3512295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3510590 5794540 ) ( 3510590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3458590 5798540 ) ( 3514590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3462590 5762540 ) ( 3462590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3426590 5766540 ) ( 3466590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5490540 ) ( 3430590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5494540 ) ( 3434590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4866540 ) ( 3414590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 4870540 ) ( 3418590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4631000 ) ( 3350590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3354590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3474590 5825000 ) ( 3510000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3478590 5810540 ) ( 3478590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3458590 5814540 ) ( 3482590 5814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3462590 5746540 ) ( 3462590 5818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3426590 5750540 ) ( 3466590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5506540 ) ( 3430590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5510540 ) ( 3434590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4882540 ) ( 3414590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 4886540 ) ( 3418590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4690540 ) ( 3350590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 4694540 ) ( 3354590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4698540 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3570590 5825000 ) ( 3580000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3574590 5794540 ) ( 3574590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3570590 5798540 ) ( 3594590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3590590 5170540 ) ( 3590590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3586590 5174540 ) ( 3610590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4546540 ) ( 3606590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4550540 ) ( 3674590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4311000 ) ( 3670590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3674590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 5794540 ) ( 3580000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3576000 5798540 ) ( 3594590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 5186540 ) ( 3590590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3586590 5190540 ) ( 3610590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4562540 ) ( 3606590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4566540 ) ( 3658590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 4370540 ) ( 3654590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 4374540 ) ( 3669000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4378540 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3766295 5821000 ) ( 3766295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5794540 ) ( 3766590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5798540 ) ( 3770590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5506540 ) ( 3734590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5510540 ) ( 3738590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5271000 ) ( 3670590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3674590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3770000 5794540 ) ( 3770000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3762590 5798540 ) ( 3774000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5778540 ) ( 3766590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5782540 ) ( 3770590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5522540 ) ( 3734590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5526540 ) ( 3738590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5330540 ) ( 3670590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5334540 ) ( 3674590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5338540 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_single_target.defok b/src/pad/test/rdl_route_single_target.defok index 8d0af029c4b..1c9fa0ddb97 100644 --- a/src/pad/test/rdl_route_single_target.defok +++ b/src/pad/test/rdl_route_single_target.defok @@ -2954,1130 +2954,1147 @@ SPECIALNETS 140 ; ( IO_FILL_IO_WEST_0_440 VSS ) ( IO_FILL_IO_WEST_0_445 VSS ) ( IO_FILL_IO_WEST_0_450 VSS ) ( IO_FILL_IO_WEST_0_455 VSS ) ( IO_FILL_IO_WEST_0_460 VSS ) ( IO_FILL_IO_WEST_0_465 VSS ) ( IO_FILL_IO_WEST_0_470 VSS ) ( IO_FILL_IO_WEST_0_475 VSS ) ( IO_FILL_IO_WEST_0_480 VSS ) ( IO_FILL_IO_WEST_0_485 VSS ) + USE GROUND ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2930540 ) ( 5825000 2940000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2934540 ) ( 5829000 2934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2930540 ) ( 5798590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2966540 ) ( 5802590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2962540 ) ( 5510590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3030540 ) ( 5514590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3026540 ) ( 5270590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5274590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2940000 ) ( 5825000 2940000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2936000 ) ( 5798590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2966540 ) ( 5802590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2962540 ) ( 5526590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3030540 ) ( 5530590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3026540 ) ( 5334590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5338590 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3830000 ) ( 186590 3830000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3794540 ) ( 182590 3834000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3798540 ) ( 234590 3798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3762540 ) ( 230590 3802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3766540 ) ( 266590 3766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 262590 3730540 ) ( 262590 3770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 258590 3734540 ) ( 1194590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3666540 ) ( 1190590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3670540 ) ( 1429000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3666540 ) ( 1425000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3794540 ) ( 175000 3830000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3798540 ) ( 218590 3798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3762540 ) ( 214590 3802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3766540 ) ( 250590 3766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3730540 ) ( 246590 3770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 3734540 ) ( 1178590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3682540 ) ( 1174590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3686540 ) ( 1370590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3671000 ) ( 1366590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3120000 ) ( 5834590 3120000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5830590 3090540 ) ( 5830590 3124000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3094540 ) ( 5834590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3031000 ) ( 4310590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4314590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3120000 ) ( 5825000 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3090540 ) ( 5798590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3094540 ) ( 5802590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3042540 ) ( 4566590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3046540 ) ( 4570590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3031000 ) ( 4374590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4378590 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3650000 ) ( 186590 3650000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3602540 ) ( 182590 3654000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3606540 ) ( 874590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3602540 ) ( 870590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3670540 ) ( 1109000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3666540 ) ( 1105000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3650000 ) ( 202590 3650000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3634540 ) ( 198590 3654000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3638540 ) ( 218590 3638540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3602540 ) ( 214590 3642540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3606540 ) ( 858590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3602540 ) ( 854590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3670540 ) ( 1050590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3666540 ) ( 1046590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3200000 ) ( 5825000 3210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3206540 ) ( 5829000 3206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3202540 ) ( 5798590 3242540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3238540 ) ( 5802590 3238540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3234540 ) ( 5766590 3274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 3270540 ) ( 5770590 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 3266540 ) ( 5494590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3286540 ) ( 5498590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3282540 ) ( 4870590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3350540 ) ( 4874590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3346540 ) ( 4630590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4634590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3200000 ) ( 5825000 3200000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3196000 ) ( 5798590 3210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3206540 ) ( 5802590 3206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3202540 ) ( 5782590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3270540 ) ( 5786590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3266540 ) ( 5510590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3286540 ) ( 5514590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3282540 ) ( 4886590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3350540 ) ( 4890590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3346540 ) ( 4694590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4698590 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4018590 5825000 ) ( 4030000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5794540 ) ( 4022590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5798540 ) ( 4026590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5591000 ) ( 3990590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3994590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 5794540 ) ( 4030000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4018590 5798540 ) ( 4034000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5778540 ) ( 4022590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5782540 ) ( 4026590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5650540 ) ( 3990590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5654540 ) ( 3994590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5658540 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4086295 5821000 ) ( 4086295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5794540 ) ( 4086590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5798540 ) ( 4090590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5506540 ) ( 4054590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5510540 ) ( 4058590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5271000 ) ( 3990590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3994590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4090000 5794540 ) ( 4090000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4082590 5798540 ) ( 4094000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5778540 ) ( 4086590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 5782540 ) ( 4090590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5522540 ) ( 4054590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 5526540 ) ( 4058590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5330540 ) ( 3990590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5334540 ) ( 3994590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5338540 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4246295 5821000 ) ( 4246295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5186540 ) ( 4246590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5190540 ) ( 4314590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4951000 ) ( 4310590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4314590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4250000 5794540 ) ( 4250000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5798540 ) ( 4254000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5202540 ) ( 4246590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5206540 ) ( 4298590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5010540 ) ( 4294590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5014540 ) ( 4309000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5018540 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4290590 5825000 ) ( 4300000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5794540 ) ( 4294590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4314590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5591000 ) ( 4310590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4314590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4300000 5794540 ) ( 4300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4304000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5650540 ) ( 4294590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5654540 ) ( 4309000 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5658540 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4406295 5821000 ) ( 4406295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5794540 ) ( 4406590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5798540 ) ( 4410590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5506540 ) ( 4374590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 5510540 ) ( 4378590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5271000 ) ( 4310590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4314590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4410000 5794540 ) ( 4410000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4402590 5798540 ) ( 4414000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5778540 ) ( 4406590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5782540 ) ( 4410590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5522540 ) ( 4374590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 5526540 ) ( 4378590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5330540 ) ( 4310590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 5334540 ) ( 4314590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5338540 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5040000 5825000 ) ( 5040000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5010590 5830540 ) ( 5044000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5506540 ) ( 5014590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5510540 ) ( 5018590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5271000 ) ( 4950590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4954590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5010590 5825000 ) ( 5040000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5522540 ) ( 5014590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4946590 5526540 ) ( 5018590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5330540 ) ( 4950590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5334540 ) ( 4954590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5338540 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 5303295 5821000 ) ( 5303295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5302590 5794540 ) ( 5302590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 5798540 ) ( 5306590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5591000 ) ( 5270590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5274590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5266590 5825000 ) ( 5300000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5650540 ) ( 5270590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5654540 ) ( 5274590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5658540 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 5237270 ) ( 5829000 5237270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 5238540 ) ( 5829000 5238540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 5234540 ) ( 5798590 5274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 5270540 ) ( 5802590 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5266540 ) ( 5590590 5279000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5594590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 5240000 ) ( 5825000 5274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 5270540 ) ( 5829000 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 5266540 ) ( 5654590 5279000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5658590 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4930000 ) ( 5825000 4938540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4934540 ) ( 5829000 4934540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4930540 ) ( 5798590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 4950540 ) ( 5802590 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 4946540 ) ( 5590590 4959000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5594590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4930000 ) ( 5825000 4930000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4926000 ) ( 5798590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4950540 ) ( 5802590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4946540 ) ( 5654590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5658590 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 4472295 5821000 ) ( 4472295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4470590 5794540 ) ( 4470590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4418590 5798540 ) ( 4474590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4422590 5762540 ) ( 4422590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4386590 5766540 ) ( 4426590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5490540 ) ( 4390590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5494540 ) ( 4394590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4866540 ) ( 4374590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4870540 ) ( 4378590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4631000 ) ( 4310590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4314590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4470000 5794540 ) ( 4470000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4450590 5798540 ) ( 4474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4454590 5778540 ) ( 4454590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4418590 5782540 ) ( 4458590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4422590 5746540 ) ( 4422590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4386590 5750540 ) ( 4426590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5506540 ) ( 4390590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 5510540 ) ( 4394590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4882540 ) ( 4374590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4886540 ) ( 4378590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4690540 ) ( 4310590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 4694540 ) ( 4314590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4698540 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4720000 5825000 ) ( 4720000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5830540 ) ( 4724000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5506540 ) ( 4694590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5510540 ) ( 4698590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5271000 ) ( 4630590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4634590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4690590 5825000 ) ( 4720000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5522540 ) ( 4694590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 5526540 ) ( 4698590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5330540 ) ( 4630590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5334540 ) ( 4634590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5338540 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4770590 5825000 ) ( 4780000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4774590 5794540 ) ( 4774590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4738590 5798540 ) ( 4778590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4742590 5762540 ) ( 4742590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4706590 5766540 ) ( 4746590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5490540 ) ( 4710590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5494540 ) ( 4714590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4866540 ) ( 4694590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4870540 ) ( 4698590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4631000 ) ( 4630590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4634590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4738590 5825000 ) ( 4780000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4742590 5778540 ) ( 4742590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4706590 5782540 ) ( 4746590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5506540 ) ( 4710590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5510540 ) ( 4714590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4882540 ) ( 4694590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4886540 ) ( 4698590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4690540 ) ( 4630590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 4694540 ) ( 4634590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4698540 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4850540 ) ( 5825000 4860000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4854540 ) ( 5829000 4854540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4850540 ) ( 5798590 4890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4886540 ) ( 5802590 4886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4882540 ) ( 5510590 4954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4950540 ) ( 5514590 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4946540 ) ( 5270590 4959000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5274590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4860000 ) ( 5825000 4860000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4856000 ) ( 5798590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4886540 ) ( 5802590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4882540 ) ( 5526590 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4950540 ) ( 5530590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4946540 ) ( 5334590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5338590 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4530540 ) ( 5825000 4540000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4534540 ) ( 5829000 4534540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4530540 ) ( 5798590 4570540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4566540 ) ( 5802590 4566540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4562540 ) ( 5510590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4630540 ) ( 5514590 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4626540 ) ( 5270590 4639000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5274590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4540000 ) ( 5825000 4540000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4536000 ) ( 5798590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4566540 ) ( 5802590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4562540 ) ( 5526590 4634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4630540 ) ( 5530590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4626540 ) ( 5334590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5338590 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4210540 ) ( 5825000 4220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4214540 ) ( 5829000 4214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4210540 ) ( 5798590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4246540 ) ( 5802590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4242540 ) ( 5510590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 4310540 ) ( 5514590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 4306540 ) ( 5270590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5274590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4220000 ) ( 5825000 4220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4216000 ) ( 5798590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 4246540 ) ( 5802590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4242540 ) ( 5526590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4310540 ) ( 5530590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4306540 ) ( 5334590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5338590 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4160000 ) ( 5825000 4170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4166540 ) ( 5829000 4166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4162540 ) ( 5798590 4202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 4198540 ) ( 5802590 4198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 4194540 ) ( 5766590 4234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 4230540 ) ( 5770590 4230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 4226540 ) ( 5494590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 4246540 ) ( 5498590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 4242540 ) ( 4870590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 4310540 ) ( 4874590 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4306540 ) ( 4630590 4319000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4634590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4160000 ) ( 5825000 4186540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4182540 ) ( 5829000 4182540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4178540 ) ( 5782590 4234540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 4230540 ) ( 5786590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4226540 ) ( 5510590 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 4246540 ) ( 5514590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 4242540 ) ( 4886590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 4310540 ) ( 4890590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4306540 ) ( 4694590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4698590 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 4080000 ) ( 5825000 4090540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4086540 ) ( 5829000 4086540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4090540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 4070540 ) ( 5802590 4070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 4050540 ) ( 4934590 4074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 4054540 ) ( 4938590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3991000 ) ( 4310590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4314590 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4080000 ) ( 5825000 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 4070540 ) ( 5802590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 4050540 ) ( 5190590 4074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 4054540 ) ( 5194590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 4002540 ) ( 4566590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 4006540 ) ( 4570590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3991000 ) ( 4374590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4378590 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 3703270 ) ( 5829000 3703270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3702540 ) ( 5829000 3702540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3700000 ) ( 5825000 3700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3696000 ) ( 5798590 3706540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3702540 ) ( 5802590 3702540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3698540 ) ( 5782590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 3734540 ) ( 5786590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3671000 ) ( 4950590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 4954590 3675000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 3734540 ) ( 5786590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3682540 ) ( 5206590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3686540 ) ( 5210590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3671000 ) ( 5014590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5018590 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 3637270 ) ( 5829000 3637270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3638540 ) ( 5829000 3638540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3634540 ) ( 5798590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 3670540 ) ( 5802590 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 3666540 ) ( 5590590 3679000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5594590 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3640000 ) ( 5825000 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3670540 ) ( 5829000 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3666540 ) ( 5654590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5658590 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 3446270 ) ( 5829000 3446270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3446540 ) ( 5829000 3446540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3410540 ) ( 5782590 3450540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3414540 ) ( 5786590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3351000 ) ( 4310590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4314590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3410540 ) ( 5825000 3450000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3414540 ) ( 5829000 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3362540 ) ( 4566590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3366540 ) ( 4570590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3351000 ) ( 4374590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4378590 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4540 + SHAPE IOWIRE ( 5821000 3272270 ) ( 5829000 3272270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3270540 ) ( 5829000 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3266540 ) ( 5798590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 3286540 ) ( 5802590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3282540 ) ( 5510590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 3350540 ) ( 5514590 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 3346540 ) ( 5270590 3359000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5274590 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3270000 ) ( 5825000 3270000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3266000 ) ( 5798590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 3286540 ) ( 5802590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3282540 ) ( 5526590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3350540 ) ( 5530590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3346540 ) ( 5334590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5338590 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 4023270 ) ( 5829000 4023270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4022540 ) ( 5829000 4022540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 4020000 ) ( 5825000 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4016000 ) ( 5798590 4026540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 4022540 ) ( 5802590 4022540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4018540 ) ( 5782590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 4054540 ) ( 5786590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 3991000 ) ( 4950590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 4954590 3995000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 4054540 ) ( 5786590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4002540 ) ( 5206590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4006540 ) ( 5210590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3991000 ) ( 5014590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5018590 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3840000 ) ( 5825000 3850540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3846540 ) ( 5829000 3846540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3842540 ) ( 5798590 3898540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 3894540 ) ( 5802590 3894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3890540 ) ( 5766590 3930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 3926540 ) ( 5770590 3926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 3922540 ) ( 4870590 3994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3990540 ) ( 4874590 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3986540 ) ( 4630590 3999000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4634590 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 3840000 ) ( 5825000 3866540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 3862540 ) ( 5829000 3862540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3858540 ) ( 5782590 3898540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5746590 3894540 ) ( 5786590 3894540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5750590 3890540 ) ( 5750590 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 3926540 ) ( 5754590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3922540 ) ( 4886590 3994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3990540 ) ( 4890590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3986540 ) ( 4694590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4698590 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 3766270 ) ( 5829000 3766270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3766540 ) ( 5829000 3766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3746540 ) ( 5798590 3770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 3750540 ) ( 5802590 3750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 3730540 ) ( 4934590 3754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 3734540 ) ( 4938590 3734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 3671000 ) ( 4310590 3738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4314590 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 3770000 ) ( 5825000 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3746540 ) ( 5798590 3774000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 3750540 ) ( 5802590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3730540 ) ( 5190590 3754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 3734540 ) ( 5194590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3682540 ) ( 4566590 3738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3686540 ) ( 4570590 3686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3671000 ) ( 4374590 3690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4378590 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2850590 5825000 ) ( 2860000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5794540 ) ( 2854590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2802590 5798540 ) ( 2858590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2806590 5762540 ) ( 2806590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5766540 ) ( 2810590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4866540 ) ( 2774590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 4870540 ) ( 2778590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4631000 ) ( 2710590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2714590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2860000 5794540 ) ( 2860000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2850590 5798540 ) ( 2864000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5778540 ) ( 2854590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2802590 5782540 ) ( 2858590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2806590 5746540 ) ( 2806590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5750540 ) ( 2810590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4882540 ) ( 2774590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 4886540 ) ( 2778590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4690540 ) ( 2710590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 4694540 ) ( 2714590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4698540 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2930590 5825000 ) ( 2940000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2934590 5794540 ) ( 2934590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2930590 5798540 ) ( 2970590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4546540 ) ( 2966590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2962590 4550540 ) ( 3034590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4311000 ) ( 3030590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3034590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2940000 5825000 ) ( 2970590 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4562540 ) ( 2966590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2962590 4566540 ) ( 3018590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 4370540 ) ( 3014590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 4374540 ) ( 3029000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4378540 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3058590 5825000 ) ( 3070000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3062590 5794540 ) ( 3062590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5798540 ) ( 3066590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5591000 ) ( 3030590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3034590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3042590 5825000 ) ( 3070000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3046590 5794540 ) ( 3046590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 5798540 ) ( 3050590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5650540 ) ( 3030590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5654540 ) ( 3034590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5658540 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3330590 5825000 ) ( 3340000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5778540 ) ( 3334590 5829000 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3340000 5794540 ) ( 3340000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 5798540 ) ( 3344000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5778540 ) ( 3334590 5802540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5782540 ) ( 3338590 5782540 ) NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5202540 ) ( 3286590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5206540 ) ( 3354590 5206540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4951000 ) ( 3350590 5210540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3354590 4955000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5206540 ) ( 3338590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5010540 ) ( 3334590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 5014540 ) ( 3349000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5018540 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3192295 5821000 ) ( 3192295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3190590 5794540 ) ( 3190590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3154590 5798540 ) ( 3194590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3158590 5762540 ) ( 3158590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3122590 5766540 ) ( 3162590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5730540 ) ( 3126590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5734540 ) ( 3130590 5734540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4866540 ) ( 3094590 5738540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 4870540 ) ( 3098590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4631000 ) ( 3030590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3034590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3190000 5794540 ) ( 3190000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3170590 5798540 ) ( 3194000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3174590 5778540 ) ( 3174590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3122590 5782540 ) ( 3178590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5746540 ) ( 3126590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 5750540 ) ( 3130590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4882540 ) ( 3094590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 4886540 ) ( 3098590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4690540 ) ( 3030590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 4694540 ) ( 3034590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4698540 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4020000 ) ( 186590 4020000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3986540 ) ( 182590 4024000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3990540 ) ( 469000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 3986540 ) ( 465000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4020000 ) ( 202590 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4002540 ) ( 198590 4024000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4006540 ) ( 410590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3991000 ) ( 406590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4080000 ) ( 186590 4080000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4050540 ) ( 182590 4084000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4054540 ) ( 554590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3986540 ) ( 550590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3990540 ) ( 789000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3986540 ) ( 785000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4080000 ) ( 202590 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4050540 ) ( 198590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4054540 ) ( 538590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4002540 ) ( 534590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4006540 ) ( 730590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3991000 ) ( 726590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4150000 ) ( 186590 4150000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4098540 ) ( 182590 4154000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4102540 ) ( 234590 4102540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4066540 ) ( 230590 4106540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4070540 ) ( 570590 4070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4050540 ) ( 566590 4074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4054540 ) ( 1194590 4054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3986540 ) ( 1190590 4058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3990540 ) ( 1429000 3990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3986540 ) ( 1425000 3995000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4114540 ) ( 175000 4150000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4118540 ) ( 186590 4118540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 4098540 ) ( 182590 4122540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 4102540 ) ( 250590 4102540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4066540 ) ( 246590 4106540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 4070540 ) ( 554590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4050540 ) ( 550590 4074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4054540 ) ( 1178590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4002540 ) ( 1174590 4058540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4006540 ) ( 1370590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3991000 ) ( 1366590 4010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4220000 ) ( 186590 4220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4216000 ) ( 182590 4250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4246540 ) ( 1514590 4246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 4242540 ) ( 1510590 4314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 4310540 ) ( 1749000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4306540 ) ( 1745000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4220000 ) ( 175000 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4246540 ) ( 1498590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 4242540 ) ( 1494590 4314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 4310540 ) ( 1690590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 4306540 ) ( 1686590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4400000 ) ( 186590 4400000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 4370540 ) ( 182590 4404000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 4374540 ) ( 554590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4306540 ) ( 550590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4310540 ) ( 789000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4306540 ) ( 785000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4400000 ) ( 202590 4400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4370540 ) ( 198590 4404000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4374540 ) ( 538590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4322540 ) ( 534590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4326540 ) ( 730590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4311000 ) ( 726590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5040000 ) ( 186590 5040000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5010540 ) ( 182590 5044000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5014540 ) ( 554590 5014540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 4946540 ) ( 550590 5018540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 4950540 ) ( 789000 4950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 4946540 ) ( 785000 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5040000 ) ( 202590 5040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5010540 ) ( 198590 5044000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5014540 ) ( 538590 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 4962540 ) ( 534590 5018540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 4966540 ) ( 730590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4951000 ) ( 726590 4970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5300000 ) ( 186590 5300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5266540 ) ( 182590 5304000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5270540 ) ( 469000 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 5266540 ) ( 465000 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5300000 ) ( 202590 5300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5282540 ) ( 198590 5304000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5286540 ) ( 410590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5271000 ) ( 406590 5290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 171000 5366270 ) ( 179000 5366270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 5366540 ) ( 186590 5366540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5330540 ) ( 182590 5370540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5334540 ) ( 554590 5334540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 5266540 ) ( 550590 5338540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 5270540 ) ( 789000 5270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 5266540 ) ( 785000 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5330540 ) ( 175000 5370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 5334540 ) ( 538590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 5282540 ) ( 534590 5338540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 5286540 ) ( 730590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 5271000 ) ( 726590 5290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5620000 ) ( 186590 5620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 5586540 ) ( 182590 5624000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 5590540 ) ( 469000 5590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 5586540 ) ( 465000 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 5620000 ) ( 202590 5620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5602540 ) ( 198590 5624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5606540 ) ( 410590 5606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5591000 ) ( 406590 5610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4450540 ) ( 175000 4460000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4454540 ) ( 202590 4454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4418540 ) ( 198590 4458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4422540 ) ( 234590 4422540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 4386540 ) ( 230590 4426540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 4390540 ) ( 570590 4390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 4370540 ) ( 566590 4394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 4374540 ) ( 1194590 4374540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 4306540 ) ( 1190590 4378540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 4310540 ) ( 1429000 4310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4306540 ) ( 1425000 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4418540 ) ( 175000 4460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4422540 ) ( 218590 4422540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4386540 ) ( 214590 4426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 4390540 ) ( 554590 4390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 4370540 ) ( 550590 4394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 4374540 ) ( 1178590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 4322540 ) ( 1174590 4378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 4326540 ) ( 1370590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4311000 ) ( 1366590 4330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4610540 ) ( 175000 4620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4614540 ) ( 218590 4614540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4620000 ) ( 202590 4620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4610540 ) ( 198590 4624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4614540 ) ( 218590 4614540 ) NEW metal10 8000 + SHAPE IOWIRE ( 214590 4562540 ) ( 214590 4618540 ) NEW metal10 8000 + SHAPE IOWIRE ( 210590 4566540 ) ( 858590 4566540 ) NEW metal10 8000 + SHAPE IOWIRE ( 854590 4562540 ) ( 854590 4634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 850590 4630540 ) ( 1109000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 4626540 ) ( 1105000 4635000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 850590 4630540 ) ( 1050590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4626540 ) ( 1046590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 4677270 ) ( 179000 4677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 4678540 ) ( 202590 4678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 4626540 ) ( 198590 4682540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 4630540 ) ( 469000 4630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 4626540 ) ( 465000 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 4642540 ) ( 175000 4680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4646540 ) ( 410590 4646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4631000 ) ( 406590 4650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1200000 5825000 ) ( 1200000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1170590 5830540 ) ( 1204000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5506540 ) ( 1174590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5510540 ) ( 1178590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5271000 ) ( 1110590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1114590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1170590 5825000 ) ( 1200000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5522540 ) ( 1174590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1106590 5526540 ) ( 1178590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5330540 ) ( 1110590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5334540 ) ( 1114590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5338540 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 1397295 5821000 ) ( 1397295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1398590 5778540 ) ( 1398590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5782540 ) ( 1402590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5186540 ) ( 1366590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5190540 ) ( 1434590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 4951000 ) ( 1430590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1434590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1362590 5825000 ) ( 1400000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5202540 ) ( 1366590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 5206540 ) ( 1418590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 5010540 ) ( 1414590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 5014540 ) ( 1429000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5018540 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1458590 5825000 ) ( 1470000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5794540 ) ( 1462590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5798540 ) ( 1466590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5591000 ) ( 1430590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1434590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 5794540 ) ( 1470000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1458590 5798540 ) ( 1474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5778540 ) ( 1462590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 5782540 ) ( 1466590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5650540 ) ( 1430590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5654540 ) ( 1434590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5658540 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1783295 5821000 ) ( 1783295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1782590 5794540 ) ( 1782590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 5798540 ) ( 1786590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5591000 ) ( 1750590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1754590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1746590 5825000 ) ( 1780000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5650540 ) ( 1750590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5654540 ) ( 1754590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5658540 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1970590 5825000 ) ( 1980000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1974590 5794540 ) ( 1974590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1970590 5798540 ) ( 1994590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5170540 ) ( 1990590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1986590 5174540 ) ( 2010590 5174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4546540 ) ( 2006590 5178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 4550540 ) ( 2074590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4311000 ) ( 2070590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2074590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1980000 5794540 ) ( 1980000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1976000 5798540 ) ( 1994590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5186540 ) ( 1990590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1986590 5190540 ) ( 2010590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4562540 ) ( 2006590 5194540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 4566540 ) ( 2058590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 4370540 ) ( 2054590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 4374540 ) ( 2069000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4378540 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2357295 5821000 ) ( 2357295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2358590 5778540 ) ( 2358590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5782540 ) ( 2362590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5186540 ) ( 2326590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5190540 ) ( 2394590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4951000 ) ( 2390590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2394590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2322590 5825000 ) ( 2360000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5202540 ) ( 2326590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2322590 5206540 ) ( 2378590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 5010540 ) ( 2374590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 5014540 ) ( 2389000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5018540 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2423295 5821000 ) ( 2423295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2422590 5794540 ) ( 2422590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5798540 ) ( 2426590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5591000 ) ( 2390590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2394590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2386590 5825000 ) ( 2420000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5650540 ) ( 2390590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5654540 ) ( 2394590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5658540 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2486295 5821000 ) ( 2486295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5794540 ) ( 2486590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5798540 ) ( 2490590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5506540 ) ( 2454590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5510540 ) ( 2458590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5271000 ) ( 2390590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2394590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2490000 5794540 ) ( 2490000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2482590 5798540 ) ( 2494000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5778540 ) ( 2486590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5782540 ) ( 2490590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5522540 ) ( 2454590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 5526540 ) ( 2458590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5330540 ) ( 2390590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5334540 ) ( 2394590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5338540 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 2552295 5821000 ) ( 2552295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2550590 5794540 ) ( 2550590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2498590 5798540 ) ( 2554590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2502590 5762540 ) ( 2502590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2466590 5766540 ) ( 2506590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5490540 ) ( 2470590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5494540 ) ( 2474590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4866540 ) ( 2454590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 4870540 ) ( 2458590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4631000 ) ( 2390590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2394590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2550000 5794540 ) ( 2550000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2530590 5798540 ) ( 2554000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2534590 5778540 ) ( 2534590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2498590 5782540 ) ( 2538590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2502590 5746540 ) ( 2502590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2466590 5750540 ) ( 2506590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5506540 ) ( 2470590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2450590 5510540 ) ( 2474590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4882540 ) ( 2454590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 4886540 ) ( 2458590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4690540 ) ( 2390590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 4694540 ) ( 2394590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4698540 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 2037295 5821000 ) ( 2037295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2038590 5778540 ) ( 2038590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5782540 ) ( 2042590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5186540 ) ( 2006590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5190540 ) ( 2074590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4951000 ) ( 2070590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2074590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2002590 5825000 ) ( 2040000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5202540 ) ( 2006590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 5206540 ) ( 2058590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 5010540 ) ( 2054590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 5014540 ) ( 2069000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5018540 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2098590 5825000 ) ( 2110000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5794540 ) ( 2102590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5798540 ) ( 2106590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5591000 ) ( 2070590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2074590 5595000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2110000 5794540 ) ( 2110000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2098590 5798540 ) ( 2114000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5778540 ) ( 2102590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5782540 ) ( 2106590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5650540 ) ( 2070590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5654540 ) ( 2074590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5658540 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2166295 5821000 ) ( 2166295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5794540 ) ( 2166590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5798540 ) ( 2170590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5506540 ) ( 2134590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5510540 ) ( 2138590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5271000 ) ( 2070590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2074590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2170000 5794540 ) ( 2170000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2162590 5798540 ) ( 2174000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5778540 ) ( 2166590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 5782540 ) ( 2170590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5522540 ) ( 2134590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 5526540 ) ( 2138590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5330540 ) ( 2070590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5334540 ) ( 2074590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5338540 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL - + ROUTED metal10 5410 + SHAPE IOWIRE ( 3957295 5821000 ) ( 3957295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3958590 5778540 ) ( 3958590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5782540 ) ( 3962590 5782540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5186540 ) ( 3926590 5786540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5190540 ) ( 3994590 5190540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4951000 ) ( 3990590 5194540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3994590 4955000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3922590 5825000 ) ( 3960000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5202540 ) ( 3926590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 5206540 ) ( 3978590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 5010540 ) ( 3974590 5210540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 5014540 ) ( 3989000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5018540 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3316000 182540 ) ( 3354590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 178540 ) ( 3350590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3341000 470540 ) ( 3354590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 466540 ) ( 3345000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3320000 175000 ) ( 3320000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3316000 198540 ) ( 3338590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 194540 ) ( 3334590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 406540 ) ( 3349000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 402540 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2170590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2166590 171000 ) ( 2166590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2170590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2130590 886540 ) ( 2154590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 882540 ) ( 2134590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 1510540 ) ( 2138590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1506540 ) ( 2070590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1750540 ) ( 2074590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1746540 ) ( 2065000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2160000 175000 ) ( 2160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2164000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2130590 870540 ) ( 2154590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 866540 ) ( 2134590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 1494540 ) ( 2138590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1490540 ) ( 2070590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1686540 ) ( 2074590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1682540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 2103295 171000 ) ( 2103295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2102590 171000 ) ( 2102590 218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2098590 214540 ) ( 2138590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2134590 210540 ) ( 2134590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2066590 870540 ) ( 2138590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2070590 866540 ) ( 2070590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1110540 ) ( 2074590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1106540 ) ( 2065000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2100000 175000 ) ( 2138590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 171000 ) ( 2134590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2066590 854540 ) ( 2138590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 850540 ) ( 2070590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1046540 ) ( 2074590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1042540 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1930590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1926590 171000 ) ( 1926590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1922590 198540 ) ( 1962590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1958590 194540 ) ( 1958590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1954590 230540 ) ( 1994590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1990590 226540 ) ( 1990590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1986590 1414540 ) ( 2010590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2006590 1410540 ) ( 2006590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2002590 1430540 ) ( 2069000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1426540 ) ( 2065000 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1920000 175000 ) ( 1946590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1942590 171000 ) ( 1942590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1938590 214540 ) ( 1978590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1974590 210540 ) ( 1974590 250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1970590 246540 ) ( 2010590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 242540 ) ( 2006590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2002590 1174540 ) ( 2058590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 1170540 ) ( 2054590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 1366540 ) ( 2069000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1362540 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 1846295 171000 ) ( 1846295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1846590 171000 ) ( 1846590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1826590 198540 ) ( 1850590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1830590 194540 ) ( 1830590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1810590 886540 ) ( 1834590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 882540 ) ( 1814590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 1510540 ) ( 1818590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1506540 ) ( 1750590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1750540 ) ( 1754590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1746540 ) ( 1745000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1850000 175000 ) ( 1850000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1826590 198540 ) ( 1854000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 194540 ) ( 1830590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1810590 870540 ) ( 1834590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 866540 ) ( 1814590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 1494540 ) ( 1818590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1490540 ) ( 1750590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1686540 ) ( 1754590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1682540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 1783295 171000 ) ( 1783295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1782590 171000 ) ( 1782590 218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1778590 214540 ) ( 1818590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1814590 210540 ) ( 1814590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1746590 870540 ) ( 1818590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 866540 ) ( 1750590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1110540 ) ( 1754590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1106540 ) ( 1745000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1780000 175000 ) ( 1818590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 171000 ) ( 1814590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1746590 854540 ) ( 1818590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 850540 ) ( 1750590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1046540 ) ( 1754590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1042540 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1716000 182540 ) ( 1754590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1750590 178540 ) ( 1750590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1741000 470540 ) ( 1754590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 466540 ) ( 1745000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1720000 175000 ) ( 1720000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1716000 198540 ) ( 1738590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 194540 ) ( 1734590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 406540 ) ( 1749000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 402540 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3126295 171000 ) ( 3126295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3126590 171000 ) ( 3126590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3106590 198540 ) ( 3130590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3110590 194540 ) ( 3110590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3090590 886540 ) ( 3114590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 882540 ) ( 3094590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 1510540 ) ( 3098590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1506540 ) ( 3030590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1750540 ) ( 3034590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1746540 ) ( 3025000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3130000 175000 ) ( 3130000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3106590 198540 ) ( 3134000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 194540 ) ( 3110590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3090590 870540 ) ( 3114590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 866540 ) ( 3094590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 1494540 ) ( 3098590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1490540 ) ( 3030590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1686540 ) ( 3034590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1682540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL - + ROUTED metal10 6590 + SHAPE IOWIRE ( 3063295 171000 ) ( 3063295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3062590 171000 ) ( 3062590 218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3058590 214540 ) ( 3098590 214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3094590 210540 ) ( 3094590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3026590 870540 ) ( 3098590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 866540 ) ( 3030590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1110540 ) ( 3034590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1106540 ) ( 3025000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3060000 175000 ) ( 3098590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 171000 ) ( 3094590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3026590 854540 ) ( 3098590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 850540 ) ( 3030590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1046540 ) ( 3034590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1042540 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2996000 182540 ) ( 3034590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3030590 178540 ) ( 3030590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3021000 470540 ) ( 3034590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3025000 466540 ) ( 3025000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3000000 175000 ) ( 3000000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2996000 198540 ) ( 3018590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 194540 ) ( 3014590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 406540 ) ( 3029000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 402540 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 2806295 171000 ) ( 2806295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2806590 171000 ) ( 2806590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2786590 198540 ) ( 2810590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2790590 194540 ) ( 2790590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2770590 886540 ) ( 2794590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 882540 ) ( 2774590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 1510540 ) ( 2778590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1506540 ) ( 2710590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1750540 ) ( 2714590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1746540 ) ( 2705000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2810000 175000 ) ( 2810000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2786590 198540 ) ( 2814000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 194540 ) ( 2790590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 870540 ) ( 2794590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 866540 ) ( 2774590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 1494540 ) ( 2778590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1490540 ) ( 2710590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1686540 ) ( 2714590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1682540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2746000 182540 ) ( 2778590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2774590 178540 ) ( 2774590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2706590 870540 ) ( 2778590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 866540 ) ( 2710590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1110540 ) ( 2714590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1106540 ) ( 2705000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2750000 175000 ) ( 2750000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2746000 198540 ) ( 2778590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 194540 ) ( 2774590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2706590 854540 ) ( 2778590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 850540 ) ( 2710590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1046540 ) ( 2714590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1042540 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2676000 182540 ) ( 2714590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 178540 ) ( 2710590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 470540 ) ( 2714590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 466540 ) ( 2705000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2680000 175000 ) ( 2680000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2676000 198540 ) ( 2698590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 194540 ) ( 2694590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 406540 ) ( 2709000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 402540 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2616000 182540 ) ( 2650590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2646590 178540 ) ( 2646590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2642590 550540 ) ( 2714590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2710590 546540 ) ( 2710590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2701000 790540 ) ( 2714590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2705000 786540 ) ( 2705000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2620000 175000 ) ( 2620000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2616000 198540 ) ( 2650590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 194540 ) ( 2646590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2642590 534540 ) ( 2698590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 530540 ) ( 2694590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 726540 ) ( 2709000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 722540 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2426000 182540 ) ( 2458590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2454590 178540 ) ( 2454590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2386590 870540 ) ( 2458590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 866540 ) ( 2390590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1110540 ) ( 2394590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1106540 ) ( 2385000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2430000 175000 ) ( 2430000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2426000 198540 ) ( 2458590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 194540 ) ( 2454590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2386590 854540 ) ( 2458590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 850540 ) ( 2390590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1046540 ) ( 2394590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1042540 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2378590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2374590 171000 ) ( 2374590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2370590 198540 ) ( 2394590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2390590 194540 ) ( 2390590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2381000 470540 ) ( 2394590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 2385000 466540 ) ( 2385000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 2370000 175000 ) ( 2370000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2366000 198540 ) ( 2378590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 194540 ) ( 2374590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 406540 ) ( 2389000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 402540 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1466000 182540 ) ( 1498590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1494590 178540 ) ( 1494590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1426590 870540 ) ( 1498590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 866540 ) ( 1430590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1110540 ) ( 1434590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1106540 ) ( 1425000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1470000 175000 ) ( 1470000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1466000 198540 ) ( 1498590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 194540 ) ( 1494590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1426590 854540 ) ( 1498590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 850540 ) ( 1430590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1046540 ) ( 1434590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1042540 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1418590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1414590 171000 ) ( 1414590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1410590 198540 ) ( 1434590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 194540 ) ( 1430590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 470540 ) ( 1434590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 466540 ) ( 1425000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1410000 175000 ) ( 1410000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1406000 198540 ) ( 1418590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 194540 ) ( 1414590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 406540 ) ( 1429000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 402540 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1336000 182540 ) ( 1370590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1366590 178540 ) ( 1366590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1362590 550540 ) ( 1434590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1430590 546540 ) ( 1430590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1421000 790540 ) ( 1434590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 786540 ) ( 1425000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1340000 175000 ) ( 1340000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1336000 198540 ) ( 1370590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 194540 ) ( 1366590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 534540 ) ( 1418590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 530540 ) ( 1414590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 726540 ) ( 1429000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 722540 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3706000 182540 ) ( 3738590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 178540 ) ( 3734590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 870540 ) ( 3738590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 866540 ) ( 3670590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1110540 ) ( 3674590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1106540 ) ( 3665000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3710000 175000 ) ( 3710000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3706000 198540 ) ( 3738590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 194540 ) ( 3734590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 854540 ) ( 3738590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 850540 ) ( 3670590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1046540 ) ( 3674590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1042540 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 4086295 171000 ) ( 4086295 179000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4086590 171000 ) ( 4086590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4066590 198540 ) ( 4090590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4070590 194540 ) ( 4070590 890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4050590 886540 ) ( 4074590 886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 882540 ) ( 4054590 1514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 1510540 ) ( 4058590 1510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1506540 ) ( 3990590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1750540 ) ( 3994590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1746540 ) ( 3985000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4090000 175000 ) ( 4090000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4066590 198540 ) ( 4094000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 194540 ) ( 4070590 874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4050590 870540 ) ( 4074590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 866540 ) ( 4054590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 1494540 ) ( 4058590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1490540 ) ( 3990590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1686540 ) ( 3994590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1682540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4536000 182540 ) ( 4570590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4566590 178540 ) ( 4566590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4562590 550540 ) ( 4634590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 546540 ) ( 4630590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 790540 ) ( 4634590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 786540 ) ( 4625000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4540000 175000 ) ( 4540000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4536000 198540 ) ( 4570590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 194540 ) ( 4566590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 534540 ) ( 4618590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 530540 ) ( 4614590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 726540 ) ( 4629000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 722540 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4026000 182540 ) ( 4058590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4054590 178540 ) ( 4054590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3986590 870540 ) ( 4058590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 866540 ) ( 3990590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1110540 ) ( 3994590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1106540 ) ( 3985000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4030000 175000 ) ( 4030000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4026000 198540 ) ( 4058590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 194540 ) ( 4054590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3986590 854540 ) ( 4058590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 850540 ) ( 3990590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1046540 ) ( 3994590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1042540 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3956000 182540 ) ( 3994590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 178540 ) ( 3990590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 470540 ) ( 3994590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 466540 ) ( 3985000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3960000 175000 ) ( 3960000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3956000 198540 ) ( 3978590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 194540 ) ( 3974590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 406540 ) ( 3989000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 402540 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2677270 ) ( 179000 2677270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2678540 ) ( 218590 2678540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2642540 ) ( 214590 2682540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2646540 ) ( 874590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2642540 ) ( 870590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2710540 ) ( 1109000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2706540 ) ( 1105000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2642540 ) ( 175000 2680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2646540 ) ( 858590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2642540 ) ( 854590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2710540 ) ( 1050590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2706540 ) ( 1046590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 436000 182540 ) ( 474590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 470590 178540 ) ( 470590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 461000 470540 ) ( 474590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 466540 ) ( 465000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 440000 175000 ) ( 440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 436000 198540 ) ( 458590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 454590 194540 ) ( 454590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 450590 406540 ) ( 469000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 465000 402540 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4856000 182540 ) ( 4890590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4886590 178540 ) ( 4886590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4882590 550540 ) ( 4954590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 546540 ) ( 4950590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4941000 790540 ) ( 4954590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 786540 ) ( 4945000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4860000 175000 ) ( 4860000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4856000 198540 ) ( 4890590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 194540 ) ( 4886590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 534540 ) ( 4938590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 530540 ) ( 4934590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 726540 ) ( 4949000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 722540 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2880000 ) ( 5825000 2890540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2886540 ) ( 5829000 2886540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2882540 ) ( 5798590 2922540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2918540 ) ( 5802590 2918540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2914540 ) ( 5766590 2954540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 2950540 ) ( 5770590 2950540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 2946540 ) ( 5494590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2966540 ) ( 5498590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2962540 ) ( 4870590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 3030540 ) ( 4874590 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 3026540 ) ( 4630590 3039000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4634590 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2880000 ) ( 5825000 2906540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2902540 ) ( 5829000 2902540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2898540 ) ( 5782590 2954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2950540 ) ( 5786590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2946540 ) ( 5510590 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2966540 ) ( 5514590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2962540 ) ( 4886590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3030540 ) ( 4890590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3026540 ) ( 4694590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4698590 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2870000 ) ( 186590 2870000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2834540 ) ( 182590 2874000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2838540 ) ( 234590 2838540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2802540 ) ( 230590 2842540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2806540 ) ( 266590 2806540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 262590 2770540 ) ( 262590 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 258590 2774540 ) ( 1194590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2706540 ) ( 1190590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2710540 ) ( 1429000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2706540 ) ( 1425000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2834540 ) ( 175000 2870000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2838540 ) ( 218590 2838540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2802540 ) ( 214590 2842540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 2806540 ) ( 250590 2806540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2770540 ) ( 246590 2810540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 2774540 ) ( 1178590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2722540 ) ( 1174590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2726540 ) ( 1370590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2711000 ) ( 1366590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1890540 ) ( 175000 1900000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1894540 ) ( 202590 1894540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 1858540 ) ( 198590 1898540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 1862540 ) ( 234590 1862540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 1826540 ) ( 230590 1866540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 1830540 ) ( 570590 1830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 1810540 ) ( 566590 1834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 1814540 ) ( 1194590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 1746540 ) ( 1190590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 1750540 ) ( 1429000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1746540 ) ( 1425000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1900000 ) ( 202590 1900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1890540 ) ( 198590 1904000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1894540 ) ( 218590 1894540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1826540 ) ( 214590 1898540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 1830540 ) ( 554590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 1810540 ) ( 550590 1834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 1814540 ) ( 1178590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 1762540 ) ( 1174590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 1766540 ) ( 1370590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1751000 ) ( 1366590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1970540 ) ( 175000 1980000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 1974540 ) ( 202590 1974540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 1970540 ) ( 198590 1994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 890590 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 1986540 ) ( 886590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2006540 ) ( 1514590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2002540 ) ( 1510590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2070540 ) ( 1749000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2066540 ) ( 1745000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1980000 ) ( 202590 1980000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1976000 ) ( 198590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 874590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 1986540 ) ( 870590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2006540 ) ( 1498590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2002540 ) ( 1494590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2070540 ) ( 1690590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2066540 ) ( 1686590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 2037270 ) ( 179000 2037270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2038540 ) ( 218590 2038540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 2002540 ) ( 214590 2042540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 2006540 ) ( 874590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2002540 ) ( 870590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2070540 ) ( 1109000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2066540 ) ( 1105000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2002540 ) ( 175000 2040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2006540 ) ( 858590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2002540 ) ( 854590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2070540 ) ( 1050590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2066540 ) ( 1046590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2210540 ) ( 175000 2220000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2214540 ) ( 202590 2214540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2162540 ) ( 198590 2218540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2166540 ) ( 234590 2166540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 2130540 ) ( 230590 2170540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 2134540 ) ( 1194590 2134540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 2066540 ) ( 1190590 2138540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 2070540 ) ( 1429000 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 2066540 ) ( 1425000 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2178540 ) ( 175000 2220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2182540 ) ( 202590 2182540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2162540 ) ( 198590 2186540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2166540 ) ( 250590 2166540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2130540 ) ( 246590 2170540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 2134540 ) ( 1178590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 2082540 ) ( 1174590 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 2086540 ) ( 1370590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2071000 ) ( 1366590 2090540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2290540 ) ( 175000 2300000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2294540 ) ( 202590 2294540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2290540 ) ( 198590 2314540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 890590 2310540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2306540 ) ( 886590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2326540 ) ( 1514590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2322540 ) ( 1510590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2390540 ) ( 1749000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2386540 ) ( 1745000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2300000 ) ( 202590 2300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2296000 ) ( 198590 2314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 874590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2306540 ) ( 870590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2326540 ) ( 1498590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2322540 ) ( 1494590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2390540 ) ( 1690590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2386540 ) ( 1686590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2370000 ) ( 186590 2370000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2322540 ) ( 182590 2374000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2326540 ) ( 874590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 2322540 ) ( 870590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 2390540 ) ( 1109000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 2386540 ) ( 1105000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2338540 ) ( 175000 2370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2342540 ) ( 186590 2342540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 182590 2322540 ) ( 182590 2346540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 178590 2326540 ) ( 858590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2322540 ) ( 854590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 2390540 ) ( 1050590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2386540 ) ( 1046590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1650540 ) ( 5825000 1660000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1654540 ) ( 5829000 1654540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1650540 ) ( 5798590 1690540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1686540 ) ( 5802590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1682540 ) ( 5510590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 1750540 ) ( 5514590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 1746540 ) ( 5270590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5274590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1660000 ) ( 5825000 1660000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1656000 ) ( 5798590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 1686540 ) ( 5802590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1682540 ) ( 5526590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1750540 ) ( 5530590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1746540 ) ( 5334590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5338590 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1600000 ) ( 5825000 1610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1606540 ) ( 5829000 1606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1602540 ) ( 5798590 1642540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1638540 ) ( 5802590 1638540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1634540 ) ( 5766590 1674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1670540 ) ( 5770590 1670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1666540 ) ( 5494590 1690540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 1686540 ) ( 5498590 1686540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 1682540 ) ( 4870590 1754540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 1750540 ) ( 4874590 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 1746540 ) ( 4630590 1759000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4634590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1600000 ) ( 5825000 1626540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1622540 ) ( 5829000 1622540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1618540 ) ( 5782590 1674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1670540 ) ( 5786590 1670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1666540 ) ( 5510590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 1686540 ) ( 5514590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1682540 ) ( 4886590 1754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1750540 ) ( 4890590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1746540 ) ( 4694590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4698590 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1463270 ) ( 5829000 1463270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1462540 ) ( 5829000 1462540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1460000 ) ( 5825000 1460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1456000 ) ( 5798590 1466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1462540 ) ( 5802590 1462540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1458540 ) ( 5782590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1494540 ) ( 5786590 1494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1431000 ) ( 4950590 1498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 4954590 1435000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1494540 ) ( 5786590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1442540 ) ( 5206590 1498540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1446540 ) ( 5210590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1431000 ) ( 5014590 1450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5018590 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 1397270 ) ( 5829000 1397270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1398540 ) ( 5829000 1398540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1394540 ) ( 5798590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 1430540 ) ( 5802590 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 1426540 ) ( 5590590 1439000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5594590 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1400000 ) ( 5825000 1434540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1430540 ) ( 5829000 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1426540 ) ( 5654590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5658590 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2940000 ) ( 186590 2940000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 2936000 ) ( 182590 2970540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 2966540 ) ( 1514590 2966540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2962540 ) ( 1510590 3034540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3030540 ) ( 1749000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3026540 ) ( 1745000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2940000 ) ( 175000 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2966540 ) ( 1498590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2962540 ) ( 1494590 3034540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3030540 ) ( 1690590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3026540 ) ( 1686590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 1143270 ) ( 5829000 1143270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1142540 ) ( 5829000 1142540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1140000 ) ( 5825000 1140000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1136000 ) ( 5798590 1146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1142540 ) ( 5802590 1142540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1138540 ) ( 5782590 1178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 1174540 ) ( 5786590 1174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 1111000 ) ( 4950590 1178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 4954590 1115000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 1174540 ) ( 5786590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1122540 ) ( 5206590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1126540 ) ( 5210590 1126540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1111000 ) ( 5014590 1130540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5018590 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 770000 ) ( 5825000 778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 774540 ) ( 5829000 774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 770540 ) ( 5798590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 790540 ) ( 5802590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 786540 ) ( 5590590 799000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5594590 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 770000 ) ( 5825000 770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 766000 ) ( 5798590 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 790540 ) ( 5802590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 786540 ) ( 5654590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5658590 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 690540 ) ( 5825000 700000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 694540 ) ( 5829000 694540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 690540 ) ( 5798590 730540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 726540 ) ( 5802590 726540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 722540 ) ( 5510590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 790540 ) ( 5514590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 786540 ) ( 5270590 799000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5274590 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 700000 ) ( 5825000 700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 696000 ) ( 5798590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 726540 ) ( 5802590 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 722540 ) ( 5526590 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 790540 ) ( 5530590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 786540 ) ( 5334590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5338590 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 5821000 437270 ) ( 5829000 437270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 438540 ) ( 5829000 438540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 434540 ) ( 5798590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 470540 ) ( 5802590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 466540 ) ( 5590590 479000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5594590 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 440000 ) ( 5825000 474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 470540 ) ( 5829000 470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 466540 ) ( 5654590 479000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5658590 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2560000 ) ( 5825000 2570540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2566540 ) ( 5829000 2566540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2562540 ) ( 5798590 2618540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2614540 ) ( 5802590 2614540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2610540 ) ( 5766590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2646540 ) ( 5770590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2642540 ) ( 4870590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2710540 ) ( 4874590 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2706540 ) ( 4630590 2719000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4634590 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2560000 ) ( 5825000 2586540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2582540 ) ( 5829000 2582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2578540 ) ( 5782590 2618540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5746590 2614540 ) ( 5786590 2614540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5750590 2610540 ) ( 5750590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2646540 ) ( 5754590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2642540 ) ( 4886590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2710540 ) ( 4890590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2706540 ) ( 4694590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4698590 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL - + ROUTED metal10 7460 + SHAPE IOWIRE ( 5821000 2486270 ) ( 5829000 2486270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2486540 ) ( 5829000 2486540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2466540 ) ( 5798590 2490540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2470540 ) ( 5802590 2470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2450540 ) ( 4934590 2474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2454540 ) ( 4938590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2391000 ) ( 4310590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4314590 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2490000 ) ( 5825000 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2466540 ) ( 5798590 2494000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2470540 ) ( 5802590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2450540 ) ( 5190590 2474540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2454540 ) ( 5194590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2402540 ) ( 4566590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2406540 ) ( 4570590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2391000 ) ( 4374590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4378590 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 2423270 ) ( 5829000 2423270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2422540 ) ( 5829000 2422540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2420000 ) ( 5825000 2420000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2416000 ) ( 5798590 2426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2422540 ) ( 5802590 2422540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2418540 ) ( 5782590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2454540 ) ( 5786590 2454540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2391000 ) ( 4950590 2458540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 4954590 2395000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2454540 ) ( 5786590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2402540 ) ( 5206590 2458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2406540 ) ( 5210590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2391000 ) ( 5014590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5018590 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2240000 ) ( 5825000 2250540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2246540 ) ( 5829000 2246540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2242540 ) ( 5798590 2298540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 2294540 ) ( 5802590 2294540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2290540 ) ( 5766590 2330540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2326540 ) ( 5770590 2326540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2322540 ) ( 4870590 2394540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2390540 ) ( 4874590 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2386540 ) ( 4630590 2399000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4634590 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2240000 ) ( 5825000 2266540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2262540 ) ( 5829000 2262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2258540 ) ( 5782590 2298540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5746590 2294540 ) ( 5786590 2294540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5750590 2290540 ) ( 5750590 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2326540 ) ( 5754590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2322540 ) ( 4886590 2394540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2390540 ) ( 4890590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2386540 ) ( 4694590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4698590 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2050000 ) ( 5825000 2058540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2054540 ) ( 5829000 2054540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2050540 ) ( 5798590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5586590 2070540 ) ( 5802590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5590590 2066540 ) ( 5590590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5594590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2050000 ) ( 5825000 2050000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2046000 ) ( 5798590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2070540 ) ( 5802590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2066540 ) ( 5654590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5658590 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL - + ROUTED metal10 4540 + SHAPE IOWIRE ( 5821000 1992270 ) ( 5829000 1992270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1990540 ) ( 5829000 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1986540 ) ( 5798590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5506590 2006540 ) ( 5802590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2002540 ) ( 5510590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5266590 2070540 ) ( 5514590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5270590 2066540 ) ( 5270590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5274590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1990000 ) ( 5825000 1990000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1986000 ) ( 5798590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5522590 2006540 ) ( 5802590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2002540 ) ( 5526590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2070540 ) ( 5530590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2066540 ) ( 5334590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5338590 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3120000 ) ( 186590 3120000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 3090540 ) ( 182590 3124000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 3094540 ) ( 554590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 3026540 ) ( 550590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 3030540 ) ( 789000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 3026540 ) ( 785000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3120000 ) ( 202590 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3090540 ) ( 198590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3094540 ) ( 538590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 3042540 ) ( 534590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 3046540 ) ( 730590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3031000 ) ( 726590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1920000 ) ( 5825000 1930540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1926540 ) ( 5829000 1926540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1922540 ) ( 5798590 1962540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5762590 1958540 ) ( 5802590 1958540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5766590 1954540 ) ( 5766590 1994540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5490590 1990540 ) ( 5770590 1990540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5494590 1986540 ) ( 5494590 2010540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4866590 2006540 ) ( 5498590 2006540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4870590 2002540 ) ( 4870590 2074540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 2070540 ) ( 4874590 2070540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 2066540 ) ( 4630590 2079000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4634590 2075000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1920000 ) ( 5825000 1920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1916000 ) ( 5798590 1930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 1926540 ) ( 5802590 1926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1922540 ) ( 5782590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5506590 1990540 ) ( 5786590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1986540 ) ( 5510590 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4882590 2006540 ) ( 5514590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2002540 ) ( 4886590 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2070540 ) ( 4890590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2066540 ) ( 4694590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4698590 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 1840000 ) ( 5834590 1840000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5830590 1810540 ) ( 5830590 1844000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 1814540 ) ( 5834590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 1751000 ) ( 4310590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4314590 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 1840000 ) ( 5825000 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1810540 ) ( 5798590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 1814540 ) ( 5802590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 1762540 ) ( 4566590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 1766540 ) ( 4570590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 1751000 ) ( 4374590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4378590 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3170540 ) ( 175000 3180000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3174540 ) ( 202590 3174540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3138540 ) ( 198590 3178540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3142540 ) ( 234590 3142540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3106540 ) ( 230590 3146540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3110540 ) ( 570590 3110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 566590 3090540 ) ( 566590 3114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 562590 3094540 ) ( 1194590 3094540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3026540 ) ( 1190590 3098540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3030540 ) ( 1429000 3030540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3026540 ) ( 1425000 3035000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3138540 ) ( 175000 3180000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3142540 ) ( 218590 3142540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3106540 ) ( 214590 3146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 210590 3110540 ) ( 554590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 550590 3090540 ) ( 550590 3114540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 546590 3094540 ) ( 1178590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3042540 ) ( 1174590 3098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3046540 ) ( 1370590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3031000 ) ( 1366590 3050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3250540 ) ( 175000 3260000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3254540 ) ( 202590 3254540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3250540 ) ( 198590 3274540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 890590 3270540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3266540 ) ( 886590 3290540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3286540 ) ( 1514590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3282540 ) ( 1510590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3350540 ) ( 1749000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3346540 ) ( 1745000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3260000 ) ( 202590 3260000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3256000 ) ( 198590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 874590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3266540 ) ( 870590 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3286540 ) ( 1498590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3282540 ) ( 1494590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3350540 ) ( 1690590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3346540 ) ( 1686590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL - + ROUTED metal10 5460 + SHAPE IOWIRE ( 171000 3317270 ) ( 179000 3317270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3318540 ) ( 218590 3318540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 214590 3282540 ) ( 214590 3322540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 210590 3286540 ) ( 874590 3286540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 870590 3282540 ) ( 870590 3354540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 866590 3350540 ) ( 1109000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 3346540 ) ( 1105000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3282540 ) ( 175000 3320000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3286540 ) ( 858590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3282540 ) ( 854590 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 850590 3350540 ) ( 1050590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3346540 ) ( 1046590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3490540 ) ( 175000 3500000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3494540 ) ( 202590 3494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3442540 ) ( 198590 3498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3446540 ) ( 234590 3446540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 230590 3410540 ) ( 230590 3450540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 226590 3414540 ) ( 1194590 3414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1190590 3346540 ) ( 1190590 3418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1186590 3350540 ) ( 1429000 3350540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1425000 3346540 ) ( 1425000 3355000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3458540 ) ( 175000 3500000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3462540 ) ( 202590 3462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3442540 ) ( 198590 3466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3446540 ) ( 250590 3446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3410540 ) ( 246590 3450540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 242590 3414540 ) ( 1178590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 3362540 ) ( 1174590 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 3366540 ) ( 1370590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3351000 ) ( 1366590 3370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3570540 ) ( 175000 3580000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 3574540 ) ( 202590 3574540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 3570540 ) ( 198590 3594540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 890590 3590540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 3586540 ) ( 886590 3610540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 3606540 ) ( 1514590 3606540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 3602540 ) ( 1510590 3674540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 3670540 ) ( 1749000 3670540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 3666540 ) ( 1745000 3675000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 3580000 ) ( 202590 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3576000 ) ( 198590 3594540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 874590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3586540 ) ( 870590 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 3606540 ) ( 1498590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3602540 ) ( 1494590 3674540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 3670540 ) ( 1690590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3666540 ) ( 1686590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1780000 ) ( 186590 1780000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1746540 ) ( 182590 1784000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1750540 ) ( 469000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 1746540 ) ( 465000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1780000 ) ( 202590 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1762540 ) ( 198590 1784000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1766540 ) ( 410590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1751000 ) ( 406590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1840000 ) ( 186590 1840000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 182590 1810540 ) ( 182590 1844000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 178590 1814540 ) ( 554590 1814540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 550590 1746540 ) ( 550590 1818540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 546590 1750540 ) ( 789000 1750540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 1746540 ) ( 785000 1755000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 1840000 ) ( 202590 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1810540 ) ( 198590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1814540 ) ( 538590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 534590 1762540 ) ( 534590 1818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 530590 1766540 ) ( 730590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1751000 ) ( 726590 1770540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2610540 ) ( 175000 2620000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2614540 ) ( 202590 2614540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2610540 ) ( 198590 2634540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 890590 2630540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 886590 2626540 ) ( 886590 2650540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 882590 2646540 ) ( 1514590 2646540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1510590 2642540 ) ( 1510590 2714540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1506590 2710540 ) ( 1749000 2710540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1745000 2706540 ) ( 1745000 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2620000 ) ( 202590 2620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2616000 ) ( 198590 2634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 874590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2626540 ) ( 870590 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 866590 2646540 ) ( 1498590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2642540 ) ( 1494590 2714540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1490590 2710540 ) ( 1690590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2706540 ) ( 1686590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 696000 182540 ) ( 730590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 726590 178540 ) ( 726590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 722590 550540 ) ( 794590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 790590 546540 ) ( 790590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 781000 790540 ) ( 794590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 785000 786540 ) ( 785000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 700000 175000 ) ( 700000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 696000 198540 ) ( 730590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 194540 ) ( 726590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 534540 ) ( 778590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 530540 ) ( 774590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 726540 ) ( 789000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 722540 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4596000 182540 ) ( 4634590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 178540 ) ( 4630590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 470540 ) ( 4634590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 466540 ) ( 4625000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4600000 175000 ) ( 4600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4596000 198540 ) ( 4618590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 194540 ) ( 4614590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 406540 ) ( 4629000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 402540 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL - + ROUTED metal10 6540 + SHAPE IOWIRE ( 5821000 2743270 ) ( 5829000 2743270 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2742540 ) ( 5829000 2742540 ) + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2740000 ) ( 5825000 2740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2736000 ) ( 5798590 2746540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5778590 2742540 ) ( 5802590 2742540 ) NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2738540 ) ( 5782590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4946590 2774540 ) ( 5786590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4950590 2711000 ) ( 4950590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 4954590 2715000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5202590 2774540 ) ( 5786590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2722540 ) ( 5206590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2726540 ) ( 5210590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2711000 ) ( 5014590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5018590 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2418540 ) ( 175000 2430000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 171000 2422540 ) ( 202590 2422540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 198590 2386540 ) ( 198590 2426540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 194590 2390540 ) ( 469000 2390540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 465000 2386540 ) ( 465000 2395000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 175000 2430000 ) ( 202590 2430000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2402540 ) ( 198590 2434000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2406540 ) ( 410590 2406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2391000 ) ( 406590 2410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1076000 182540 ) ( 1114590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1110590 178540 ) ( 1110590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1101000 470540 ) ( 1114590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 1105000 466540 ) ( 1105000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 1080000 175000 ) ( 1080000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1076000 198540 ) ( 1098590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 194540 ) ( 1094590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 406540 ) ( 1109000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 402540 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4666000 182540 ) ( 4698590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4694590 178540 ) ( 4694590 874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4626590 870540 ) ( 4698590 870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4630590 866540 ) ( 4630590 1114540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1110540 ) ( 4634590 1110540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1106540 ) ( 4625000 1115000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 4670000 175000 ) ( 4670000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4666000 198540 ) ( 4698590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 194540 ) ( 4694590 858540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4626590 854540 ) ( 4698590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 850540 ) ( 4630590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1046540 ) ( 4634590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1042540 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 5825000 2800000 ) ( 5825000 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2806540 ) ( 5829000 2806540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2810540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4930590 2790540 ) ( 5802590 2790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4934590 2770540 ) ( 4934590 2794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4306590 2774540 ) ( 4938590 2774540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4310590 2711000 ) ( 4310590 2778540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4314590 2715000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 5794590 2800000 ) ( 5825000 2800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5186590 2790540 ) ( 5802590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2770540 ) ( 5190590 2794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4562590 2774540 ) ( 5194590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2722540 ) ( 4566590 2778540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2726540 ) ( 4570590 2726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2711000 ) ( 4374590 2730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4378590 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3530590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3526590 171000 ) ( 3526590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3522590 198540 ) ( 3562590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3558590 194540 ) ( 3558590 234540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3554590 230540 ) ( 3594590 230540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3590590 226540 ) ( 3590590 1418540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3586590 1414540 ) ( 3610590 1414540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 1410540 ) ( 3606590 1434540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 1430540 ) ( 3669000 1430540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1426540 ) ( 3665000 1435000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3520000 175000 ) ( 3520000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3516000 198540 ) ( 3530590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3526590 194540 ) ( 3526590 218540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3522590 214540 ) ( 3594590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 210540 ) ( 3590590 554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3586590 550540 ) ( 3610590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 546540 ) ( 3606590 1178540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 1174540 ) ( 3658590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 1170540 ) ( 3654590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 1366540 ) ( 3669000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1362540 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3896000 182540 ) ( 3930590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3926590 178540 ) ( 3926590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3922590 550540 ) ( 3994590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3990590 546540 ) ( 3990590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3981000 790540 ) ( 3994590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3985000 786540 ) ( 3985000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3900000 175000 ) ( 3900000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3896000 198540 ) ( 3930590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 194540 ) ( 3926590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3922590 534540 ) ( 3978590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 530540 ) ( 3974590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 726540 ) ( 3989000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 722540 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 186540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3576000 182540 ) ( 3610590 182540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 178540 ) ( 3606590 554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 550540 ) ( 3674590 550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 546540 ) ( 3670590 794540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 790540 ) ( 3674590 790540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 786540 ) ( 3665000 795000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 175000 ) ( 3580000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3576000 198540 ) ( 3610590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 194540 ) ( 3606590 538540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 534540 ) ( 3658590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 530540 ) ( 3654590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 726540 ) ( 3669000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 722540 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3658590 175000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3654590 171000 ) ( 3654590 202540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3650590 198540 ) ( 3674590 198540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 194540 ) ( 3670590 474540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3661000 470540 ) ( 3674590 470540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 466540 ) ( 3665000 475000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3650000 175000 ) ( 3650000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3646000 198540 ) ( 3658590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 194540 ) ( 3654590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 406540 ) ( 3669000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 402540 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3440000 5825000 ) ( 3440000 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5830540 ) ( 3444000 5830540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5506540 ) ( 3414590 5834540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5510540 ) ( 3418590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5271000 ) ( 3350590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3354590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3410590 5825000 ) ( 3440000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5522540 ) ( 3414590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 5526540 ) ( 3418590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5330540 ) ( 3350590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5334540 ) ( 3354590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5338540 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL - + ROUTED metal10 4590 + SHAPE IOWIRE ( 3512295 5821000 ) ( 3512295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3510590 5794540 ) ( 3510590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3458590 5798540 ) ( 3514590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3462590 5762540 ) ( 3462590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3426590 5766540 ) ( 3466590 5766540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5490540 ) ( 3430590 5770540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5494540 ) ( 3434590 5494540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4866540 ) ( 3414590 5498540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3346590 4870540 ) ( 3418590 4870540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4631000 ) ( 3350590 4874540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3354590 4635000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3474590 5825000 ) ( 3510000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3478590 5810540 ) ( 3478590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3458590 5814540 ) ( 3482590 5814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3462590 5746540 ) ( 3462590 5818540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3426590 5750540 ) ( 3466590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5506540 ) ( 3430590 5754540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5510540 ) ( 3434590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4882540 ) ( 3414590 5514540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3346590 4886540 ) ( 3418590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4690540 ) ( 3350590 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 4694540 ) ( 3354590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4698540 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL - + ROUTED metal10 8000 + SHAPE IOWIRE ( 3570590 5825000 ) ( 3580000 5825000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3574590 5794540 ) ( 3574590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3570590 5798540 ) ( 3610590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4546540 ) ( 3606590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4550540 ) ( 3674590 4550540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4311000 ) ( 3670590 4554540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3674590 4315000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3580000 5825000 ) ( 3610590 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4562540 ) ( 3606590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3602590 4566540 ) ( 3658590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 4370540 ) ( 3654590 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 4374540 ) ( 3669000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4378540 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL - + ROUTED metal10 7410 + SHAPE IOWIRE ( 3766295 5821000 ) ( 3766295 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5794540 ) ( 3766590 5829000 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5798540 ) ( 3770590 5798540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5506540 ) ( 3734590 5802540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5510540 ) ( 3738590 5510540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5271000 ) ( 3670590 5514540 ) - NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3674590 5275000 ) ; + + ROUTED metal10 8000 + SHAPE IOWIRE ( 3770000 5794540 ) ( 3770000 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3762590 5798540 ) ( 3774000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5778540 ) ( 3766590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3730590 5782540 ) ( 3770590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5522540 ) ( 3734590 5786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3666590 5526540 ) ( 3738590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5330540 ) ( 3670590 5530540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5334540 ) ( 3674590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5338540 ) ; - test_net ( PIN test_net ) ( BUMP_5_11 PAD ) + USE SIGNAL ; END SPECIALNETS NETS 219 ; diff --git a/src/pad/test/rdl_route_via.defok b/src/pad/test/rdl_route_via.defok index bcc4c63ba23..8e061dbe177 100644 --- a/src/pad/test/rdl_route_via.defok +++ b/src/pad/test/rdl_route_via.defok @@ -2510,271 +2510,306 @@ SPECIALNETS 139 ; ( BUMP_16_7 PAD ) ( BUMP_12_5 PAD ) ( BUMP_14_4 PAD ) ( BUMP_15_3 PAD ) ( BUMP_15_0 PAD ) ( BUMP_12_1 PAD ) ( BUMP_11_3 PAD ) ( BUMP_9_4 PAD ) ( BUMP_8_1 PAD ) ( BUMP_7_3 PAD ) ( BUMP_5_0 PAD ) ( BUMP_4_1 PAD ) ( BUMP_2_1 PAD ) + USE POWER + ROUTED metal9 0 + SHAPE IOWIRE ( 3440000 175000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 3433295 167000 ) ( 3433295 183000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 167000 ) ( 3433790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 233740 ) ( 3465790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3465790 265740 ) ( 3465790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3465790 905740 ) ( 3433790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 937740 ) ( 3433790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 1513740 ) ( 3337790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 1609740 ) ( 3337790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3337395 1747000 ) ( 3337395 1763000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3440000 174200 ) ( 3440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3426590 198540 ) ( 3444000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 194540 ) ( 3430590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 870540 ) ( 3414590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 886540 ) ( 3414590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 1494540 ) ( 3350590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 1558540 ) ( 3350590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1686540 ) ( 3354590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1682540 ) ( 3345000 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3010000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3009200 5825000 ) ( 3025790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 5769740 ) ( 3017790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 5705740 ) ( 3017790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 5193740 ) ( 2953790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 5129740 ) ( 2953790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 4961740 ) ( 3017790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3009790 4969740 ) ( 3033000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 4977740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010000 5794540 ) ( 3010000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2994590 5798540 ) ( 3014000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2998590 5782540 ) ( 2998590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 5750540 ) ( 2998590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 5206540 ) ( 2966590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5158540 ) ( 2966590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 5010540 ) ( 3014590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 5014540 ) ( 3029000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4955000 ) ( 3025000 5018540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2940000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2939200 175000 ) ( 2961790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 167000 ) ( 2953790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 585740 ) ( 3017790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 649740 ) ( 3017790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3017395 787000 ) ( 3017395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2939200 175000 ) ( 2970590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 171000 ) ( 2966590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 534540 ) ( 3014590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 582540 ) ( 3014590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 726540 ) ( 3029000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 722540 ) ( 3025000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2560000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2559200 175000 ) ( 2577790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2569790 167000 ) ( 2569790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2569790 201740 ) ( 2601790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2601790 233740 ) ( 2601790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2601790 617740 ) ( 2633790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 649740 ) ( 2633790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 1225740 ) ( 2697790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 1289740 ) ( 2697790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2697395 1427000 ) ( 2697395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2560000 174200 ) ( 2560000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2556000 198540 ) ( 2566590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2566590 198540 ) ( 2630590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 262540 ) ( 2630590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 550540 ) ( 2646590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 566540 ) ( 2646590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 1174540 ) ( 2694590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 1222540 ) ( 2694590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 1366540 ) ( 2709000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1362540 ) ( 2705000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2620000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2619200 5825000 ) ( 2641790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 5769740 ) ( 2633790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2601790 5737740 ) ( 2633790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2601790 5161740 ) ( 2601790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 5129740 ) ( 2601790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 4553740 ) ( 2633790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 4489740 ) ( 2633790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 4321740 ) ( 2697790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2689790 4329740 ) ( 2713000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2620000 5794540 ) ( 2620000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2616000 5798540 ) ( 2634590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2630590 5190540 ) ( 2630590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5174540 ) ( 2630590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 4566540 ) ( 2646590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 4518540 ) ( 2646590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 4370540 ) ( 2694590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 4374540 ) ( 2709000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4315000 ) ( 2705000 4378540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2230000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2209790 5825000 ) ( 2230800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2217790 5737740 ) ( 2217790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2185790 5705740 ) ( 2217790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2185790 5513740 ) ( 2185790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 5481740 ) ( 2185790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 4905740 ) ( 2153790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 4809740 ) ( 2153790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 4641740 ) ( 2057790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2049790 4649740 ) ( 2073000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4657740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2230000 5794540 ) ( 2230000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2226000 5798540 ) ( 2234590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2230590 5782540 ) ( 2230590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 5702540 ) ( 2230590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 5510540 ) ( 2150590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5494540 ) ( 2150590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 4886540 ) ( 2134590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4822540 ) ( 2134590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 4690540 ) ( 2070590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 4694540 ) ( 2074590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4635000 ) ( 2065000 4698540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2040000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2017790 175000 ) ( 2040800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2025790 167000 ) ( 2025790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2025790 233740 ) ( 2057790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 265740 ) ( 2057790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2057395 467000 ) ( 2057395 483000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2040000 174200 ) ( 2040000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2034590 198540 ) ( 2044000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2038590 194540 ) ( 2038590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2038590 214540 ) ( 2054590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 230540 ) ( 2054590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 406540 ) ( 2069000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 402540 ) ( 2065000 475000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1840000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 1833295 5817000 ) ( 1833295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 5545740 ) ( 1833790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 5449740 ) ( 1833790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 5281740 ) ( 1737790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1729790 5289740 ) ( 1753000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5297740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1810590 5825000 ) ( 1840800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5526540 ) ( 1814590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5462540 ) ( 1814590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5330540 ) ( 1750590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5334540 ) ( 1754590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5275000 ) ( 1745000 5338540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3900000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3899200 ) ( 175000 3921740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3913740 ) ( 201790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3881740 ) ( 201790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3881740 ) ( 873790 3881740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 3881740 ) ( 905790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 3913740 ) ( 1481790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 3913740 ) ( 1577790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 4009740 ) ( 1745790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 3987000 ) ( 1737790 4017740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 3987000 ) ( 1737395 4003000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3900000 ) ( 202590 3900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3896000 ) ( 198590 3914540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3910540 ) ( 870590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3910540 ) ( 886590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 3926540 ) ( 1494590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3926540 ) ( 1558590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 3990540 ) ( 1690590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3986540 ) ( 1686590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3995000 ) ( 1745000 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1660000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1659200 175000 ) ( 1681790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1673790 167000 ) ( 1673790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1673790 585740 ) ( 1737790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 649740 ) ( 1737790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 787000 ) ( 1737395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1659200 175000 ) ( 1690590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 171000 ) ( 1686590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 534540 ) ( 1734590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 582540 ) ( 1734590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 726540 ) ( 1749000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 722540 ) ( 1745000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3000000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2977740 ) ( 175000 3000800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2985740 ) ( 233790 2985740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2953740 ) ( 233790 2985740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2953740 ) ( 841790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 2953740 ) ( 937790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 3049740 ) ( 1105790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 3027000 ) ( 1097790 3057740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 3027000 ) ( 1097395 3043000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3000000 ) ( 202590 3000000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2994540 ) ( 198590 3004000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2998540 ) ( 214590 2998540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2966540 ) ( 214590 2998540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2966540 ) ( 854590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2966540 ) ( 918590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 3030540 ) ( 1050590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3026540 ) ( 1046590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3035000 ) ( 1105000 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1400000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 1377740 ) ( 175000 1400800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1385740 ) ( 233790 1385740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1353740 ) ( 233790 1385740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1353740 ) ( 841790 1353740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 1353740 ) ( 937790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 1449740 ) ( 1105790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 1427000 ) ( 1097790 1457740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 1427000 ) ( 1097395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 1400000 ) ( 202590 1400000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1394540 ) ( 198590 1404000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1398540 ) ( 214590 1398540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 1366540 ) ( 214590 1398540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 1366540 ) ( 854590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1366540 ) ( 918590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 1430540 ) ( 1050590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1426540 ) ( 1046590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1435000 ) ( 1105000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4280000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4257740 ) ( 175000 4280800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4265740 ) ( 233790 4265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4233740 ) ( 233790 4265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4233740 ) ( 841790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 4233740 ) ( 937790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 4329740 ) ( 1105790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 4307000 ) ( 1097790 4337740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 4307000 ) ( 1097395 4323000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4280000 ) ( 202590 4280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4274540 ) ( 198590 4284000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4278540 ) ( 214590 4278540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4246540 ) ( 214590 4278540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4246540 ) ( 854590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4246540 ) ( 918590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 4310540 ) ( 1050590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4306540 ) ( 1046590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4315000 ) ( 1105000 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1020000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1019200 175000 ) ( 1041790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1033790 167000 ) ( 1033790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1033790 585740 ) ( 1097790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 649740 ) ( 1097790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 787000 ) ( 1097395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1019200 175000 ) ( 1050590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 171000 ) ( 1046590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 534540 ) ( 1094590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 582540 ) ( 1094590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 726540 ) ( 1109000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 722540 ) ( 1105000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2490000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2489200 ) ( 175000 2513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2505740 ) ( 201790 2505740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2473740 ) ( 201790 2505740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2473740 ) ( 553790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2409740 ) ( 553790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2409740 ) ( 785790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 2387000 ) ( 777790 2417740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 2387000 ) ( 777395 2403000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2490000 ) ( 202590 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2482540 ) ( 198590 2494000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2486540 ) ( 214590 2486540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2454540 ) ( 214590 2486540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2454540 ) ( 534590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 2390540 ) ( 534590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 2390540 ) ( 730590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2386540 ) ( 726590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2395000 ) ( 785000 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 820000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 801790 5825000 ) ( 820800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 809790 5737740 ) ( 809790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 5705740 ) ( 809790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 5601740 ) ( 777790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 769790 5609740 ) ( 793000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 802590 5825000 ) ( 820800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 806590 5798540 ) ( 806590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 790590 5782540 ) ( 806590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 790590 5650540 ) ( 790590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 781000 5654540 ) ( 794590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 5595000 ) ( 785000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4740000 ) via9_0 - NEW metal10 14010 + SHAPE IOWIRE ( 168795 4732000 ) ( 168795 4748000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 169790 4705740 ) ( 169790 4748000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 161790 4713740 ) ( 553790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4649740 ) ( 553790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4649740 ) ( 785790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 4627000 ) ( 777790 4657740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 4627000 ) ( 777395 4643000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4740000 ) ( 202590 4740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4722540 ) ( 198590 4744000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4726540 ) ( 214590 4726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4694540 ) ( 214590 4726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4694540 ) ( 534590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 4630540 ) ( 534590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 4630540 ) ( 730590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4626540 ) ( 726590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4635000 ) ( 785000 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2680000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2657740 ) ( 5825000 2680800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2665740 ) ( 5833000 2665740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2665740 ) ( 5673790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 2729740 ) ( 5673790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5585000 2737740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2679200 ) ( 5825000 2698540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2694540 ) ( 5829000 2694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2694540 ) ( 5782590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2710540 ) ( 5782590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2706540 ) ( 5654590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2715000 ) ( 5658590 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3960000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3937740 ) ( 5825000 3960800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3945740 ) ( 5833000 3945740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3945740 ) ( 5673790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 4009740 ) ( 5673790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5585000 4017740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3959200 ) ( 5825000 3978540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3974540 ) ( 5829000 3974540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3974540 ) ( 5782590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3990540 ) ( 5782590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3986540 ) ( 5654590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3995000 ) ( 5658590 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1340000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1339200 ) ( 5825000 1361740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1353740 ) ( 5833000 1353740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1353740 ) ( 5417790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 1449740 ) ( 5417790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5265000 1457740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1339200 ) ( 5825000 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1366540 ) ( 5829000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1366540 ) ( 5462590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1430540 ) ( 5462590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1426540 ) ( 5334590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1435000 ) ( 5338590 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5360000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 5353295 5817000 ) ( 5353295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5353790 5545740 ) ( 5353790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257790 5449740 ) ( 5353790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257790 5281740 ) ( 5257790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5249790 5289740 ) ( 5273000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5297740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 5825000 ) ( 5360800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 5526540 ) ( 5334590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5462540 ) ( 5334590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5330540 ) ( 5270590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5334540 ) ( 5274590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5275000 ) ( 5265000 5338540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3580000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3579200 ) ( 5825000 3601740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 3593740 ) ( 5833000 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 3593740 ) ( 5417790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 3689740 ) ( 5417790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5265000 3697740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3579200 ) ( 5825000 3610540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3606540 ) ( 5829000 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3606540 ) ( 5462590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3670540 ) ( 5462590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3666540 ) ( 5334590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3675000 ) ( 5338590 3675000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5240000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5217790 175000 ) ( 5240800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5225790 167000 ) ( 5225790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5225790 233740 ) ( 5257790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257790 265740 ) ( 5257790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 5257395 467000 ) ( 5257395 483000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5240000 174200 ) ( 5240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5234590 198540 ) ( 5244000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5238590 194540 ) ( 5238590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5238590 214540 ) ( 5254590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5254590 230540 ) ( 5254590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5250590 406540 ) ( 5269000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 402540 ) ( 5265000 475000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3060000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3041740 ) ( 5825000 3060800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3049740 ) ( 5833000 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3049740 ) ( 5673790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 3113740 ) ( 5673790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 3049740 ) ( 5161790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 3049740 ) ( 5097790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 4945000 3057740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3060000 ) ( 5825800 3060000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3056000 ) ( 5798590 3066540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3062540 ) ( 5802590 3062540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3062540 ) ( 5750590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3094540 ) ( 5750590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 3030540 ) ( 5206590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3030540 ) ( 5142590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3026540 ) ( 5014590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3035000 ) ( 5018590 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1780000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1761740 ) ( 5825000 1780800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1769740 ) ( 5833000 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1769740 ) ( 5673790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 1833740 ) ( 5673790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 1769740 ) ( 5161790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 1769740 ) ( 5097790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 4945000 1777740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1780000 ) ( 5825800 1780000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1776000 ) ( 5798590 1786540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1782540 ) ( 5802590 1782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1782540 ) ( 5750590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1814540 ) ( 5750590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 1750540 ) ( 5206590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1750540 ) ( 5142590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1746540 ) ( 5014590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1755000 ) ( 5018590 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4340000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4321740 ) ( 5825000 4340800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4329740 ) ( 5833000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4329740 ) ( 5673790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 4393740 ) ( 5673790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 4329740 ) ( 5161790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 4329740 ) ( 5097790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 4945000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4340000 ) ( 5825800 4340000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4336000 ) ( 5798590 4346540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4342540 ) ( 5802590 4342540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4342540 ) ( 5750590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4374540 ) ( 5750590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 4310540 ) ( 5206590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4310540 ) ( 5142590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4306540 ) ( 5014590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4315000 ) ( 5018590 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4600000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4577790 5825000 ) ( 4600800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4585790 5737740 ) ( 4585790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4553790 5705740 ) ( 4585790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4553790 5193740 ) ( 4553790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 5129740 ) ( 4553790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 4961740 ) ( 4617790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4609790 4969740 ) ( 4633000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 4977740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4578590 5825000 ) ( 4600800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4582590 5798540 ) ( 4582590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5782540 ) ( 4582590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 5206540 ) ( 4566590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 5158540 ) ( 4566590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 5010540 ) ( 4614590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 5014540 ) ( 4629000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4955000 ) ( 4625000 5018540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2170000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2169200 ) ( 5825000 2193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 2185740 ) ( 5833000 2185740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 2153740 ) ( 5129790 2185740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 2153740 ) ( 5097790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 2089740 ) ( 4521790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 2089740 ) ( 4457790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4305000 2097740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2170000 ) ( 5825800 2170000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2162540 ) ( 5798590 2174000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2166540 ) ( 5802590 2166540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2150540 ) ( 5782590 2166540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2150540 ) ( 5766590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 2134540 ) ( 5190590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2134540 ) ( 5174590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 2070540 ) ( 4566590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2070540 ) ( 4502590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2066540 ) ( 4374590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2075000 ) ( 4378590 2075000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4220000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4219200 175000 ) ( 4241790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 167000 ) ( 4233790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 585740 ) ( 4297790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 649740 ) ( 4297790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4297395 787000 ) ( 4297395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4219200 175000 ) ( 4250590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 171000 ) ( 4246590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 534540 ) ( 4294590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 582540 ) ( 4294590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 726540 ) ( 4309000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 722540 ) ( 4305000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3840000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3839200 175000 ) ( 3857790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3849790 167000 ) ( 3849790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3849790 201740 ) ( 3881790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3881790 233740 ) ( 3881790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3881790 617740 ) ( 3913790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 649740 ) ( 3913790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 1225740 ) ( 3977790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 1289740 ) ( 3977790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3977395 1427000 ) ( 3977395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3840000 174200 ) ( 3840000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3836000 198540 ) ( 3846590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3846590 198540 ) ( 3910590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 262540 ) ( 3910590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 550540 ) ( 3926590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 566540 ) ( 3926590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 1174540 ) ( 3974590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 1222540 ) ( 3974590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 1366540 ) ( 3989000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1362540 ) ( 3985000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4150000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4129790 5825000 ) ( 4150800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4137790 5737740 ) ( 4137790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4105790 5705740 ) ( 4137790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4105790 5513740 ) ( 4105790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 5481740 ) ( 4105790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 4905740 ) ( 4073790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 4809740 ) ( 4073790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 4641740 ) ( 3977790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3969790 4649740 ) ( 3993000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4657740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4150000 5794540 ) ( 4150000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4146000 5798540 ) ( 4154590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4150590 5782540 ) ( 4150590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 5702540 ) ( 4150590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 5510540 ) ( 4070590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5494540 ) ( 4070590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 4886540 ) ( 4054590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4822540 ) ( 4054590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 4690540 ) ( 3990590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 4694540 ) ( 3994590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4635000 ) ( 3985000 4698540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3700000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3681790 5825000 ) ( 3700800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3689790 5737740 ) ( 3689790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 5705740 ) ( 3689790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 5601740 ) ( 3657790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3649790 5609740 ) ( 3673000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3682590 5825000 ) ( 3700800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3686590 5798540 ) ( 3686590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5782540 ) ( 3686590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5650540 ) ( 3670590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5654540 ) ( 3674590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5595000 ) ( 3665000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3380000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3361740 ) ( 175000 3380800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3369740 ) ( 465790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 3347000 ) ( 457790 3377740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 3347000 ) ( 457395 3363000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 175000 3362540 ) ( 175000 3380800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3366540 ) ( 198590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3350540 ) ( 198590 3366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3350540 ) ( 410590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3346540 ) ( 406590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3355000 ) ( 465000 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2100000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2081740 ) ( 175000 2100800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2089740 ) ( 465790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 2067000 ) ( 457790 2097740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 2067000 ) ( 457395 2083000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 2082540 ) ( 175000 2100800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2086540 ) ( 198590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2070540 ) ( 198590 2086540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 2070540 ) ( 410590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2066540 ) ( 406590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2075000 ) ( 465000 2075000 ) ; - DVSS ( PIN DVSS ) ( u_bsg_tag_clk_i DVSS ) ( u_bsg_tag_clk_o DVSS ) ( u_bsg_tag_data_i DVSS ) ( u_bsg_tag_data_o DVSS ) ( u_bsg_tag_en_i DVSS ) ( u_ci2_0_o DVSS ) ( u_ci2_1_o DVSS ) ( u_ci2_2_o DVSS ) ( u_ci2_3_o DVSS ) ( u_ci2_4_o DVSS ) ( u_ci2_5_o DVSS ) ( u_ci2_6_o DVSS ) ( u_ci2_7_o DVSS ) ( u_ci2_8_o DVSS ) ( u_ci2_clk_o DVSS ) ( u_ci2_tkn_i DVSS ) ( u_ci2_v_o DVSS ) ( u_ci_0_i DVSS ) ( u_ci_1_i DVSS ) ( u_ci_2_i DVSS ) ( u_ci_3_i DVSS ) ( u_ci_4_i DVSS ) @@ -2928,274 +2963,310 @@ SPECIALNETS 139 ; ( BUMP_15_7 PAD ) ( BUMP_14_5 PAD ) ( BUMP_16_4 PAD ) ( BUMP_13_3 PAD ) ( BUMP_14_0 PAD ) ( BUMP_12_3 PAD ) ( BUMP_10_4 PAD ) ( BUMP_9_2 PAD ) ( BUMP_8_3 PAD ) ( BUMP_6_4 PAD ) ( BUMP_5_1 PAD ) ( BUMP_4_3 PAD ) ( BUMP_1_0 PAD ) + USE GROUND + ROUTED metal9 0 + SHAPE IOWIRE ( 3380000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3361790 175000 ) ( 3380800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3369790 167000 ) ( 3369790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3369790 233740 ) ( 3433790 297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 297740 ) ( 3433790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 873740 ) ( 3337790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 969740 ) ( 3337790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3337395 1107000 ) ( 3337395 1123000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3380000 174200 ) ( 3380000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3376000 198540 ) ( 3386590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3382590 194540 ) ( 3382590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3382590 214540 ) ( 3414590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 246540 ) ( 3414590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 854540 ) ( 3350590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 918540 ) ( 3350590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 1046540 ) ( 3354590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1042540 ) ( 3345000 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2880000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2879200 175000 ) ( 2897790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2889790 167000 ) ( 2889790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2889790 201740 ) ( 2921790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2921790 233740 ) ( 2921790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2921790 617740 ) ( 2953790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 649740 ) ( 2953790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 1225740 ) ( 3017790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 1289740 ) ( 3017790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3017395 1427000 ) ( 3017395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2880000 174200 ) ( 2880000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2876000 198540 ) ( 2886590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2886590 198540 ) ( 2950590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2950590 262540 ) ( 2950590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2950590 550540 ) ( 2966590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 566540 ) ( 2966590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 1174540 ) ( 3014590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 1222540 ) ( 3014590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 1366540 ) ( 3029000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1362540 ) ( 3025000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3130000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3129200 5825000 ) ( 3153790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3145790 5769740 ) ( 3145790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 5737740 ) ( 3145790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 5545740 ) ( 3113790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 5449740 ) ( 3113790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 5281740 ) ( 3017790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3009790 5289740 ) ( 3033000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5297740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3130000 5794540 ) ( 3130000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3122590 5798540 ) ( 3134000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3126590 5782540 ) ( 3126590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5750540 ) ( 3126590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5526540 ) ( 3094590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5462540 ) ( 3094590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5330540 ) ( 3030590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5334540 ) ( 3034590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5275000 ) ( 3025000 5338540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2680000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2657790 5825000 ) ( 2680800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2665790 5737740 ) ( 2665790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 5705740 ) ( 2665790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 5193740 ) ( 2633790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 5129740 ) ( 2633790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 4961740 ) ( 2697790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2689790 4969740 ) ( 2713000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 4977740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2658590 5825000 ) ( 2680800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2662590 5798540 ) ( 2662590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5782540 ) ( 2662590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 5206540 ) ( 2646590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 5158540 ) ( 2646590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 5010540 ) ( 2694590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 5014540 ) ( 2709000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4955000 ) ( 2705000 5018540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2490000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2489200 175000 ) ( 2513790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2505790 167000 ) ( 2505790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2505790 905740 ) ( 2473790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 937740 ) ( 2473790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 1513740 ) ( 2377790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 1609740 ) ( 2377790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2377395 1747000 ) ( 2377395 1763000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2490000 174200 ) ( 2490000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2482590 198540 ) ( 2494000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2486590 194540 ) ( 2486590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2486590 214540 ) ( 2470590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 230540 ) ( 2470590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 870540 ) ( 2454590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 886540 ) ( 2454590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 1494540 ) ( 2390590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 1558540 ) ( 2390590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1686540 ) ( 2394590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1682540 ) ( 2385000 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2300000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2299200 5825000 ) ( 2321790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 5769740 ) ( 2313790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2281790 5737740 ) ( 2313790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2281790 5161740 ) ( 2281790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 5129740 ) ( 2281790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 4553740 ) ( 2313790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 4489740 ) ( 2313790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 4321740 ) ( 2377790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2369790 4329740 ) ( 2393000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2300000 5794540 ) ( 2300000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2296000 5798540 ) ( 2314590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 5190540 ) ( 2310590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5174540 ) ( 2310590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 4566540 ) ( 2326590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 4518540 ) ( 2326590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 4370540 ) ( 2374590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 4374540 ) ( 2389000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4315000 ) ( 2385000 4378540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1980000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1979200 175000 ) ( 2001790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 167000 ) ( 1993790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 585740 ) ( 2057790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 649740 ) ( 2057790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2057395 787000 ) ( 2057395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1979200 175000 ) ( 2010590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 171000 ) ( 2006590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 534540 ) ( 2054590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 582540 ) ( 2054590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 726540 ) ( 2069000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 722540 ) ( 2065000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1600000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1599200 175000 ) ( 1617790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1609790 167000 ) ( 1609790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1609790 201740 ) ( 1641790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1641790 233740 ) ( 1641790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1641790 617740 ) ( 1673790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1673790 649740 ) ( 1673790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1673790 1225740 ) ( 1737790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 1289740 ) ( 1737790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 1427000 ) ( 1737395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1600000 174200 ) ( 1600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1596000 198540 ) ( 1606590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1606590 198540 ) ( 1670590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1670590 262540 ) ( 1670590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1670590 550540 ) ( 1686590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 566540 ) ( 1686590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 1174540 ) ( 1734590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 1222540 ) ( 1734590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 1366540 ) ( 1749000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1362540 ) ( 1745000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1900000 5825000 ) via9_0 - NEW metal10 11010 + SHAPE IOWIRE ( 1895295 5817000 ) ( 1895295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1897790 5737740 ) ( 1897790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1865790 5705740 ) ( 1897790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1865790 5513740 ) ( 1865790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 5481740 ) ( 1865790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 4905740 ) ( 1833790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 4809740 ) ( 1833790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 4641740 ) ( 1737790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1729790 4649740 ) ( 1753000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4657740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1900000 5794540 ) ( 1900000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1894590 5798540 ) ( 1904000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 5734540 ) ( 1894590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 5510540 ) ( 1830590 5734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 5494540 ) ( 1830590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 4886540 ) ( 1814590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4822540 ) ( 1814590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 4690540 ) ( 1750590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 4694540 ) ( 1754590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4635000 ) ( 1745000 4698540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2550000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2529740 ) ( 175000 2550800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2537740 ) ( 233790 2537740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2505740 ) ( 233790 2537740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2505740 ) ( 585790 2505740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2473740 ) ( 585790 2505740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2473740 ) ( 1193790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 2409740 ) ( 1193790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 2409740 ) ( 1425790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 2387000 ) ( 1417790 2417740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 2387000 ) ( 1417395 2403000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2550000 ) ( 202590 2550000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2546000 ) ( 198590 2554540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2550540 ) ( 214590 2550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 2470540 ) ( 214590 2550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 2470540 ) ( 550590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 2454540 ) ( 550590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 2454540 ) ( 1174590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 2390540 ) ( 1174590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 2390540 ) ( 1370590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2386540 ) ( 1366590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2395000 ) ( 1425000 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4800000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4799200 ) ( 175000 4817740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4809740 ) ( 201790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4745740 ) ( 201790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4745740 ) ( 585790 4745740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4713740 ) ( 585790 4745740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4713740 ) ( 1193790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 4649740 ) ( 1193790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 4649740 ) ( 1425790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 4627000 ) ( 1417790 4657740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 4627000 ) ( 1417395 4643000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4800000 ) ( 202590 4800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4786540 ) ( 198590 4804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4790540 ) ( 214590 4790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 4710540 ) ( 214590 4790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 4710540 ) ( 550590 4710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 4694540 ) ( 550590 4710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 4694540 ) ( 1174590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 4630540 ) ( 1174590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 4630540 ) ( 1370590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4626540 ) ( 1366590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4635000 ) ( 1425000 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1140000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1121790 5825000 ) ( 1140800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1129790 5737740 ) ( 1129790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 5705740 ) ( 1129790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 5601740 ) ( 1097790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1089790 5609740 ) ( 1113000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1122590 5825000 ) ( 1140800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1126590 5798540 ) ( 1126590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5782540 ) ( 1126590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5650540 ) ( 1110590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5654540 ) ( 1114590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5595000 ) ( 1105000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3960000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3937740 ) ( 175000 3960800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3945740 ) ( 233790 3945740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3913740 ) ( 233790 3945740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3913740 ) ( 841790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 3913740 ) ( 937790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 4009740 ) ( 1105790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 3987000 ) ( 1097790 4017740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 3987000 ) ( 1097395 4003000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3960000 ) ( 202590 3960000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3954540 ) ( 198590 3964000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3958540 ) ( 214590 3958540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3926540 ) ( 214590 3958540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3926540 ) ( 854590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3926540 ) ( 918590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 3990540 ) ( 1050590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3986540 ) ( 1046590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3995000 ) ( 1105000 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3440000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 3433270 ) ( 183000 3433270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3433740 ) ( 553790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3369740 ) ( 553790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3369740 ) ( 785790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 3347000 ) ( 777790 3377740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 3347000 ) ( 777395 3363000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 175000 3410540 ) ( 175000 3440800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3414540 ) ( 534590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3350540 ) ( 534590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3350540 ) ( 730590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3346540 ) ( 726590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3355000 ) ( 785000 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2160000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 2153270 ) ( 183000 2153270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2153740 ) ( 553790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2089740 ) ( 553790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2089740 ) ( 785790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 2067000 ) ( 777790 2097740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 2067000 ) ( 777395 2083000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 175000 2130540 ) ( 175000 2160800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 2134540 ) ( 534590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 2070540 ) ( 534590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 2070540 ) ( 730590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2066540 ) ( 726590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2075000 ) ( 785000 2075000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 760000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 737790 175000 ) ( 760800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 745790 167000 ) ( 745790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 745790 233740 ) ( 777790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 265740 ) ( 777790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 467000 ) ( 777395 483000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 760000 174200 ) ( 760000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 754590 198540 ) ( 764000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 758590 194540 ) ( 758590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 758590 214540 ) ( 774590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 230540 ) ( 774590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 406540 ) ( 789000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 402540 ) ( 785000 475000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3000000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2977740 ) ( 5825000 3000800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2985740 ) ( 5833000 2985740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2985740 ) ( 5673790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 3049740 ) ( 5673790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5585000 3057740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2999200 ) ( 5825000 3018540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3014540 ) ( 5829000 3014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3014540 ) ( 5782590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3030540 ) ( 5782590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3026540 ) ( 5654590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3035000 ) ( 5658590 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1720000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1697740 ) ( 5825000 1720800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1705740 ) ( 5833000 1705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1705740 ) ( 5673790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 1769740 ) ( 5673790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5585000 1777740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1719200 ) ( 5825000 1738540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1734540 ) ( 5829000 1734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1734540 ) ( 5782590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1750540 ) ( 5782590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1746540 ) ( 5654590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1755000 ) ( 5658590 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5620000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5601790 5825000 ) ( 5620800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5609790 5737740 ) ( 5609790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577790 5705740 ) ( 5609790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577790 5601740 ) ( 5577790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5569790 5609740 ) ( 5593000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5602590 5825000 ) ( 5620800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5606590 5798540 ) ( 5606590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5782540 ) ( 5606590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5590590 5650540 ) ( 5590590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5581000 5654540 ) ( 5594590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5595000 ) ( 5585000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4280000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4257740 ) ( 5825000 4280800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4265740 ) ( 5833000 4265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4265740 ) ( 5673790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 4329740 ) ( 5673790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5585000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 4279200 ) ( 5825000 4298540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4294540 ) ( 5829000 4294540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4294540 ) ( 5782590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4310540 ) ( 5782590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4306540 ) ( 5654590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4315000 ) ( 5658590 4315000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2620000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2619200 ) ( 5825000 2641740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 2633740 ) ( 5833000 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 2633740 ) ( 5417790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 2729740 ) ( 5417790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5265000 2737740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2619200 ) ( 5825000 2650540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2646540 ) ( 5829000 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2646540 ) ( 5462590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2710540 ) ( 5462590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2706540 ) ( 5334590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2715000 ) ( 5338590 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3900000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3899200 ) ( 5825000 3921740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 3913740 ) ( 5833000 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 3913740 ) ( 5417790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 4009740 ) ( 5417790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5265000 4017740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3899200 ) ( 5825000 3930540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3926540 ) ( 5829000 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3926540 ) ( 5462590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3990540 ) ( 5462590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3986540 ) ( 5334590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3995000 ) ( 5338590 3995000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2110000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2109200 ) ( 5825000 2129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2121740 ) ( 5833000 2121740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2121740 ) ( 5737790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 2153740 ) ( 5737790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 2089740 ) ( 5161790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 2089740 ) ( 5097790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 4945000 2097740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2109200 ) ( 5825000 2138540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2134540 ) ( 5829000 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 2070540 ) ( 5206590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2070540 ) ( 5142590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2066540 ) ( 5014590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2075000 ) ( 5018590 2075000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4920000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4897790 175000 ) ( 4920800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4905790 167000 ) ( 4905790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4905790 233740 ) ( 4937790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 265740 ) ( 4937790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4937395 467000 ) ( 4937395 483000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4920000 174200 ) ( 4920000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4914590 198540 ) ( 4924000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4918590 194540 ) ( 4918590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4918590 214540 ) ( 4934590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 230540 ) ( 4934590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 406540 ) ( 4949000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 402540 ) ( 4945000 475000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1280000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1279200 ) ( 5825000 1297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 1289740 ) ( 5833000 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 1289740 ) ( 5737790 1321740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 1321740 ) ( 5737790 1321740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 1321740 ) ( 5449790 1353740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 1353740 ) ( 5449790 1353740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 1353740 ) ( 4777790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 1449740 ) ( 4777790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4625000 1457740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1280000 ) ( 5825800 1280000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1276000 ) ( 5798590 1286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1286540 ) ( 5734590 1350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1350540 ) ( 5734590 1350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1350540 ) ( 5494590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1366540 ) ( 5494590 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1366540 ) ( 4822590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1430540 ) ( 4822590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1426540 ) ( 4694590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1435000 ) ( 4698590 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4660000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4641790 5825000 ) ( 4660800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4649790 5737740 ) ( 4649790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 5705740 ) ( 4649790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 5601740 ) ( 4617790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4609790 5609740 ) ( 4633000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4642590 5825000 ) ( 4660800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4646590 5798540 ) ( 4646590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5782540 ) ( 4646590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5650540 ) ( 4630590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5654540 ) ( 4634590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5595000 ) ( 4625000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3520000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3519200 ) ( 5825000 3537740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3529740 ) ( 5833000 3529740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3529740 ) ( 5737790 3561740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 3561740 ) ( 5737790 3561740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 3561740 ) ( 5449790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 3593740 ) ( 5449790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 3593740 ) ( 4777790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 3689740 ) ( 4777790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4625000 3697740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3520000 ) ( 5825800 3520000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3516000 ) ( 5798590 3526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3526540 ) ( 5734590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3590540 ) ( 5734590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3590540 ) ( 5494590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3606540 ) ( 5494590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3606540 ) ( 4822590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3670540 ) ( 4822590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3666540 ) ( 4694590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3675000 ) ( 4698590 3675000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4160000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4159200 175000 ) ( 4177790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4169790 167000 ) ( 4169790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4169790 201740 ) ( 4201790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4201790 233740 ) ( 4201790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4201790 617740 ) ( 4233790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 649740 ) ( 4233790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 1225740 ) ( 4297790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 1289740 ) ( 4297790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4297395 1427000 ) ( 4297395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4160000 174200 ) ( 4160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4156000 198540 ) ( 4166590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4166590 198540 ) ( 4230590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 262540 ) ( 4230590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 550540 ) ( 4246590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 566540 ) ( 4246590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 1174540 ) ( 4294590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 1222540 ) ( 4294590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 1366540 ) ( 4309000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1362540 ) ( 4305000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4200000 5825000 ) via9_0 - NEW metal10 10590 + SHAPE IOWIRE ( 4204495 5817000 ) ( 4204495 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4201790 5161740 ) ( 4201790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 5129740 ) ( 4201790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 4553740 ) ( 4233790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 4489740 ) ( 4233790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 4321740 ) ( 4297790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4289790 4329740 ) ( 4313000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4200000 5794540 ) ( 4200000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4196000 5798540 ) ( 4218590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4214590 5782540 ) ( 4214590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 5766540 ) ( 4214590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4230590 5190540 ) ( 4230590 5766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5174540 ) ( 4230590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 4566540 ) ( 4246590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 4518540 ) ( 4246590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 4370540 ) ( 4294590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 4374540 ) ( 4309000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4315000 ) ( 4305000 4378540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3770000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3769200 175000 ) ( 3793790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3785790 167000 ) ( 3785790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3785790 905740 ) ( 3753790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 937740 ) ( 3753790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 1513740 ) ( 3657790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 1609740 ) ( 3657790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3657395 1747000 ) ( 3657395 1763000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3770000 174200 ) ( 3770000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3762590 198540 ) ( 3774000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3766590 194540 ) ( 3766590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3766590 214540 ) ( 3750590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 230540 ) ( 3750590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 870540 ) ( 3734590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 886540 ) ( 3734590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 1494540 ) ( 3670590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 1558540 ) ( 3670590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1686540 ) ( 3674590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1682540 ) ( 3665000 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3640000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3617790 5825000 ) ( 3640800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3625790 5737740 ) ( 3625790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 5705740 ) ( 3625790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 5193740 ) ( 3593790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 5129740 ) ( 3593790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 4961740 ) ( 3657790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3649790 4969740 ) ( 3673000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 4977740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3618590 5825000 ) ( 3640800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3622590 5798540 ) ( 3622590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5782540 ) ( 3622590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5206540 ) ( 3606590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 5158540 ) ( 3606590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 5010540 ) ( 3654590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 5014540 ) ( 3669000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4955000 ) ( 3665000 5018540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3060000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3041740 ) ( 175000 3060800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3049740 ) ( 465790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 3027000 ) ( 457790 3057740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 3027000 ) ( 457395 3043000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 175000 3042540 ) ( 175000 3060800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3046540 ) ( 198590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3030540 ) ( 198590 3046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3030540 ) ( 410590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3026540 ) ( 406590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3035000 ) ( 465000 3035000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1460000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 1441740 ) ( 175000 1460800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1449740 ) ( 465790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 1427000 ) ( 457790 1457740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 1427000 ) ( 457395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 175000 1442540 ) ( 175000 1460800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1446540 ) ( 198590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1430540 ) ( 198590 1446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1430540 ) ( 410590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1426540 ) ( 406590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1435000 ) ( 465000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4340000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4321740 ) ( 175000 4340800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4329740 ) ( 465790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 4307000 ) ( 457790 4337740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 4307000 ) ( 457395 4323000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 4322540 ) ( 175000 4340800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4326540 ) ( 198590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4310540 ) ( 198590 4326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4310540 ) ( 410590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4306540 ) ( 406590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4315000 ) ( 465000 4315000 ) ; - VDD ( PIN VDD ) ( u_bsg_tag_clk_i VDD ) ( u_bsg_tag_clk_o VDD ) ( u_bsg_tag_data_i VDD ) ( u_bsg_tag_data_o VDD ) ( u_bsg_tag_en_i VDD ) ( u_ci2_0_o VDD ) ( u_ci2_1_o VDD ) ( u_ci2_2_o VDD ) ( u_ci2_3_o VDD ) ( u_ci2_4_o VDD ) ( u_ci2_5_o VDD ) ( u_ci2_6_o VDD ) ( u_ci2_7_o VDD ) ( u_ci2_8_o VDD ) ( u_ci2_clk_o VDD ) ( u_ci2_tkn_i VDD ) ( u_ci2_v_o VDD ) ( u_ci_0_i VDD ) ( u_ci_1_i VDD ) ( u_ci_2_i VDD ) ( u_ci_3_i VDD ) ( u_ci_4_i VDD ) @@ -3347,139 +3418,153 @@ SPECIALNETS 139 ; ( BUMP_9_12 PAD ) ( BUMP_11_12 PAD ) ( BUMP_14_16 PAD ) ( BUMP_16_13 PAD ) ( BUMP_16_9 PAD ) ( BUMP_15_6 PAD ) ( BUMP_15_2 PAD ) ( BUMP_12_0 PAD ) ( BUMP_9_3 PAD ) ( BUMP_6_3 PAD ) ( BUMP_2_2 PAD ) + USE POWER + ROUTED metal9 0 + SHAPE IOWIRE ( 3200000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3199200 175000 ) ( 3217790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3209790 167000 ) ( 3209790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3209790 201740 ) ( 3241790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3241790 233740 ) ( 3241790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3241790 617740 ) ( 3273790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 649740 ) ( 3273790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 1225740 ) ( 3337790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 1289740 ) ( 3337790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3337395 1427000 ) ( 3337395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3200000 174200 ) ( 3200000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3196000 198540 ) ( 3206590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3206590 198540 ) ( 3270590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3270590 262540 ) ( 3270590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3270590 550540 ) ( 3286590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 566540 ) ( 3286590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 1174540 ) ( 3334590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 1222540 ) ( 3334590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 1366540 ) ( 3349000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 1362540 ) ( 3345000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3290000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3290000 5824200 ) ( 3290000 5841740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3233790 5833740 ) ( 3298000 5833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3241790 5161740 ) ( 3241790 5841740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 5129740 ) ( 3241790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 4553740 ) ( 3273790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 4489740 ) ( 3273790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 4321740 ) ( 3337790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3329790 4329740 ) ( 3353000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3345000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3290000 5794540 ) ( 3290000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3282590 5798540 ) ( 3294000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5782540 ) ( 3286590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3270590 5766540 ) ( 3286590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3270590 5190540 ) ( 3270590 5766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5174540 ) ( 3270590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 4566540 ) ( 3286590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 4518540 ) ( 3286590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 4370540 ) ( 3334590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 4374540 ) ( 3349000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4315000 ) ( 3345000 4378540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2800000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 2793295 5817000 ) ( 2793295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 5545740 ) ( 2793790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 5449740 ) ( 2793790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 5281740 ) ( 2697790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2689790 5289740 ) ( 2713000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5297740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2770590 5825000 ) ( 2800800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 5526540 ) ( 2774590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5462540 ) ( 2774590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5330540 ) ( 2710590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 5334540 ) ( 2714590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5275000 ) ( 2705000 5338540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2240000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2239200 175000 ) ( 2257790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2249790 167000 ) ( 2249790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2249790 201740 ) ( 2281790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2281790 233740 ) ( 2281790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2281790 617740 ) ( 2313790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 649740 ) ( 2313790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 1225740 ) ( 2377790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 1289740 ) ( 2377790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2377395 1427000 ) ( 2377395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2240000 174200 ) ( 2240000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2236000 198540 ) ( 2246590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2246590 198540 ) ( 2310590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 262540 ) ( 2310590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2310590 550540 ) ( 2326590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 566540 ) ( 2326590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 1174540 ) ( 2374590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 1222540 ) ( 2374590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 1366540 ) ( 2389000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1362540 ) ( 2385000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1720000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1697790 5825000 ) ( 1720800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1705790 5737740 ) ( 1705790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1673790 5705740 ) ( 1705790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1673790 5193740 ) ( 1673790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 5129740 ) ( 1673790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 4961740 ) ( 1737790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1729790 4969740 ) ( 1753000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 4977740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1698590 5825000 ) ( 1720800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1702590 5798540 ) ( 1702590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 5782540 ) ( 1702590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 5206540 ) ( 1686590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 5158540 ) ( 1686590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 5010540 ) ( 1734590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 5014540 ) ( 1749000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 4955000 ) ( 1745000 5018540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1720000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 1697740 ) ( 175000 1720800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1705740 ) ( 233790 1705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1673740 ) ( 233790 1705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1673740 ) ( 841790 1673740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 1673740 ) ( 937790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 1769740 ) ( 1105790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 1747000 ) ( 1097790 1777740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 1747000 ) ( 1097395 1763000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 1720000 ) ( 202590 1720000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1714540 ) ( 198590 1724000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1718540 ) ( 214590 1718540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 1686540 ) ( 214590 1718540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 1686540 ) ( 854590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 1686540 ) ( 918590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 1750540 ) ( 1050590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 1746540 ) ( 1046590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 1755000 ) ( 1105000 1755000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1140000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1121790 175000 ) ( 1140800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1129790 167000 ) ( 1129790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1129790 233740 ) ( 1193790 297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1193790 297740 ) ( 1193790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1193790 873740 ) ( 1097790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 969740 ) ( 1097790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 1107000 ) ( 1097395 1123000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1140000 174200 ) ( 1140000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1136000 198540 ) ( 1146590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1142590 194540 ) ( 1142590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1142590 214540 ) ( 1174590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 246540 ) ( 1174590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 854540 ) ( 1110590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 918540 ) ( 1110590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 1046540 ) ( 1114590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 1042540 ) ( 1105000 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2810000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2809200 ) ( 175000 2833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2825740 ) ( 201790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2793740 ) ( 201790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2793740 ) ( 553790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2729740 ) ( 553790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2729740 ) ( 785790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 2707000 ) ( 777790 2737740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 2707000 ) ( 777395 2723000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2810000 ) ( 202590 2810000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2802540 ) ( 198590 2814000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2806540 ) ( 214590 2806540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2774540 ) ( 214590 2806540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2774540 ) ( 534590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 2710540 ) ( 534590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 2710540 ) ( 730590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 2706540 ) ( 726590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 2715000 ) ( 785000 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3770000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3769200 ) ( 175000 3793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3785740 ) ( 201790 3785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3753740 ) ( 201790 3785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3753740 ) ( 553790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3689740 ) ( 553790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3689740 ) ( 785790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 3667000 ) ( 777790 3697740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 3667000 ) ( 777395 3683000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3770000 ) ( 202590 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3762540 ) ( 198590 3774000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3766540 ) ( 214590 3766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3734540 ) ( 214590 3766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3734540 ) ( 534590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3670540 ) ( 534590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3670540 ) ( 730590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3666540 ) ( 726590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3675000 ) ( 785000 3675000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3330000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3329200 ) ( 5825000 3345740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3337740 ) ( 5833000 3337740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3337740 ) ( 5737790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 3369740 ) ( 5737790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5585000 3377740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3329200 ) ( 5825000 3354540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3350540 ) ( 5829000 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3346540 ) ( 5654590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3355000 ) ( 5658590 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4600000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4577740 ) ( 5825000 4600800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4585740 ) ( 5833000 4585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4585740 ) ( 5673790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 4649740 ) ( 5673790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5585000 4657740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 4599200 ) ( 5825000 4618540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4614540 ) ( 5829000 4614540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4614540 ) ( 5782590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4630540 ) ( 5782590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4626540 ) ( 5654590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4635000 ) ( 5658590 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2300000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2299200 ) ( 5825000 2321740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 2313740 ) ( 5833000 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 2313740 ) ( 5417790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 2409740 ) ( 5417790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5265000 2417740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2299200 ) ( 5825000 2330540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2326540 ) ( 5829000 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2326540 ) ( 5462590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2390540 ) ( 5462590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2386540 ) ( 5334590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2395000 ) ( 5338590 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1020000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1019200 ) ( 5825000 1041740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1033740 ) ( 5833000 1033740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1033740 ) ( 5417790 1129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 1129740 ) ( 5417790 1129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5265000 1137740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1019200 ) ( 5825000 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1046540 ) ( 5829000 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1046540 ) ( 5462590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1110540 ) ( 5462590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1106540 ) ( 5334590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1115000 ) ( 5338590 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4980000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4961790 5825000 ) ( 4980800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4969790 5737740 ) ( 4969790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 5705740 ) ( 4969790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 5601740 ) ( 4937790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4929790 5609740 ) ( 4953000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4962590 5825000 ) ( 4980800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4966590 5798540 ) ( 4966590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5782540 ) ( 4966590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5650540 ) ( 4950590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5654540 ) ( 4954590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5595000 ) ( 4945000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4280000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4257790 175000 ) ( 4280800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4265790 167000 ) ( 4265790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4265790 233740 ) ( 4297790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 265740 ) ( 4297790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4297395 467000 ) ( 4297395 483000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4280000 174200 ) ( 4280000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4274590 198540 ) ( 4284000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4278590 194540 ) ( 4278590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4278590 214540 ) ( 4294590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 230540 ) ( 4294590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 406540 ) ( 4309000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 402540 ) ( 4305000 475000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3900000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3899200 5825000 ) ( 3921790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 5769740 ) ( 3913790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3881790 5737740 ) ( 3913790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3881790 5161740 ) ( 3881790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 5129740 ) ( 3881790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 4553740 ) ( 3913790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 4489740 ) ( 3913790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 4321740 ) ( 3977790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3969790 4329740 ) ( 3993000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4337740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3900000 5794540 ) ( 3900000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3896000 5798540 ) ( 3914590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3910590 5190540 ) ( 3910590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5174540 ) ( 3910590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 4566540 ) ( 3926590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 4518540 ) ( 3926590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 4370540 ) ( 3974590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 4374540 ) ( 3989000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4315000 ) ( 3985000 4378540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4980000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4961740 ) ( 175000 4980800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4969740 ) ( 465790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 4947000 ) ( 457790 4977740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 4947000 ) ( 457395 4963000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 4962540 ) ( 175000 4980800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4966540 ) ( 198590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4950540 ) ( 198590 4966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 4950540 ) ( 410590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4946540 ) ( 406590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4955000 ) ( 465000 4955000 ) ; - VSS ( PIN VSS ) ( u_bsg_tag_clk_i VSS ) ( u_bsg_tag_clk_o VSS ) ( u_bsg_tag_data_i VSS ) ( u_bsg_tag_data_o VSS ) ( u_bsg_tag_en_i VSS ) ( u_ci2_0_o VSS ) ( u_ci2_1_o VSS ) ( u_ci2_2_o VSS ) ( u_ci2_3_o VSS ) ( u_ci2_4_o VSS ) ( u_ci2_5_o VSS ) ( u_ci2_6_o VSS ) ( u_ci2_7_o VSS ) ( u_ci2_8_o VSS ) ( u_ci2_clk_o VSS ) ( u_ci2_tkn_i VSS ) ( u_ci2_v_o VSS ) ( u_ci_0_i VSS ) ( u_ci_1_i VSS ) ( u_ci_2_i VSS ) ( u_ci_3_i VSS ) ( u_ci_4_i VSS ) @@ -3631,1366 +3716,1489 @@ SPECIALNETS 139 ; ( BUMP_9_16 PAD ) ( BUMP_10_13 PAD ) ( BUMP_14_14 PAD ) ( BUMP_14_13 PAD ) ( BUMP_14_9 PAD ) ( BUMP_16_6 PAD ) ( BUMP_16_2 PAD ) ( BUMP_12_2 PAD ) ( BUMP_9_1 PAD ) ( BUMP_6_1 PAD ) ( BUMP_3_3 PAD ) + USE GROUND + ROUTED metal9 0 + SHAPE IOWIRE ( 3390000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3389200 5825000 ) ( 3409790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3401790 5769740 ) ( 3401790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 5705740 ) ( 3401790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 5601740 ) ( 3337790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3329790 5609740 ) ( 3353000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3390000 5794540 ) ( 3390000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3378590 5798540 ) ( 3394000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3382590 5782540 ) ( 3382590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5750540 ) ( 3382590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5650540 ) ( 3350590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5654540 ) ( 3354590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5595000 ) ( 3345000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3260000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3259200 175000 ) ( 3281790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 167000 ) ( 3273790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 585740 ) ( 3337790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 649740 ) ( 3337790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3337395 787000 ) ( 3337395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3259200 175000 ) ( 3290590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 171000 ) ( 3286590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 534540 ) ( 3334590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 582540 ) ( 3334590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 726540 ) ( 3349000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 722540 ) ( 3345000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2740000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2721790 5825000 ) ( 2740800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2729790 5737740 ) ( 2729790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 5705740 ) ( 2729790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 5601740 ) ( 2697790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2689790 5609740 ) ( 2713000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5617740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2722590 5825000 ) ( 2740800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2726590 5798540 ) ( 2726590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5782540 ) ( 2726590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 5650540 ) ( 2710590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 5654540 ) ( 2714590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 5595000 ) ( 2705000 5658540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 2300000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2299200 175000 ) ( 2321790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 167000 ) ( 2313790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 585740 ) ( 2377790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 649740 ) ( 2377790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2377395 787000 ) ( 2377395 803000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2299200 175000 ) ( 2330590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 171000 ) ( 2326590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 534540 ) ( 2374590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 582540 ) ( 2374590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 726540 ) ( 2389000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 722540 ) ( 2385000 795000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1280000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1279200 175000 ) ( 1297790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1289790 167000 ) ( 1289790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1289790 201740 ) ( 1321790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1321790 233740 ) ( 1321790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1321790 617740 ) ( 1353790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1353790 649740 ) ( 1353790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1353790 1225740 ) ( 1417790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 1289740 ) ( 1417790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 1427000 ) ( 1417395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1280000 174200 ) ( 1280000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1276000 198540 ) ( 1286590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1286590 198540 ) ( 1350590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1350590 262540 ) ( 1350590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1350590 550540 ) ( 1366590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 566540 ) ( 1366590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1174540 ) ( 1414590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 1222540 ) ( 1414590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 1366540 ) ( 1429000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1362540 ) ( 1425000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 1530000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1529200 5825000 ) ( 1553790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1545790 5769740 ) ( 1545790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1513790 5737740 ) ( 1545790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1513790 5545740 ) ( 1513790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 5449740 ) ( 1513790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 5281740 ) ( 1417790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1409790 5289740 ) ( 1433000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5297740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1530000 5794540 ) ( 1530000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1522590 5798540 ) ( 1534000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1526590 5782540 ) ( 1526590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 5750540 ) ( 1526590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 5526540 ) ( 1494590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5462540 ) ( 1494590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5330540 ) ( 1430590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5334540 ) ( 1434590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5275000 ) ( 1425000 5338540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4920000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4897740 ) ( 175000 4920800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4905740 ) ( 233790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4873740 ) ( 233790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4873740 ) ( 841790 4873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 4873740 ) ( 937790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 4969740 ) ( 1105790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 4947000 ) ( 1097790 4977740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 4947000 ) ( 1097395 4963000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4920000 ) ( 202590 4920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4914540 ) ( 198590 4924000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4918540 ) ( 214590 4918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4886540 ) ( 214590 4918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4886540 ) ( 854590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4886540 ) ( 918590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 4950540 ) ( 1050590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4946540 ) ( 1046590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4955000 ) ( 1105000 4955000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1520000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 1513270 ) ( 183000 1513270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1513740 ) ( 553790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 1449740 ) ( 553790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 1449740 ) ( 785790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 1427000 ) ( 777790 1457740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 1427000 ) ( 777395 1443000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 175000 1490540 ) ( 175000 1520800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1494540 ) ( 534590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 1430540 ) ( 534590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 1430540 ) ( 730590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1426540 ) ( 726590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1435000 ) ( 785000 1435000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2360000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2337740 ) ( 5825000 2360800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2345740 ) ( 5833000 2345740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2345740 ) ( 5673790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 2409740 ) ( 5673790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5585000 2417740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2359200 ) ( 5825000 2378540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2374540 ) ( 5829000 2374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2374540 ) ( 5782590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2390540 ) ( 5782590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2386540 ) ( 5654590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2395000 ) ( 5658590 2395000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1080000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1057740 ) ( 5825000 1080800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1065740 ) ( 5833000 1065740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1065740 ) ( 5673790 1129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 1129740 ) ( 5673790 1129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5585000 1137740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1079200 ) ( 5825000 1098540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1094540 ) ( 5829000 1094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1094540 ) ( 5782590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1110540 ) ( 5782590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1106540 ) ( 5654590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1115000 ) ( 5658590 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3390000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3389200 ) ( 5825000 3409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3401740 ) ( 5833000 3401740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3401740 ) ( 5737790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 3433740 ) ( 5737790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 3369740 ) ( 5161790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 3369740 ) ( 5097790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 4945000 3377740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3389200 ) ( 5825000 3418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3414540 ) ( 5829000 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 3350540 ) ( 5206590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3350540 ) ( 5142590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3346540 ) ( 5014590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3355000 ) ( 5018590 3355000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4920000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4897790 5825000 ) ( 4920800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4905790 5737740 ) ( 4905790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 5705740 ) ( 4905790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 5193740 ) ( 4873790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 5129740 ) ( 4873790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 4961740 ) ( 4937790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4929790 4969740 ) ( 4953000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 4977740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4898590 5825000 ) ( 4920800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4902590 5798540 ) ( 4902590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 5782540 ) ( 4902590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 5206540 ) ( 4886590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 5158540 ) ( 4886590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 5010540 ) ( 4934590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 5014540 ) ( 4949000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4955000 ) ( 4945000 5018540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4660000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4641740 ) ( 5825000 4660800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4649740 ) ( 5833000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4649740 ) ( 5673790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 4713740 ) ( 5673790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 4649740 ) ( 5161790 4713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 4649740 ) ( 5097790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 4945000 4657740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4660000 ) ( 5825800 4660000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4656000 ) ( 5798590 4666540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4662540 ) ( 5802590 4662540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4662540 ) ( 5750590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4694540 ) ( 5750590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 4630540 ) ( 5206590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 4630540 ) ( 5142590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 4626540 ) ( 5014590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 4635000 ) ( 5018590 4635000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 4340000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4321790 175000 ) ( 4340800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4329790 167000 ) ( 4329790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4329790 233740 ) ( 4393790 297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4393790 297740 ) ( 4393790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4393790 873740 ) ( 4297790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 969740 ) ( 4297790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4297395 1107000 ) ( 4297395 1123000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4340000 174200 ) ( 4340000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4336000 198540 ) ( 4346590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4342590 194540 ) ( 4342590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4342590 214540 ) ( 4374590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 246540 ) ( 4374590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 854540 ) ( 4310590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 918540 ) ( 4310590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 1046540 ) ( 4314590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1042540 ) ( 4305000 1115000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 3830000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3809790 5825000 ) ( 3830800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3817790 5737740 ) ( 3817790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3785790 5705740 ) ( 3817790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3785790 5513740 ) ( 3785790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 5481740 ) ( 3785790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 4905740 ) ( 3753790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 4809740 ) ( 3753790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 4641740 ) ( 3657790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3649790 4649740 ) ( 3673000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4657740 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3830000 5794540 ) ( 3830000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3826000 5798540 ) ( 3834590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3830590 5782540 ) ( 3830590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 5702540 ) ( 3830590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3750590 5510540 ) ( 3750590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5494540 ) ( 3750590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 4886540 ) ( 3734590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4822540 ) ( 3734590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 4690540 ) ( 3670590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 4694540 ) ( 3674590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4635000 ) ( 3665000 4698540 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2750000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2749200 ) ( 175000 2769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2761740 ) ( 201790 2761740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2729740 ) ( 201790 2761740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2729740 ) ( 465790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 2707000 ) ( 457790 2737740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 2707000 ) ( 457395 2723000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2750000 ) ( 202590 2750000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2738540 ) ( 198590 2754000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2742540 ) ( 214590 2742540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2710540 ) ( 214590 2742540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2710540 ) ( 410590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2706540 ) ( 406590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2715000 ) ( 465000 2715000 ) + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3710000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3709200 ) ( 175000 3729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3721740 ) ( 201790 3721740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3689740 ) ( 201790 3721740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3689740 ) ( 465790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 3667000 ) ( 457790 3697740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 3667000 ) ( 457395 3683000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3710000 ) ( 202590 3710000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3698540 ) ( 198590 3714000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3702540 ) ( 214590 3702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3670540 ) ( 214590 3702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3670540 ) ( 410590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3666540 ) ( 406590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3675000 ) ( 465000 3675000 ) ; - p_bsg_tag_clk_i ( PIN p_bsg_tag_clk_i ) ( BUMP_15_8 PAD ) ( u_bsg_tag_clk_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2940000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2939200 ) ( 5825000 2961740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 2953740 ) ( 5833000 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 2953740 ) ( 5417790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 3049740 ) ( 5417790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5265000 3057740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2939200 ) ( 5825000 2970540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2966540 ) ( 5829000 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2966540 ) ( 5462590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3030540 ) ( 5462590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3026540 ) ( 5334590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3035000 ) ( 5338590 3035000 ) ; - p_bsg_tag_clk_o ( PIN p_bsg_tag_clk_o ) ( BUMP_3_10 PAD ) ( u_bsg_tag_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3830000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3809740 ) ( 175000 3830800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3817740 ) ( 233790 3817740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3785740 ) ( 233790 3817740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3785740 ) ( 585790 3785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3753740 ) ( 585790 3785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3753740 ) ( 1193790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 3689740 ) ( 1193790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 3689740 ) ( 1425790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 3667000 ) ( 1417790 3697740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 3667000 ) ( 1417395 3683000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3830000 ) ( 202590 3830000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3826000 ) ( 198590 3834540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3830540 ) ( 214590 3830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 3750540 ) ( 214590 3830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 3750540 ) ( 550590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 3734540 ) ( 550590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 3734540 ) ( 1174590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3670540 ) ( 1174590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3670540 ) ( 1370590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3666540 ) ( 1366590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3675000 ) ( 1425000 3675000 ) ; - p_bsg_tag_data_i ( PIN p_bsg_tag_data_i ) ( BUMP_12_8 PAD ) ( u_bsg_tag_data_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3120000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 5817000 3113270 ) ( 5833000 3113270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3113740 ) ( 5833000 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3113740 ) ( 5705790 3145740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 3145740 ) ( 5705790 3145740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 3113740 ) ( 5129790 3145740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 3113740 ) ( 5097790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 3049740 ) ( 4521790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 3049740 ) ( 4457790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4305000 3057740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3120000 ) ( 5825800 3120000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3106540 ) ( 5798590 3124000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3110540 ) ( 5802590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 3094540 ) ( 5190590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3094540 ) ( 5174590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 3030540 ) ( 4566590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3030540 ) ( 4502590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3026540 ) ( 4374590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3035000 ) ( 4378590 3035000 ) ; - p_bsg_tag_data_o ( PIN p_bsg_tag_data_o ) ( BUMP_2_10 PAD ) ( u_bsg_tag_data_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3650000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3649200 ) ( 175000 3665740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3657740 ) ( 201790 3657740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3593740 ) ( 201790 3657740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3593740 ) ( 841790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 3593740 ) ( 937790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 3689740 ) ( 1105790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 3667000 ) ( 1097790 3697740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 3667000 ) ( 1097395 3683000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3650000 ) ( 202590 3650000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3634540 ) ( 198590 3654000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3638540 ) ( 214590 3638540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3606540 ) ( 214590 3638540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3606540 ) ( 854590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3606540 ) ( 918590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 3670540 ) ( 1050590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3666540 ) ( 1046590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3675000 ) ( 1105000 3675000 ) ; - p_bsg_tag_en_i ( PIN p_bsg_tag_en_i ) ( BUMP_13_9 PAD ) ( u_bsg_tag_en_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3200000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3199200 ) ( 5825000 3217740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3209740 ) ( 5833000 3209740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3209740 ) ( 5737790 3241740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 3241740 ) ( 5737790 3241740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 3241740 ) ( 5449790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 3273740 ) ( 5449790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 3273740 ) ( 4777790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 3369740 ) ( 4777790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4625000 3377740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3200000 ) ( 5825800 3200000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3196000 ) ( 5798590 3206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3206540 ) ( 5734590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3270540 ) ( 5734590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3270540 ) ( 5494590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3286540 ) ( 5494590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3286540 ) ( 4822590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3350540 ) ( 4822590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3346540 ) ( 4694590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3355000 ) ( 4698590 3355000 ) ; - p_ci2_0_o ( PIN p_ci2_0_o ) ( BUMP_11_16 PAD ) ( u_ci2_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4030000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4029200 5825000 ) ( 4049790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4041790 5769740 ) ( 4041790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 5705740 ) ( 4041790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 5601740 ) ( 3977790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3969790 5609740 ) ( 3993000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4030000 5794540 ) ( 4030000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4018590 5798540 ) ( 4034000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4022590 5782540 ) ( 4022590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5750540 ) ( 4022590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5650540 ) ( 3990590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5654540 ) ( 3994590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5595000 ) ( 3985000 5658540 ) ; - p_ci2_1_o ( PIN p_ci2_1_o ) ( BUMP_11_15 PAD ) ( u_ci2_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4090000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4089200 5825000 ) ( 4113790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4105790 5769740 ) ( 4105790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 5737740 ) ( 4105790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 5545740 ) ( 4073790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 5449740 ) ( 4073790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 5281740 ) ( 3977790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3969790 5289740 ) ( 3993000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4090000 5794540 ) ( 4090000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4082590 5798540 ) ( 4094000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 5782540 ) ( 4086590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5750540 ) ( 4086590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 5526540 ) ( 4054590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5462540 ) ( 4054590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 5330540 ) ( 3990590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 5334540 ) ( 3994590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 5275000 ) ( 3985000 5338540 ) ; - p_ci2_2_o ( PIN p_ci2_2_o ) ( BUMP_12_14 PAD ) ( u_ci2_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4250000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4249200 5825000 ) ( 4273790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4265790 5737740 ) ( 4265790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 5705740 ) ( 4265790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4233790 5193740 ) ( 4233790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 5129740 ) ( 4233790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 4961740 ) ( 4297790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4289790 4969740 ) ( 4313000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4250000 5794540 ) ( 4250000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4242590 5798540 ) ( 4254000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4246590 5206540 ) ( 4246590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5158540 ) ( 4246590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5010540 ) ( 4294590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5014540 ) ( 4309000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4955000 ) ( 4305000 5018540 ) ; - p_ci2_3_o ( PIN p_ci2_3_o ) ( BUMP_12_16 PAD ) ( u_ci2_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4300000 5825000 ) via9_0 - NEW metal10 11010 + SHAPE IOWIRE ( 4295295 5817000 ) ( 4295295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 5601740 ) ( 4297790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4289790 5609740 ) ( 4313000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4300000 5794540 ) ( 4300000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5798540 ) ( 4304000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4294590 5650540 ) ( 4294590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4290590 5654540 ) ( 4309000 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5595000 ) ( 4305000 5658540 ) ; - p_ci2_4_o ( PIN p_ci2_4_o ) ( BUMP_12_15 PAD ) ( u_ci2_4_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4410000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4409200 5825000 ) ( 4433790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4425790 5769740 ) ( 4425790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4393790 5737740 ) ( 4425790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4393790 5545740 ) ( 4393790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 5449740 ) ( 4393790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 5281740 ) ( 4297790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4289790 5289740 ) ( 4313000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4410000 5794540 ) ( 4410000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4402590 5798540 ) ( 4414000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4406590 5782540 ) ( 4406590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5750540 ) ( 4406590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5526540 ) ( 4374590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5462540 ) ( 4374590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 5330540 ) ( 4310590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 5334540 ) ( 4314590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 5275000 ) ( 4305000 5338540 ) ; - p_ci2_5_o ( PIN p_ci2_5_o ) ( BUMP_14_15 PAD ) ( u_ci2_5_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5040000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 5033295 5817000 ) ( 5033295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5033790 5545740 ) ( 5033790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 5449740 ) ( 5033790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 5281740 ) ( 4937790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4929790 5289740 ) ( 4953000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 5825000 ) ( 5040800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 5526540 ) ( 5014590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5462540 ) ( 5014590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4950590 5330540 ) ( 4950590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4941000 5334540 ) ( 4954590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 5275000 ) ( 4945000 5338540 ) ; - p_ci2_6_o ( PIN p_ci2_6_o ) ( BUMP_15_16 PAD ) ( u_ci2_6_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5300000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5281790 5825000 ) ( 5300800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5289790 5737740 ) ( 5289790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257790 5705740 ) ( 5289790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257790 5601740 ) ( 5257790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5249790 5609740 ) ( 5273000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5282590 5825000 ) ( 5300800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5286590 5798540 ) ( 5286590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5782540 ) ( 5286590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5270590 5650540 ) ( 5270590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5261000 5654540 ) ( 5274590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 5595000 ) ( 5265000 5658540 ) ; - p_ci2_7_o ( PIN p_ci2_7_o ) ( BUMP_16_15 PAD ) ( u_ci2_7_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 5240000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 5217740 ) ( 5825000 5240800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 5225740 ) ( 5833000 5225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 5225740 ) ( 5673790 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 5289740 ) ( 5673790 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5585000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 5239200 ) ( 5825000 5258540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 5254540 ) ( 5829000 5254540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 5254540 ) ( 5782590 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 5270540 ) ( 5782590 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 5266540 ) ( 5654590 5279000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 5275000 ) ( 5658590 5275000 ) ; - p_ci2_8_o ( PIN p_ci2_8_o ) ( BUMP_16_14 PAD ) ( u_ci2_8_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4930000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4929200 ) ( 5825000 4945740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 4937740 ) ( 5833000 4937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 4937740 ) ( 5737790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 4969740 ) ( 5737790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5585000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 4929200 ) ( 5825000 4954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 4950540 ) ( 5829000 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 4946540 ) ( 5654590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 4955000 ) ( 5658590 4955000 ) ; - p_ci2_clk_o ( PIN p_ci2_clk_o ) ( BUMP_12_13 PAD ) ( u_ci2_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4470000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4449790 5825000 ) ( 4470800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 5737740 ) ( 4457790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4425790 5705740 ) ( 4457790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4425790 5513740 ) ( 4425790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4393790 5481740 ) ( 4425790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4393790 4905740 ) ( 4393790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 4809740 ) ( 4393790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297790 4641740 ) ( 4297790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4289790 4649740 ) ( 4313000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4470000 5794540 ) ( 4470000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4466000 5798540 ) ( 4474590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4470590 5782540 ) ( 4470590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5702540 ) ( 4470590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4390590 5510540 ) ( 4390590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 5494540 ) ( 4390590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 4886540 ) ( 4374590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4822540 ) ( 4374590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4310590 4690540 ) ( 4310590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4301000 4694540 ) ( 4314590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 4635000 ) ( 4305000 4698540 ) ; - p_ci2_tkn_i ( PIN p_ci2_tkn_i ) ( BUMP_13_15 PAD ) ( u_ci2_tkn_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4720000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 4713295 5817000 ) ( 4713295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4713790 5545740 ) ( 4713790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 5449740 ) ( 4713790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 5281740 ) ( 4617790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4609790 5289740 ) ( 4633000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 5825000 ) ( 4720800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5526540 ) ( 4694590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5462540 ) ( 4694590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 5330540 ) ( 4630590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 5334540 ) ( 4634590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 5275000 ) ( 4625000 5338540 ) ; - p_ci2_v_o ( PIN p_ci2_v_o ) ( BUMP_13_13 PAD ) ( u_ci2_v_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4780000 5825000 ) via9_0 - NEW metal10 11010 + SHAPE IOWIRE ( 4775295 5817000 ) ( 4775295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4777790 5737740 ) ( 4777790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4745790 5705740 ) ( 4777790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4745790 5513740 ) ( 4745790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4713790 5481740 ) ( 4745790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4713790 4905740 ) ( 4713790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 4809740 ) ( 4713790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 4641740 ) ( 4617790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4609790 4649740 ) ( 4633000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4780000 5794540 ) ( 4780000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4774590 5798540 ) ( 4784000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5734540 ) ( 4774590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4710590 5510540 ) ( 4710590 5734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 5494540 ) ( 4710590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4886540 ) ( 4694590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4822540 ) ( 4694590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 4690540 ) ( 4630590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 4694540 ) ( 4634590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4635000 ) ( 4625000 4698540 ) ; - p_ci_0_i ( PIN p_ci_0_i ) ( BUMP_15_14 PAD ) ( u_ci_0_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4860000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4859200 ) ( 5825000 4881740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 4873740 ) ( 5833000 4873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 4873740 ) ( 5417790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 4969740 ) ( 5417790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5265000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 4859200 ) ( 5825000 4890540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4886540 ) ( 5829000 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4886540 ) ( 5462590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4950540 ) ( 5462590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4946540 ) ( 5334590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4955000 ) ( 5338590 4955000 ) ; - p_ci_1_i ( PIN p_ci_1_i ) ( BUMP_15_13 PAD ) ( u_ci_1_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4540000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4539200 ) ( 5825000 4561740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 4553740 ) ( 5833000 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 4553740 ) ( 5417790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 4649740 ) ( 5417790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5265000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 4539200 ) ( 5825000 4570540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4566540 ) ( 5829000 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4566540 ) ( 5462590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4630540 ) ( 5462590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4626540 ) ( 5334590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4635000 ) ( 5338590 4635000 ) ; - p_ci_2_i ( PIN p_ci_2_i ) ( BUMP_15_12 PAD ) ( u_ci_2_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4220000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4219200 ) ( 5825000 4241740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 4233740 ) ( 5833000 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 4233740 ) ( 5417790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 4329740 ) ( 5417790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5265000 4337740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 4219200 ) ( 5825000 4250540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4246540 ) ( 5829000 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 4246540 ) ( 5462590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 4310540 ) ( 5462590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 4306540 ) ( 5334590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 4315000 ) ( 5338590 4315000 ) ; - p_ci_3_i ( PIN p_ci_3_i ) ( BUMP_13_12 PAD ) ( u_ci_3_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4160000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4159200 ) ( 5825000 4177740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 4169740 ) ( 5833000 4169740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 4169740 ) ( 5737790 4201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 4201740 ) ( 5737790 4201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 4201740 ) ( 5449790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 4233740 ) ( 5449790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 4233740 ) ( 4777790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 4329740 ) ( 4777790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4625000 4337740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4160000 ) ( 5825800 4160000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4156000 ) ( 5798590 4166540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4166540 ) ( 5734590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5734590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 4230540 ) ( 5494590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 4246540 ) ( 5494590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 4246540 ) ( 4822590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 4310540 ) ( 4822590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 4306540 ) ( 4694590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 4315000 ) ( 4698590 4315000 ) ; - p_ci_4_i ( PIN p_ci_4_i ) ( BUMP_12_11 PAD ) ( u_ci_4_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4080000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 5817000 4073270 ) ( 5833000 4073270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4073740 ) ( 5833000 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4073740 ) ( 5705790 4105740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 4105740 ) ( 5705790 4105740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 4073740 ) ( 5129790 4105740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 4073740 ) ( 5097790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 4009740 ) ( 4521790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 4009740 ) ( 4457790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4305000 4017740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4080000 ) ( 5825800 4080000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4066540 ) ( 5798590 4084000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 4070540 ) ( 5802590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 4054540 ) ( 5190590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 4054540 ) ( 5174590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 3990540 ) ( 4566590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3990540 ) ( 4502590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3986540 ) ( 4374590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3995000 ) ( 4378590 3995000 ) ; - p_ci_5_i ( PIN p_ci_5_i ) ( BUMP_14_10 PAD ) ( u_ci_5_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3700000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3681740 ) ( 5825000 3700800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3689740 ) ( 5833000 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3689740 ) ( 5673790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 3753740 ) ( 5673790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 3689740 ) ( 5161790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 3689740 ) ( 5097790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 4945000 3697740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3700000 ) ( 5825800 3700000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3696000 ) ( 5798590 3706540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3702540 ) ( 5802590 3702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3702540 ) ( 5750590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 3734540 ) ( 5750590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 3670540 ) ( 5206590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3670540 ) ( 5142590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3666540 ) ( 5014590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3675000 ) ( 5018590 3675000 ) ; - p_ci_6_i ( PIN p_ci_6_i ) ( BUMP_16_10 PAD ) ( u_ci_6_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3640000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3617740 ) ( 5825000 3640800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3625740 ) ( 5833000 3625740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 3625740 ) ( 5673790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 3689740 ) ( 5673790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5585000 3697740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3639200 ) ( 5825000 3658540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3654540 ) ( 5829000 3654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3654540 ) ( 5782590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 3670540 ) ( 5782590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 3666540 ) ( 5654590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 3675000 ) ( 5658590 3675000 ) ; - p_ci_7_i ( PIN p_ci_7_i ) ( BUMP_12_9 PAD ) ( u_ci_7_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3450000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3449200 ) ( 5825000 3473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 3465740 ) ( 5833000 3465740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 3433740 ) ( 5129790 3465740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 3433740 ) ( 5097790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 3369740 ) ( 4521790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 3369740 ) ( 4457790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4305000 3377740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3450000 ) ( 5825800 3450000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3442540 ) ( 5798590 3454000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3446540 ) ( 5802590 3446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3430540 ) ( 5782590 3446540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3430540 ) ( 5766590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 3414540 ) ( 5190590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3414540 ) ( 5174590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 3350540 ) ( 4566590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3350540 ) ( 4502590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3346540 ) ( 4374590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3355000 ) ( 4378590 3355000 ) ; - p_ci_8_i ( PIN p_ci_8_i ) ( BUMP_15_9 PAD ) ( u_ci_8_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3270000 ) via9_0 - NEW metal10 12540 + SHAPE IOWIRE ( 5817000 3275470 ) ( 5833000 3275470 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 3273740 ) ( 5833000 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 3273740 ) ( 5417790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 3369740 ) ( 5417790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5265000 3377740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 3269200 ) ( 5825000 3290540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3286540 ) ( 5829000 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 3286540 ) ( 5462590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 3350540 ) ( 5462590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 3346540 ) ( 5334590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 3355000 ) ( 5338590 3355000 ) ; - p_ci_clk_i ( PIN p_ci_clk_i ) ( BUMP_14_11 PAD ) ( u_ci_clk_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 4020000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 4001740 ) ( 5825000 4020800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4009740 ) ( 5833000 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 4009740 ) ( 5673790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 4073740 ) ( 5673790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 4009740 ) ( 5161790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 4009740 ) ( 5097790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 4945000 4017740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 4020000 ) ( 5825800 4020000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 4016000 ) ( 5798590 4026540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4022540 ) ( 5802590 4022540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 4022540 ) ( 5750590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 4054540 ) ( 5750590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 3990540 ) ( 5206590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 3990540 ) ( 5142590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 3986540 ) ( 5014590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 3995000 ) ( 5018590 3995000 ) ; - p_ci_tkn_o ( PIN p_ci_tkn_o ) ( BUMP_13_11 PAD ) ( u_ci_tkn_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3840000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3839200 ) ( 5825000 3857740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3849740 ) ( 5833000 3849740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 3849740 ) ( 5737790 3881740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 3881740 ) ( 5737790 3881740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 3881740 ) ( 5449790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 3913740 ) ( 5449790 3913740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 3913740 ) ( 4777790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 4009740 ) ( 4777790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4625000 4017740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3840000 ) ( 5825800 3840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3836000 ) ( 5798590 3846540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3846540 ) ( 5734590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3910540 ) ( 5734590 3910540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 3910540 ) ( 5494590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3926540 ) ( 5494590 3926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 3926540 ) ( 4822590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3990540 ) ( 4822590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3986540 ) ( 4694590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3995000 ) ( 4698590 3995000 ) ; - p_ci_v_i ( PIN p_ci_v_i ) ( BUMP_12_10 PAD ) ( u_ci_v_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 3770000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 3769200 ) ( 5825000 3793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 3785740 ) ( 5833000 3785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 3753740 ) ( 5129790 3785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 3753740 ) ( 5097790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 3689740 ) ( 4521790 3753740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 3689740 ) ( 4457790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4305000 3697740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 3770000 ) ( 5825800 3770000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 3762540 ) ( 5798590 3774000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 3766540 ) ( 5802590 3766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5766590 3750540 ) ( 5782590 3766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 3750540 ) ( 5766590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 3734540 ) ( 5190590 3750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 3734540 ) ( 5174590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 3670540 ) ( 4566590 3734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 3670540 ) ( 4502590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 3666540 ) ( 4374590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 3675000 ) ( 4378590 3675000 ) ; - p_clk_A_i ( PIN p_clk_A_i ) ( BUMP_7_13 PAD ) ( u_clk_A_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2860000 5825000 ) via9_0 - NEW metal10 11010 + SHAPE IOWIRE ( 2855295 5817000 ) ( 2855295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2857790 5737740 ) ( 2857790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2825790 5705740 ) ( 2857790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2825790 5513740 ) ( 2825790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 5481740 ) ( 2825790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 4905740 ) ( 2793790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 4809740 ) ( 2793790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 4641740 ) ( 2697790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2689790 4649740 ) ( 2713000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2860000 5794540 ) ( 2860000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2854590 5798540 ) ( 2864000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 5734540 ) ( 2854590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 5510540 ) ( 2790590 5734540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 5494540 ) ( 2790590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 4886540 ) ( 2774590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4822540 ) ( 2774590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 4690540 ) ( 2710590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 4694540 ) ( 2714590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 4635000 ) ( 2705000 4698540 ) ; - p_clk_B_i ( PIN p_clk_B_i ) ( BUMP_8_12 PAD ) ( u_clk_B_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2940000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2939200 5825000 ) ( 2961790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 5769740 ) ( 2953790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2921790 5737740 ) ( 2953790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2921790 5161740 ) ( 2921790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 5129740 ) ( 2921790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2953790 4553740 ) ( 2953790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 4489740 ) ( 2953790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 4321740 ) ( 3017790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3009790 4329740 ) ( 3033000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4337740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2940000 5794540 ) ( 2940000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2936000 5798540 ) ( 2954590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2950590 5190540 ) ( 2950590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 5174540 ) ( 2950590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2966590 4566540 ) ( 2966590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 4518540 ) ( 2966590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 4370540 ) ( 3014590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 4374540 ) ( 3029000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4315000 ) ( 3025000 4378540 ) ; - p_clk_C_i ( PIN p_clk_C_i ) ( BUMP_8_16 PAD ) ( u_clk_C_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3070000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3069200 5825000 ) ( 3089790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3081790 5769740 ) ( 3081790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 5705740 ) ( 3081790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 5601740 ) ( 3017790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3009790 5609740 ) ( 3033000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3070000 5794540 ) ( 3070000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3058590 5798540 ) ( 3074000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3062590 5782540 ) ( 3062590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5750540 ) ( 3062590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 5650540 ) ( 3030590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 5654540 ) ( 3034590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 5595000 ) ( 3025000 5658540 ) ; - p_clk_async_reset_i ( PIN p_clk_async_reset_i ) ( BUMP_9_14 PAD ) ( u_clk_async_reset_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3340000 5825000 ) via9_0 - NEW metal10 11010 + SHAPE IOWIRE ( 3335295 5817000 ) ( 3335295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 5769740 ) ( 3337790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 5705740 ) ( 3337790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3273790 5193740 ) ( 3273790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 5129740 ) ( 3273790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 4961740 ) ( 3337790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3329790 4969740 ) ( 3353000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3340000 5794540 ) ( 3340000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5798540 ) ( 3344000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5750540 ) ( 3334590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3286590 5206540 ) ( 3286590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5158540 ) ( 3286590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 5010540 ) ( 3334590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 5014540 ) ( 3349000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4955000 ) ( 3345000 5018540 ) ; - p_clk_o ( PIN p_clk_o ) ( BUMP_8_13 PAD ) ( u_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3190000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3169790 5825000 ) ( 3190800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3177790 5737740 ) ( 3177790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3145790 5705740 ) ( 3177790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3145790 5513740 ) ( 3145790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 5481740 ) ( 3145790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 4905740 ) ( 3113790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 4809740 ) ( 3113790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 4641740 ) ( 3017790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3009790 4649740 ) ( 3033000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3190000 5794540 ) ( 3190000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3186000 5798540 ) ( 3194590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3190590 5782540 ) ( 3190590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 5702540 ) ( 3190590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 5510540 ) ( 3110590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 5494540 ) ( 3110590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 4886540 ) ( 3094590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4822540 ) ( 3094590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 4690540 ) ( 3030590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 4694540 ) ( 3034590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 4635000 ) ( 3025000 4698540 ) ; - p_co2_0_o ( PIN p_co2_0_o ) ( BUMP_0_11 PAD ) ( u_co2_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4020000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4001740 ) ( 175000 4020800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4009740 ) ( 465790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 3987000 ) ( 457790 4017740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 3987000 ) ( 457395 4003000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 4002540 ) ( 175000 4020800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4006540 ) ( 198590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3990540 ) ( 198590 4006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 3990540 ) ( 410590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 3986540 ) ( 406590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 3995000 ) ( 465000 3995000 ) ; - p_co2_1_o ( PIN p_co2_1_o ) ( BUMP_1_11 PAD ) ( u_co2_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4080000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 4073270 ) ( 183000 4073270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4073740 ) ( 553790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4009740 ) ( 553790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4009740 ) ( 785790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 3987000 ) ( 777790 4017740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 3987000 ) ( 777395 4003000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 4050540 ) ( 175000 4080800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4054540 ) ( 534590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3990540 ) ( 534590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3990540 ) ( 730590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3986540 ) ( 726590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3995000 ) ( 785000 3995000 ) ; - p_co2_2_o ( PIN p_co2_2_o ) ( BUMP_3_11 PAD ) ( u_co2_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4150000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4129740 ) ( 175000 4150800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4137740 ) ( 233790 4137740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4105740 ) ( 233790 4137740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4105740 ) ( 585790 4105740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4073740 ) ( 585790 4105740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4073740 ) ( 1193790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 4009740 ) ( 1193790 4073740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 4009740 ) ( 1425790 4009740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 3987000 ) ( 1417790 4017740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 3987000 ) ( 1417395 4003000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4150000 ) ( 202590 4150000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4146000 ) ( 198590 4154540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4150540 ) ( 214590 4150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 4070540 ) ( 214590 4150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 4070540 ) ( 550590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 4054540 ) ( 550590 4070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 4054540 ) ( 1174590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3990540 ) ( 1174590 4054540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3990540 ) ( 1370590 3990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3986540 ) ( 1366590 3999000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3995000 ) ( 1425000 3995000 ) ; - p_co2_3_o ( PIN p_co2_3_o ) ( BUMP_4_12 PAD ) ( u_co2_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4220000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 4219200 ) ( 175000 4241740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4233740 ) ( 201790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 4201740 ) ( 201790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 4201740 ) ( 873790 4201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 4201740 ) ( 905790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 4233740 ) ( 1481790 4233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 4233740 ) ( 1577790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 4329740 ) ( 1745790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 4307000 ) ( 1737790 4337740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 4307000 ) ( 1737395 4323000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4220000 ) ( 202590 4220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4216000 ) ( 198590 4234540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 4230540 ) ( 870590 4230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 4230540 ) ( 886590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 4246540 ) ( 1494590 4246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 4246540 ) ( 1558590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 4310540 ) ( 1690590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 4306540 ) ( 1686590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 4315000 ) ( 1745000 4315000 ) ; - p_co2_4_o ( PIN p_co2_4_o ) ( BUMP_1_12 PAD ) ( u_co2_4_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4400000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 4393270 ) ( 183000 4393270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4393740 ) ( 553790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4329740 ) ( 553790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4329740 ) ( 785790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 4307000 ) ( 777790 4337740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 4307000 ) ( 777395 4323000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 4370540 ) ( 175000 4400800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 4374540 ) ( 534590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 4310540 ) ( 534590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 4310540 ) ( 730590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4306540 ) ( 726590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4315000 ) ( 785000 4315000 ) ; - p_co2_5_o ( PIN p_co2_5_o ) ( BUMP_1_14 PAD ) ( u_co2_5_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 5040000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 5033270 ) ( 183000 5033270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 5033740 ) ( 553790 5033740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4969740 ) ( 553790 5033740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4969740 ) ( 785790 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 4947000 ) ( 777790 4977740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 4947000 ) ( 777395 4963000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 5010540 ) ( 175000 5040800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 5014540 ) ( 534590 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 4950540 ) ( 534590 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 4950540 ) ( 730590 4950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 4946540 ) ( 726590 4959000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 4955000 ) ( 785000 4955000 ) ; - p_co2_6_o ( PIN p_co2_6_o ) ( BUMP_0_15 PAD ) ( u_co2_6_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 5300000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 5281740 ) ( 175000 5300800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 5289740 ) ( 465790 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 5267000 ) ( 457790 5297740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 5267000 ) ( 457395 5283000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 5282540 ) ( 175000 5300800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 5286540 ) ( 198590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 5270540 ) ( 198590 5286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 5270540 ) ( 410590 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5266540 ) ( 406590 5279000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5275000 ) ( 465000 5275000 ) ; - p_co2_7_o ( PIN p_co2_7_o ) ( BUMP_1_15 PAD ) ( u_co2_7_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 5370000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 5369200 ) ( 175000 5393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 5385740 ) ( 201790 5385740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 5353740 ) ( 201790 5385740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 5353740 ) ( 553790 5353740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 5289740 ) ( 553790 5353740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 5289740 ) ( 785790 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 5267000 ) ( 777790 5297740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 5267000 ) ( 777395 5283000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 5370000 ) ( 202590 5370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 5362540 ) ( 198590 5374000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 5366540 ) ( 214590 5366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 5334540 ) ( 214590 5366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 5334540 ) ( 534590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 5270540 ) ( 534590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 5270540 ) ( 730590 5270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 5266540 ) ( 726590 5279000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 5275000 ) ( 785000 5275000 ) ; - p_co2_8_o ( PIN p_co2_8_o ) ( BUMP_0_16 PAD ) ( u_co2_8_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 5620000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 5601740 ) ( 175000 5620800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 5609740 ) ( 465790 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 5587000 ) ( 457790 5617740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 5587000 ) ( 457395 5603000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 5602540 ) ( 175000 5620800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 5606540 ) ( 198590 5606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 5590540 ) ( 198590 5606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 5590540 ) ( 410590 5590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 5586540 ) ( 406590 5599000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 5595000 ) ( 465000 5595000 ) ; - p_co2_clk_o ( PIN p_co2_clk_o ) ( BUMP_3_12 PAD ) ( u_co2_clk_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4460000 ) via9_0 - NEW metal10 11060 + SHAPE IOWIRE ( 167000 4455270 ) ( 183000 4455270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4457740 ) ( 233790 4457740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4425740 ) ( 233790 4457740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4425740 ) ( 585790 4425740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4393740 ) ( 585790 4425740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 4393740 ) ( 1193790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 4329740 ) ( 1193790 4393740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 4329740 ) ( 1425790 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 4307000 ) ( 1417790 4337740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 4307000 ) ( 1417395 4323000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4460000 ) ( 202590 4460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4454540 ) ( 198590 4464000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 4390540 ) ( 198590 4454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 4390540 ) ( 550590 4390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 4374540 ) ( 550590 4390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 4374540 ) ( 1174590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 4310540 ) ( 1174590 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 4310540 ) ( 1370590 4310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 4306540 ) ( 1366590 4319000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 4315000 ) ( 1425000 4315000 ) ; - p_co2_tkn_i ( PIN p_co2_tkn_i ) ( BUMP_2_13 PAD ) ( u_co2_tkn_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4620000 ) via9_0 - NEW metal10 11060 + SHAPE IOWIRE ( 167000 4615270 ) ( 183000 4615270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4617740 ) ( 201790 4617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4553740 ) ( 201790 4617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 4553740 ) ( 841790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 4553740 ) ( 937790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 4649740 ) ( 1105790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 4627000 ) ( 1097790 4657740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 4627000 ) ( 1097395 4643000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4620000 ) ( 202590 4620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 4614540 ) ( 198590 4624000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4566540 ) ( 198590 4614540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4566540 ) ( 854590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 4566540 ) ( 918590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 4630540 ) ( 1050590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 4626540 ) ( 1046590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 4635000 ) ( 1105000 4635000 ) ; - p_co2_v_o ( PIN p_co2_v_o ) ( BUMP_0_13 PAD ) ( u_co2_v_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 4680000 ) via9_0 - NEW metal10 10540 + SHAPE IOWIRE ( 167000 4684470 ) ( 183000 4684470 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 4681740 ) ( 201790 4681740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 4649740 ) ( 201790 4681740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 4649740 ) ( 465790 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 4627000 ) ( 457790 4657740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 4627000 ) ( 457395 4643000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 4680000 ) ( 202590 4680000 ) + NEW metal10 5460 + SHAPE IOWIRE ( 194590 4681270 ) ( 202590 4681270 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4630540 ) ( 198590 4678540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 4630540 ) ( 410590 4630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 4626540 ) ( 406590 4639000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 4635000 ) ( 465000 4635000 ) ; - p_co_0_i ( PIN p_co_0_i ) ( BUMP_2_15 PAD ) ( u_co_0_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1200000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 1193295 5817000 ) ( 1193295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1193790 5545740 ) ( 1193790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 5449740 ) ( 1193790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 5281740 ) ( 1097790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1089790 5289740 ) ( 1113000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1170590 5825000 ) ( 1200800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1174590 5526540 ) ( 1174590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5462540 ) ( 1174590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1110590 5330540 ) ( 1110590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1101000 5334540 ) ( 1114590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 5275000 ) ( 1105000 5338540 ) ; - p_co_1_i ( PIN p_co_1_i ) ( BUMP_3_14 PAD ) ( u_co_1_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1400000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1377790 5825000 ) ( 1400800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1385790 5737740 ) ( 1385790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1353790 5705740 ) ( 1385790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1353790 5193740 ) ( 1353790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 5129740 ) ( 1353790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 4961740 ) ( 1417790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1409790 4969740 ) ( 1433000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1378590 5825000 ) ( 1400800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1382590 5798540 ) ( 1382590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5782540 ) ( 1382590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 5206540 ) ( 1366590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 5158540 ) ( 1366590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 5010540 ) ( 1414590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 5014540 ) ( 1429000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 4955000 ) ( 1425000 5018540 ) ; - p_co_2_i ( PIN p_co_2_i ) ( BUMP_3_16 PAD ) ( u_co_2_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1470000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1469200 5825000 ) ( 1489790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 5769740 ) ( 1481790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 5705740 ) ( 1481790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 5601740 ) ( 1417790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1409790 5609740 ) ( 1433000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1470000 5794540 ) ( 1470000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1458590 5798540 ) ( 1474000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1462590 5782540 ) ( 1462590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5750540 ) ( 1462590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 5650540 ) ( 1430590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 5654540 ) ( 1434590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 5595000 ) ( 1425000 5658540 ) ; - p_co_3_i ( PIN p_co_3_i ) ( BUMP_4_16 PAD ) ( u_co_3_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1780000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1761790 5825000 ) ( 1780800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1769790 5737740 ) ( 1769790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 5705740 ) ( 1769790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 5601740 ) ( 1737790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1729790 5609740 ) ( 1753000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1762590 5825000 ) ( 1780800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1766590 5798540 ) ( 1766590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5782540 ) ( 1766590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 5650540 ) ( 1750590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 5654540 ) ( 1754590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 5595000 ) ( 1745000 5658540 ) ; - p_co_4_i ( PIN p_co_4_i ) ( BUMP_5_12 PAD ) ( u_co_4_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1980000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1979200 5825000 ) ( 2001790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 5769740 ) ( 1993790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1961790 5737740 ) ( 1993790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1961790 5161740 ) ( 1961790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 5129740 ) ( 1961790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 4553740 ) ( 1993790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 4489740 ) ( 1993790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 4321740 ) ( 2057790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2049790 4329740 ) ( 2073000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4337740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1980000 5794540 ) ( 1980000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1976000 5798540 ) ( 1994590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 5190540 ) ( 1990590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5174540 ) ( 1990590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 4566540 ) ( 2006590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 4518540 ) ( 2006590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 4370540 ) ( 2054590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 4374540 ) ( 2069000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4315000 ) ( 2065000 4378540 ) ; - p_co_5_i ( PIN p_co_5_i ) ( BUMP_6_14 PAD ) ( u_co_5_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2360000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2337790 5825000 ) ( 2360800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2345790 5737740 ) ( 2345790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 5705740 ) ( 2345790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2313790 5193740 ) ( 2313790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 5129740 ) ( 2313790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 4961740 ) ( 2377790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2369790 4969740 ) ( 2393000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2338590 5825000 ) ( 2360800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2342590 5798540 ) ( 2342590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5782540 ) ( 2342590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2326590 5206540 ) ( 2326590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 5158540 ) ( 2326590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 5010540 ) ( 2374590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 5014540 ) ( 2389000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4955000 ) ( 2385000 5018540 ) ; - p_co_6_i ( PIN p_co_6_i ) ( BUMP_6_16 PAD ) ( u_co_6_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2420000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2401790 5825000 ) ( 2420800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2409790 5737740 ) ( 2409790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 5705740 ) ( 2409790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 5601740 ) ( 2377790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2369790 5609740 ) ( 2393000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2402590 5825000 ) ( 2420800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2406590 5798540 ) ( 2406590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5782540 ) ( 2406590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5650540 ) ( 2390590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5654540 ) ( 2394590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5595000 ) ( 2385000 5658540 ) ; - p_co_7_i ( PIN p_co_7_i ) ( BUMP_6_15 PAD ) ( u_co_7_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2490000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2489200 5825000 ) ( 2513790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2505790 5769740 ) ( 2505790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 5737740 ) ( 2505790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 5545740 ) ( 2473790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 5449740 ) ( 2473790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 5281740 ) ( 2377790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2369790 5289740 ) ( 2393000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2490000 5794540 ) ( 2490000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2482590 5798540 ) ( 2494000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2486590 5782540 ) ( 2486590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5750540 ) ( 2486590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5526540 ) ( 2454590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5462540 ) ( 2454590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 5330540 ) ( 2390590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 5334540 ) ( 2394590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 5275000 ) ( 2385000 5338540 ) ; - p_co_8_i ( PIN p_co_8_i ) ( BUMP_6_13 PAD ) ( u_co_8_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2550000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2529790 5825000 ) ( 2550800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2537790 5737740 ) ( 2537790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2505790 5705740 ) ( 2537790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2505790 5513740 ) ( 2505790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 5481740 ) ( 2505790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 4905740 ) ( 2473790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 4809740 ) ( 2473790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 4641740 ) ( 2377790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2369790 4649740 ) ( 2393000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2550000 5794540 ) ( 2550000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2546000 5798540 ) ( 2554590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2550590 5782540 ) ( 2550590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5702540 ) ( 2550590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2470590 5510540 ) ( 2470590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 5494540 ) ( 2470590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 4886540 ) ( 2454590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4822540 ) ( 2454590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 4690540 ) ( 2390590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 4694540 ) ( 2394590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 4635000 ) ( 2385000 4698540 ) ; - p_co_clk_i ( PIN p_co_clk_i ) ( BUMP_5_14 PAD ) ( u_co_clk_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2040000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2017790 5825000 ) ( 2040800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2025790 5737740 ) ( 2025790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 5705740 ) ( 2025790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 5193740 ) ( 1993790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 5129740 ) ( 1993790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 4961740 ) ( 2057790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2049790 4969740 ) ( 2073000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2018590 5825000 ) ( 2040800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2022590 5798540 ) ( 2022590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5782540 ) ( 2022590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 5206540 ) ( 2006590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 5158540 ) ( 2006590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 5010540 ) ( 2054590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 5014540 ) ( 2069000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 4955000 ) ( 2065000 5018540 ) ; - p_co_tkn_o ( PIN p_co_tkn_o ) ( BUMP_5_16 PAD ) ( u_co_tkn_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2110000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2109200 5825000 ) ( 2129790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2121790 5769740 ) ( 2121790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 5705740 ) ( 2121790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 5601740 ) ( 2057790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2049790 5609740 ) ( 2073000 5609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5617740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2110000 5794540 ) ( 2110000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2098590 5798540 ) ( 2114000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 5782540 ) ( 2102590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5750540 ) ( 2102590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5650540 ) ( 2070590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5654540 ) ( 2074590 5654540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5595000 ) ( 2065000 5658540 ) ; - p_co_v_i ( PIN p_co_v_i ) ( BUMP_5_15 PAD ) ( u_co_v_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2170000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2169200 5825000 ) ( 2193790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2185790 5769740 ) ( 2185790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 5737740 ) ( 2185790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 5545740 ) ( 2153790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 5449740 ) ( 2153790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 5281740 ) ( 2057790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2049790 5289740 ) ( 2073000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2170000 5794540 ) ( 2170000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2162590 5798540 ) ( 2174000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2166590 5782540 ) ( 2166590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5750540 ) ( 2166590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 5526540 ) ( 2134590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5462540 ) ( 2134590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 5330540 ) ( 2070590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 5334540 ) ( 2074590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 5275000 ) ( 2065000 5338540 ) ; - p_core_async_reset_i ( PIN p_core_async_reset_i ) ( BUMP_11_14 PAD ) ( u_core_async_reset_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3960000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3937790 5825000 ) ( 3960800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3945790 5737740 ) ( 3945790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 5705740 ) ( 3945790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 5193740 ) ( 3913790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 5129740 ) ( 3913790 5193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 4961740 ) ( 3977790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3969790 4969740 ) ( 3993000 4969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 4977740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3938590 5825000 ) ( 3960800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3942590 5798540 ) ( 3942590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5782540 ) ( 3942590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 5206540 ) ( 3926590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 5158540 ) ( 3926590 5206540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 5010540 ) ( 3974590 5158540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 5014540 ) ( 3989000 5014540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 4955000 ) ( 3985000 5018540 ) ; - p_ddr_addr_0_o ( PIN p_ddr_addr_0_o ) ( BUMP_9_0 PAD ) ( u_ddr_addr_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3320000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3297790 175000 ) ( 3320800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3305790 167000 ) ( 3305790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3305790 233740 ) ( 3337790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 265740 ) ( 3337790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3337395 467000 ) ( 3337395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3320000 174200 ) ( 3320000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3314590 198540 ) ( 3324000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3318590 194540 ) ( 3318590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3318590 214540 ) ( 3334590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3334590 230540 ) ( 3334590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3330590 406540 ) ( 3349000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 402540 ) ( 3345000 475000 ) ; - p_ddr_addr_10_o ( PIN p_ddr_addr_10_o ) ( BUMP_5_4 PAD ) ( u_ddr_addr_10_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2160000 175000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 2153295 167000 ) ( 2153295 183000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 167000 ) ( 2153790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 233740 ) ( 2185790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2185790 265740 ) ( 2185790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2185790 905740 ) ( 2153790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 937740 ) ( 2153790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 1513740 ) ( 2057790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 1609740 ) ( 2057790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2057395 1747000 ) ( 2057395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2160000 174200 ) ( 2160000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2146590 198540 ) ( 2164000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 194540 ) ( 2150590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2150590 870540 ) ( 2134590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 886540 ) ( 2134590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 1494540 ) ( 2070590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 1558540 ) ( 2070590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1686540 ) ( 2074590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1682540 ) ( 2065000 1755000 ) ; - p_ddr_addr_11_o ( PIN p_ddr_addr_11_o ) ( BUMP_5_2 PAD ) ( u_ddr_addr_11_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2100000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2081790 175000 ) ( 2100800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2089790 167000 ) ( 2089790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2089790 233740 ) ( 2153790 297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 297740 ) ( 2153790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2153790 873740 ) ( 2057790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 969740 ) ( 2057790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2057395 1107000 ) ( 2057395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2100000 174200 ) ( 2100000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2096000 198540 ) ( 2106590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 194540 ) ( 2102590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2102590 214540 ) ( 2134590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 246540 ) ( 2134590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2134590 854540 ) ( 2070590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2070590 918540 ) ( 2070590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2061000 1046540 ) ( 2074590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1042540 ) ( 2065000 1115000 ) ; - p_ddr_addr_12_o ( PIN p_ddr_addr_12_o ) ( BUMP_5_3 PAD ) ( u_ddr_addr_12_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1920000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1919200 175000 ) ( 1937790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1929790 167000 ) ( 1929790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1929790 201740 ) ( 1961790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1961790 233740 ) ( 1961790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1961790 617740 ) ( 1993790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 649740 ) ( 1993790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1993790 1225740 ) ( 2057790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2057790 1289740 ) ( 2057790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2057395 1427000 ) ( 2057395 1443000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1920000 174200 ) ( 1920000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1916000 198540 ) ( 1926590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1926590 198540 ) ( 1990590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 262540 ) ( 1990590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1990590 550540 ) ( 2006590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 566540 ) ( 2006590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2006590 1174540 ) ( 2054590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2054590 1222540 ) ( 2054590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2050590 1366540 ) ( 2069000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2065000 1362540 ) ( 2065000 1435000 ) ; - p_ddr_addr_13_o ( PIN p_ddr_addr_13_o ) ( BUMP_4_4 PAD ) ( u_ddr_addr_13_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1850000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1849200 175000 ) ( 1873790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1865790 167000 ) ( 1865790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1865790 905740 ) ( 1833790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 937740 ) ( 1833790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 1513740 ) ( 1737790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 1609740 ) ( 1737790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 1747000 ) ( 1737395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1850000 174200 ) ( 1850000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1842590 198540 ) ( 1854000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1846590 194540 ) ( 1846590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1846590 214540 ) ( 1830590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 230540 ) ( 1830590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1830590 870540 ) ( 1814590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 886540 ) ( 1814590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 1494540 ) ( 1750590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 1558540 ) ( 1750590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1686540 ) ( 1754590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1682540 ) ( 1745000 1755000 ) ; - p_ddr_addr_14_o ( PIN p_ddr_addr_14_o ) ( BUMP_4_2 PAD ) ( u_ddr_addr_14_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1780000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1761790 175000 ) ( 1780800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1769790 167000 ) ( 1769790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1769790 233740 ) ( 1833790 297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 297740 ) ( 1833790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1833790 873740 ) ( 1737790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 969740 ) ( 1737790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 1107000 ) ( 1737395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1780000 174200 ) ( 1780000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1776000 198540 ) ( 1786590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1782590 194540 ) ( 1782590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1782590 214540 ) ( 1814590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 246540 ) ( 1814590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1814590 854540 ) ( 1750590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1750590 918540 ) ( 1750590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1741000 1046540 ) ( 1754590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 1042540 ) ( 1745000 1115000 ) ; - p_ddr_addr_15_o ( PIN p_ddr_addr_15_o ) ( BUMP_4_0 PAD ) ( u_ddr_addr_15_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1720000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1697790 175000 ) ( 1720800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1705790 167000 ) ( 1705790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1705790 233740 ) ( 1737790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 265740 ) ( 1737790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 467000 ) ( 1737395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1720000 174200 ) ( 1720000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1714590 198540 ) ( 1724000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1718590 194540 ) ( 1718590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1718590 214540 ) ( 1734590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1734590 230540 ) ( 1734590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1730590 406540 ) ( 1749000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1745000 402540 ) ( 1745000 475000 ) ; - p_ddr_addr_1_o ( PIN p_ddr_addr_1_o ) ( BUMP_8_4 PAD ) ( u_ddr_addr_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3130000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3129200 175000 ) ( 3153790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3145790 167000 ) ( 3145790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3145790 905740 ) ( 3113790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 937740 ) ( 3113790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 1513740 ) ( 3017790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 1609740 ) ( 3017790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3017395 1747000 ) ( 3017395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3130000 174200 ) ( 3130000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3122590 198540 ) ( 3134000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3126590 194540 ) ( 3126590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3126590 214540 ) ( 3110590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 230540 ) ( 3110590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3110590 870540 ) ( 3094590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 886540 ) ( 3094590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 1494540 ) ( 3030590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 1558540 ) ( 3030590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1686540 ) ( 3034590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1682540 ) ( 3025000 1755000 ) ; - p_ddr_addr_2_o ( PIN p_ddr_addr_2_o ) ( BUMP_8_2 PAD ) ( u_ddr_addr_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3060000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3041790 175000 ) ( 3060800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3049790 167000 ) ( 3049790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3049790 233740 ) ( 3113790 297740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 297740 ) ( 3113790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3113790 873740 ) ( 3017790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 969740 ) ( 3017790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3017395 1107000 ) ( 3017395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3060000 174200 ) ( 3060000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3056000 198540 ) ( 3066590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3062590 194540 ) ( 3062590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3062590 214540 ) ( 3094590 246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 246540 ) ( 3094590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3094590 854540 ) ( 3030590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3030590 918540 ) ( 3030590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3021000 1046540 ) ( 3034590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 1042540 ) ( 3025000 1115000 ) ; - p_ddr_addr_3_o ( PIN p_ddr_addr_3_o ) ( BUMP_8_0 PAD ) ( u_ddr_addr_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3000000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2977790 175000 ) ( 3000800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2985790 167000 ) ( 2985790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2985790 233740 ) ( 3017790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3017790 265740 ) ( 3017790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3017395 467000 ) ( 3017395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3000000 174200 ) ( 3000000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2994590 198540 ) ( 3004000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2998590 194540 ) ( 2998590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2998590 214540 ) ( 3014590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3014590 230540 ) ( 3014590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3010590 406540 ) ( 3029000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3025000 402540 ) ( 3025000 475000 ) ; - p_ddr_addr_4_o ( PIN p_ddr_addr_4_o ) ( BUMP_7_4 PAD ) ( u_ddr_addr_4_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2810000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2809200 175000 ) ( 2833790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2825790 167000 ) ( 2825790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2825790 905740 ) ( 2793790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 937740 ) ( 2793790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 1513740 ) ( 2697790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 1609740 ) ( 2697790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2697395 1747000 ) ( 2697395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2810000 174200 ) ( 2810000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2802590 198540 ) ( 2814000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2806590 194540 ) ( 2806590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2806590 214540 ) ( 2790590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 230540 ) ( 2790590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2790590 870540 ) ( 2774590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 886540 ) ( 2774590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 1494540 ) ( 2710590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 1558540 ) ( 2710590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1686540 ) ( 2714590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1682540 ) ( 2705000 1755000 ) ; - p_ddr_addr_5_o ( PIN p_ddr_addr_5_o ) ( BUMP_7_2 PAD ) ( u_ddr_addr_5_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2750000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2749200 175000 ) ( 2769790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2761790 167000 ) ( 2761790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2761790 201740 ) ( 2793790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 233740 ) ( 2793790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2793790 873740 ) ( 2697790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 969740 ) ( 2697790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2697395 1107000 ) ( 2697395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2749200 175000 ) ( 2778590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 171000 ) ( 2774590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2774590 854540 ) ( 2710590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2710590 918540 ) ( 2710590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2701000 1046540 ) ( 2714590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 1042540 ) ( 2705000 1115000 ) ; - p_ddr_addr_6_o ( PIN p_ddr_addr_6_o ) ( BUMP_7_0 PAD ) ( u_ddr_addr_6_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2680000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2657790 175000 ) ( 2680800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2665790 167000 ) ( 2665790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2665790 233740 ) ( 2697790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 265740 ) ( 2697790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2697395 467000 ) ( 2697395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2680000 174200 ) ( 2680000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2674590 198540 ) ( 2684000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2678590 194540 ) ( 2678590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2678590 214540 ) ( 2694590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 230540 ) ( 2694590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 406540 ) ( 2709000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 402540 ) ( 2705000 475000 ) ; - p_ddr_addr_7_o ( PIN p_ddr_addr_7_o ) ( BUMP_7_1 PAD ) ( u_ddr_addr_7_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2620000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2619200 175000 ) ( 2641790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 167000 ) ( 2633790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2633790 585740 ) ( 2697790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2697790 649740 ) ( 2697790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2697395 787000 ) ( 2697395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2619200 175000 ) ( 2650590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 171000 ) ( 2646590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2646590 534540 ) ( 2694590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2694590 582540 ) ( 2694590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2690590 726540 ) ( 2709000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2705000 722540 ) ( 2705000 795000 ) ; - p_ddr_addr_8_o ( PIN p_ddr_addr_8_o ) ( BUMP_6_2 PAD ) ( u_ddr_addr_8_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2430000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2429200 175000 ) ( 2449790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2441790 167000 ) ( 2441790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2441790 201740 ) ( 2473790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 233740 ) ( 2473790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2473790 873740 ) ( 2377790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 969740 ) ( 2377790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2377395 1107000 ) ( 2377395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2429200 175000 ) ( 2458590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 171000 ) ( 2454590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2454590 854540 ) ( 2390590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2390590 918540 ) ( 2390590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2381000 1046540 ) ( 2394590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 1042540 ) ( 2385000 1115000 ) ; - p_ddr_addr_9_o ( PIN p_ddr_addr_9_o ) ( BUMP_6_0 PAD ) ( u_ddr_addr_9_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 2370000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 2369200 175000 ) ( 2385790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 2377790 167000 ) ( 2377790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 2377395 467000 ) ( 2377395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 2370000 174200 ) ( 2370000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2366000 198540 ) ( 2378590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2374590 194540 ) ( 2374590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2370590 406540 ) ( 2389000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 2385000 402540 ) ( 2385000 475000 ) ; - p_ddr_ba_0_o ( PIN p_ddr_ba_0_o ) ( BUMP_3_2 PAD ) ( u_ddr_ba_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1470000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1469200 175000 ) ( 1489790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 167000 ) ( 1481790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 201740 ) ( 1513790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1513790 233740 ) ( 1513790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1513790 873740 ) ( 1417790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 969740 ) ( 1417790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 1107000 ) ( 1417395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1469200 175000 ) ( 1498590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 171000 ) ( 1494590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 854540 ) ( 1430590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1430590 918540 ) ( 1430590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1421000 1046540 ) ( 1434590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 1042540 ) ( 1425000 1115000 ) ; - p_ddr_ba_1_o ( PIN p_ddr_ba_1_o ) ( BUMP_3_0 PAD ) ( u_ddr_ba_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1410000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1409200 175000 ) ( 1425790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 167000 ) ( 1417790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 467000 ) ( 1417395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1410000 174200 ) ( 1410000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1406000 198540 ) ( 1418590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 194540 ) ( 1414590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 406540 ) ( 1429000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 402540 ) ( 1425000 475000 ) ; - p_ddr_ba_2_o ( PIN p_ddr_ba_2_o ) ( BUMP_3_1 PAD ) ( u_ddr_ba_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1340000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1339200 175000 ) ( 1361790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1353790 167000 ) ( 1353790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1353790 585740 ) ( 1417790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 649740 ) ( 1417790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 787000 ) ( 1417395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1339200 175000 ) ( 1370590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 171000 ) ( 1366590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 534540 ) ( 1414590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1414590 582540 ) ( 1414590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1410590 726540 ) ( 1429000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1425000 722540 ) ( 1425000 795000 ) ; - p_ddr_cas_n_o ( PIN p_ddr_cas_n_o ) ( BUMP_10_2 PAD ) ( u_ddr_cas_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3710000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3709200 175000 ) ( 3729790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3721790 167000 ) ( 3721790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3721790 201740 ) ( 3753790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 233740 ) ( 3753790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 873740 ) ( 3657790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 969740 ) ( 3657790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3657395 1107000 ) ( 3657395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3709200 175000 ) ( 3738590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 171000 ) ( 3734590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 854540 ) ( 3670590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 918540 ) ( 3670590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 1046540 ) ( 3674590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1042540 ) ( 3665000 1115000 ) ; - p_ddr_ck_n_o ( PIN p_ddr_ck_n_o ) ( BUMP_11_4 PAD ) ( u_ddr_ck_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4090000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4089200 175000 ) ( 4113790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4105790 167000 ) ( 4105790 905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4105790 905740 ) ( 4073790 937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 937740 ) ( 4073790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 1513740 ) ( 3977790 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 1609740 ) ( 3977790 1763000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3977395 1747000 ) ( 3977395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4090000 174200 ) ( 4090000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4082590 198540 ) ( 4094000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 194540 ) ( 4086590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4086590 214540 ) ( 4070590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 230540 ) ( 4070590 870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4070590 870540 ) ( 4054590 886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 886540 ) ( 4054590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 1494540 ) ( 3990590 1558540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 1558540 ) ( 3990590 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1686540 ) ( 3994590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1682540 ) ( 3985000 1755000 ) ; - p_ddr_ck_p_o ( PIN p_ddr_ck_p_o ) ( BUMP_13_1 PAD ) ( u_ddr_ck_p_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4540000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4539200 175000 ) ( 4561790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4553790 167000 ) ( 4553790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4553790 585740 ) ( 4617790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 649740 ) ( 4617790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4617395 787000 ) ( 4617395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4539200 175000 ) ( 4570590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 171000 ) ( 4566590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 534540 ) ( 4614590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 582540 ) ( 4614590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 726540 ) ( 4629000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 722540 ) ( 4625000 795000 ) ; - p_ddr_cke_o ( PIN p_ddr_cke_o ) ( BUMP_11_2 PAD ) ( u_ddr_cke_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4030000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4029200 175000 ) ( 4049790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4041790 167000 ) ( 4041790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4041790 201740 ) ( 4073790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 233740 ) ( 4073790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4073790 873740 ) ( 3977790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 969740 ) ( 3977790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3977395 1107000 ) ( 3977395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4029200 175000 ) ( 4058590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 171000 ) ( 4054590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4054590 854540 ) ( 3990590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3990590 918540 ) ( 3990590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3981000 1046540 ) ( 3994590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 1042540 ) ( 3985000 1115000 ) ; - p_ddr_cs_n_o ( PIN p_ddr_cs_n_o ) ( BUMP_11_0 PAD ) ( u_ddr_cs_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3960000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3937790 175000 ) ( 3960800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3945790 167000 ) ( 3945790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3945790 233740 ) ( 3977790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 265740 ) ( 3977790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3977395 467000 ) ( 3977395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3960000 174200 ) ( 3960000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3954590 198540 ) ( 3964000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3958590 194540 ) ( 3958590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3958590 214540 ) ( 3974590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 230540 ) ( 3974590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 406540 ) ( 3989000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 402540 ) ( 3985000 475000 ) ; - p_ddr_dm_0_o ( PIN p_ddr_dm_0_o ) ( BUMP_2_7 PAD ) ( u_ddr_dm_0_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2680000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2657740 ) ( 175000 2680800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2665740 ) ( 233790 2665740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2633740 ) ( 233790 2665740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2633740 ) ( 841790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 2633740 ) ( 937790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 2729740 ) ( 1105790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 2707000 ) ( 1097790 2737740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 2707000 ) ( 1097395 2723000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2680000 ) ( 202590 2680000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2674540 ) ( 198590 2684000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2678540 ) ( 214590 2678540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2646540 ) ( 214590 2678540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2646540 ) ( 854590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2646540 ) ( 918590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 2710540 ) ( 1050590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2706540 ) ( 1046590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2715000 ) ( 1105000 2715000 ) ; - p_ddr_dm_1_o ( PIN p_ddr_dm_1_o ) ( BUMP_0_0 PAD ) ( u_ddr_dm_1_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 440000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 417790 175000 ) ( 440800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 425790 167000 ) ( 425790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 425790 233740 ) ( 457790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 265740 ) ( 457790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 467000 ) ( 457395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 440000 174200 ) ( 440000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 434590 198540 ) ( 444000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 438590 194540 ) ( 438590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 438590 214540 ) ( 454590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 454590 230540 ) ( 454590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 450590 406540 ) ( 469000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 465000 402540 ) ( 465000 475000 ) ; - p_ddr_dm_2_o ( PIN p_ddr_dm_2_o ) ( BUMP_14_1 PAD ) ( u_ddr_dm_2_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4860000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4859200 175000 ) ( 4881790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 167000 ) ( 4873790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 585740 ) ( 4937790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937790 649740 ) ( 4937790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4937395 787000 ) ( 4937395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4859200 175000 ) ( 4890590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 171000 ) ( 4886590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 534540 ) ( 4934590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4934590 582540 ) ( 4934590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4930590 726540 ) ( 4949000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 722540 ) ( 4945000 795000 ) ; - p_ddr_dm_3_o ( PIN p_ddr_dm_3_o ) ( BUMP_13_8 PAD ) ( u_ddr_dm_3_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2880000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2879200 ) ( 5825000 2897740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2889740 ) ( 5833000 2889740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2889740 ) ( 5737790 2921740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 2921740 ) ( 5737790 2921740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 2921740 ) ( 5449790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 2953740 ) ( 5449790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 2953740 ) ( 4777790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 3049740 ) ( 4777790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4625000 3057740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2880000 ) ( 5825800 2880000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2876000 ) ( 5798590 2886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2886540 ) ( 5734590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5734590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2950540 ) ( 5494590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2966540 ) ( 5494590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2966540 ) ( 4822590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 3030540 ) ( 4822590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 3026540 ) ( 4694590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 3035000 ) ( 4698590 3035000 ) ; - p_ddr_dq_0_io ( PIN p_ddr_dq_0_io ) ( BUMP_3_7 PAD ) ( u_ddr_dq_0_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2870000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2849740 ) ( 175000 2870800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2857740 ) ( 233790 2857740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2825740 ) ( 233790 2857740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2825740 ) ( 585790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2793740 ) ( 585790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2793740 ) ( 1193790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 2729740 ) ( 1193790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 2729740 ) ( 1425790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 2707000 ) ( 1417790 2737740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 2707000 ) ( 1417395 2723000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2870000 ) ( 202590 2870000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2866000 ) ( 198590 2874540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2870540 ) ( 214590 2870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 2790540 ) ( 214590 2870540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 294590 2790540 ) ( 550590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 2774540 ) ( 550590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 2774540 ) ( 1174590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 2710540 ) ( 1174590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 2710540 ) ( 1370590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2706540 ) ( 1366590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2715000 ) ( 1425000 2715000 ) ; - p_ddr_dq_10_io ( PIN p_ddr_dq_10_io ) ( BUMP_3_4 PAD ) ( u_ddr_dq_10_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1900000 ) via9_0 - NEW metal10 11060 + SHAPE IOWIRE ( 167000 1895270 ) ( 183000 1895270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1897740 ) ( 233790 1897740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1865740 ) ( 233790 1897740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1865740 ) ( 585790 1865740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 1833740 ) ( 585790 1865740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 1833740 ) ( 1193790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 1769740 ) ( 1193790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 1769740 ) ( 1425790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 1747000 ) ( 1417790 1777740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 1747000 ) ( 1417395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 1900000 ) ( 202590 1900000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1894540 ) ( 198590 1904000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 1830540 ) ( 198590 1894540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 1830540 ) ( 550590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 1814540 ) ( 550590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 1814540 ) ( 1174590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 1750540 ) ( 1174590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 1750540 ) ( 1370590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 1746540 ) ( 1366590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 1755000 ) ( 1425000 1755000 ) ; - p_ddr_dq_11_io ( PIN p_ddr_dq_11_io ) ( BUMP_4_5 PAD ) ( u_ddr_dq_11_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1980000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 1979200 ) ( 175000 2001740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1993740 ) ( 201790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 1961740 ) ( 201790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 1961740 ) ( 873790 1961740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 1961740 ) ( 905790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 1993740 ) ( 1481790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 1993740 ) ( 1577790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 2089740 ) ( 1745790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 2067000 ) ( 1737790 2097740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 2067000 ) ( 1737395 2083000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 1980000 ) ( 202590 1980000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 1976000 ) ( 198590 1994540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 1990540 ) ( 870590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 1990540 ) ( 886590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 2006540 ) ( 1494590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2006540 ) ( 1558590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 2070540 ) ( 1690590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2066540 ) ( 1686590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2075000 ) ( 1745000 2075000 ) ; - p_ddr_dq_12_io ( PIN p_ddr_dq_12_io ) ( BUMP_2_5 PAD ) ( u_ddr_dq_12_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2040000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2017740 ) ( 175000 2040800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2025740 ) ( 233790 2025740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1993740 ) ( 233790 2025740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 1993740 ) ( 841790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 1993740 ) ( 937790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 2089740 ) ( 1105790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 2067000 ) ( 1097790 2097740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 2067000 ) ( 1097395 2083000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2040000 ) ( 202590 2040000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2034540 ) ( 198590 2044000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2038540 ) ( 214590 2038540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2006540 ) ( 214590 2038540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2006540 ) ( 854590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2006540 ) ( 918590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 2070540 ) ( 1050590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2066540 ) ( 1046590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2075000 ) ( 1105000 2075000 ) ; - p_ddr_dq_13_io ( PIN p_ddr_dq_13_io ) ( BUMP_3_5 PAD ) ( u_ddr_dq_13_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2220000 ) via9_0 - NEW metal10 11060 + SHAPE IOWIRE ( 167000 2215270 ) ( 183000 2215270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2217740 ) ( 233790 2217740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2185740 ) ( 233790 2217740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2185740 ) ( 585790 2185740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2153740 ) ( 585790 2185740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 2153740 ) ( 1193790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 2089740 ) ( 1193790 2153740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 2089740 ) ( 1425790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 2067000 ) ( 1417790 2097740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 2067000 ) ( 1417395 2083000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2220000 ) ( 202590 2220000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2214540 ) ( 198590 2224000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 2150540 ) ( 198590 2214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 2150540 ) ( 550590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 2134540 ) ( 550590 2150540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 2134540 ) ( 1174590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 2070540 ) ( 1174590 2134540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 2070540 ) ( 1370590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 2066540 ) ( 1366590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 2075000 ) ( 1425000 2075000 ) ; - p_ddr_dq_14_io ( PIN p_ddr_dq_14_io ) ( BUMP_4_6 PAD ) ( u_ddr_dq_14_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2300000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2299200 ) ( 175000 2321740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2313740 ) ( 201790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2281740 ) ( 201790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2281740 ) ( 873790 2281740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 2281740 ) ( 905790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 2313740 ) ( 1481790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 2313740 ) ( 1577790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 2409740 ) ( 1745790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 2387000 ) ( 1737790 2417740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 2387000 ) ( 1737395 2403000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2300000 ) ( 202590 2300000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2296000 ) ( 198590 2314540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2310540 ) ( 870590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2310540 ) ( 886590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 2326540 ) ( 1494590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2326540 ) ( 1558590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 2390540 ) ( 1690590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2386540 ) ( 1686590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2395000 ) ( 1745000 2395000 ) ; - p_ddr_dq_15_io ( PIN p_ddr_dq_15_io ) ( BUMP_2_6 PAD ) ( u_ddr_dq_15_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2370000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2369200 ) ( 175000 2385740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2377740 ) ( 201790 2377740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2313740 ) ( 201790 2377740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 2313740 ) ( 841790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 2313740 ) ( 937790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 2409740 ) ( 1105790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 2387000 ) ( 1097790 2417740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 2387000 ) ( 1097395 2403000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2370000 ) ( 202590 2370000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2354540 ) ( 198590 2374000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2358540 ) ( 214590 2358540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2326540 ) ( 214590 2358540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2326540 ) ( 854590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 2326540 ) ( 918590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 2390540 ) ( 1050590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 2386540 ) ( 1046590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 2395000 ) ( 1105000 2395000 ) ; - p_ddr_dq_16_io ( PIN p_ddr_dq_16_io ) ( BUMP_15_4 PAD ) ( u_ddr_dq_16_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1660000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1659200 ) ( 5825000 1681740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1673740 ) ( 5833000 1673740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1673740 ) ( 5417790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 1769740 ) ( 5417790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5265000 1777740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1659200 ) ( 5825000 1690540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1686540 ) ( 5829000 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 1686540 ) ( 5462590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 1750540 ) ( 5462590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 1746540 ) ( 5334590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 1755000 ) ( 5338590 1755000 ) ; - p_ddr_dq_17_io ( PIN p_ddr_dq_17_io ) ( BUMP_13_4 PAD ) ( u_ddr_dq_17_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1600000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1599200 ) ( 5825000 1617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 1609740 ) ( 5833000 1609740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 1609740 ) ( 5737790 1641740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 1641740 ) ( 5737790 1641740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 1641740 ) ( 5449790 1673740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 1673740 ) ( 5449790 1673740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 1673740 ) ( 4777790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 1769740 ) ( 4777790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4625000 1777740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1600000 ) ( 5825800 1600000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1596000 ) ( 5798590 1606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1606540 ) ( 5734590 1670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5734590 1670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1670540 ) ( 5494590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1686540 ) ( 5494590 1686540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 1686540 ) ( 4822590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 1750540 ) ( 4822590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 1746540 ) ( 4694590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1755000 ) ( 4698590 1755000 ) ; - p_ddr_dq_18_io ( PIN p_ddr_dq_18_io ) ( BUMP_14_3 PAD ) ( u_ddr_dq_18_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1460000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1441740 ) ( 5825000 1460800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1449740 ) ( 5833000 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1449740 ) ( 5673790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 1513740 ) ( 5673790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 1449740 ) ( 5161790 1513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 1449740 ) ( 5097790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 4945000 1457740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1460000 ) ( 5825800 1460000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1456000 ) ( 5798590 1466540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1462540 ) ( 5802590 1462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1462540 ) ( 5750590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1494540 ) ( 5750590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 1430540 ) ( 5206590 1494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1430540 ) ( 5142590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1426540 ) ( 5014590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1435000 ) ( 5018590 1435000 ) ; - p_ddr_dq_19_io ( PIN p_ddr_dq_19_io ) ( BUMP_16_3 PAD ) ( u_ddr_dq_19_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1400000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1377740 ) ( 5825000 1400800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1385740 ) ( 5833000 1385740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1385740 ) ( 5673790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 1449740 ) ( 5673790 1449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5585000 1457740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1399200 ) ( 5825000 1418540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1414540 ) ( 5829000 1414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1414540 ) ( 5782590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 1430540 ) ( 5782590 1430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 1426540 ) ( 5654590 1439000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 1435000 ) ( 5658590 1435000 ) ; - p_ddr_dq_1_io ( PIN p_ddr_dq_1_io ) ( BUMP_4_8 PAD ) ( u_ddr_dq_1_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2940000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2939200 ) ( 175000 2961740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2953740 ) ( 201790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2921740 ) ( 201790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2921740 ) ( 873790 2921740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 2921740 ) ( 905790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 2953740 ) ( 1481790 2953740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 2953740 ) ( 1577790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 3049740 ) ( 1745790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 3027000 ) ( 1737790 3057740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 3027000 ) ( 1737395 3043000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2940000 ) ( 202590 2940000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2936000 ) ( 198590 2954540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2950540 ) ( 870590 2950540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2950540 ) ( 886590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 2966540 ) ( 1494590 2966540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2966540 ) ( 1558590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 3030540 ) ( 1690590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3026540 ) ( 1686590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3035000 ) ( 1745000 3035000 ) ; - p_ddr_dq_20_io ( PIN p_ddr_dq_20_io ) ( BUMP_14_2 PAD ) ( u_ddr_dq_20_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1140000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1121740 ) ( 5825000 1140800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1129740 ) ( 5833000 1129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1129740 ) ( 5673790 1193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 1193740 ) ( 5673790 1193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 1129740 ) ( 5161790 1193740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 1129740 ) ( 5097790 1129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 4945000 1137740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1140000 ) ( 5825800 1140000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1136000 ) ( 5798590 1146540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1142540 ) ( 5802590 1142540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 1142540 ) ( 5750590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 1174540 ) ( 5750590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 1110540 ) ( 5206590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 1110540 ) ( 5142590 1110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 1106540 ) ( 5014590 1119000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 1115000 ) ( 5018590 1115000 ) ; - p_ddr_dq_21_io ( PIN p_ddr_dq_21_io ) ( BUMP_16_1 PAD ) ( u_ddr_dq_21_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 770000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 769200 ) ( 5825000 785740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 777740 ) ( 5833000 777740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 777740 ) ( 5737790 809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 809740 ) ( 5737790 809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5585000 817740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 769200 ) ( 5825000 794540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 790540 ) ( 5829000 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 786540 ) ( 5654590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 795000 ) ( 5658590 795000 ) ; - p_ddr_dq_22_io ( PIN p_ddr_dq_22_io ) ( BUMP_15_1 PAD ) ( u_ddr_dq_22_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 700000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 699200 ) ( 5825000 721740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 713740 ) ( 5833000 713740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 713740 ) ( 5417790 809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 809740 ) ( 5417790 809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5265000 817740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 699200 ) ( 5825000 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 726540 ) ( 5829000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 726540 ) ( 5462590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 790540 ) ( 5462590 790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 786540 ) ( 5334590 799000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 795000 ) ( 5338590 795000 ) ; - p_ddr_dq_23_io ( PIN p_ddr_dq_23_io ) ( BUMP_16_0 PAD ) ( u_ddr_dq_23_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 440000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 417740 ) ( 5825000 440800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 425740 ) ( 5833000 425740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 425740 ) ( 5673790 489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 489740 ) ( 5673790 489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5585000 497740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 439200 ) ( 5825000 458540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 454540 ) ( 5829000 454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 454540 ) ( 5782590 470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 470540 ) ( 5782590 470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 466540 ) ( 5654590 479000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 475000 ) ( 5658590 475000 ) ; - p_ddr_dq_24_io ( PIN p_ddr_dq_24_io ) ( BUMP_13_7 PAD ) ( u_ddr_dq_24_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2560000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2559200 ) ( 5825000 2577740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2569740 ) ( 5833000 2569740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2569740 ) ( 5737790 2601740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 2601740 ) ( 5737790 2601740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 2601740 ) ( 5449790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 2633740 ) ( 5449790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 2633740 ) ( 4777790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 2729740 ) ( 4777790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4625000 2737740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2560000 ) ( 5825800 2560000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2556000 ) ( 5798590 2566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2566540 ) ( 5734590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2630540 ) ( 5734590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2630540 ) ( 5494590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2646540 ) ( 5494590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2646540 ) ( 4822590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2710540 ) ( 4822590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2706540 ) ( 4694590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2715000 ) ( 4698590 2715000 ) ; - p_ddr_dq_25_io ( PIN p_ddr_dq_25_io ) ( BUMP_12_6 PAD ) ( u_ddr_dq_25_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2490000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2489200 ) ( 5825000 2513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 2505740 ) ( 5833000 2505740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 2473740 ) ( 5129790 2505740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 2473740 ) ( 5097790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 2409740 ) ( 4521790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 2409740 ) ( 4457790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4305000 2417740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2490000 ) ( 5825800 2490000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2482540 ) ( 5798590 2494000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2486540 ) ( 5802590 2486540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5766590 2470540 ) ( 5782590 2486540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2470540 ) ( 5766590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 2454540 ) ( 5190590 2470540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2454540 ) ( 5174590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 2390540 ) ( 4566590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2390540 ) ( 4502590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2386540 ) ( 4374590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2395000 ) ( 4378590 2395000 ) ; - p_ddr_dq_26_io ( PIN p_ddr_dq_26_io ) ( BUMP_14_6 PAD ) ( u_ddr_dq_26_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2420000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2401740 ) ( 5825000 2420800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2409740 ) ( 5833000 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2409740 ) ( 5673790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 2473740 ) ( 5673790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 2409740 ) ( 5161790 2473740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 2409740 ) ( 5097790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 4945000 2417740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2420000 ) ( 5825800 2420000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2416000 ) ( 5798590 2426540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2422540 ) ( 5802590 2422540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2422540 ) ( 5750590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2454540 ) ( 5750590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 2390540 ) ( 5206590 2454540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2390540 ) ( 5142590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2386540 ) ( 5014590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2395000 ) ( 5018590 2395000 ) ; - p_ddr_dq_27_io ( PIN p_ddr_dq_27_io ) ( BUMP_13_6 PAD ) ( u_ddr_dq_27_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2240000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2239200 ) ( 5825000 2257740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2249740 ) ( 5833000 2249740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2249740 ) ( 5737790 2281740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 2281740 ) ( 5737790 2281740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 2281740 ) ( 5449790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 2313740 ) ( 5449790 2313740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 2313740 ) ( 4777790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 2409740 ) ( 4777790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4625000 2417740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2240000 ) ( 5825800 2240000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2236000 ) ( 5798590 2246540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2246540 ) ( 5734590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2310540 ) ( 5734590 2310540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 2310540 ) ( 5494590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2326540 ) ( 5494590 2326540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2326540 ) ( 4822590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2390540 ) ( 4822590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2386540 ) ( 4694590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2395000 ) ( 4698590 2395000 ) ; - p_ddr_dq_28_io ( PIN p_ddr_dq_28_io ) ( BUMP_16_5 PAD ) ( u_ddr_dq_28_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2050000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2049200 ) ( 5825000 2065740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2057740 ) ( 5833000 2057740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 2057740 ) ( 5737790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5577000 2089740 ) ( 5737790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5585000 2097740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 2049200 ) ( 5825000 2074540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5650590 2070540 ) ( 5829000 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5654590 2066540 ) ( 5654590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5585000 2075000 ) ( 5658590 2075000 ) ; - p_ddr_dq_29_io ( PIN p_ddr_dq_29_io ) ( BUMP_15_5 PAD ) ( u_ddr_dq_29_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1990000 ) via9_0 - NEW metal10 12540 + SHAPE IOWIRE ( 5817000 1995470 ) ( 5833000 1995470 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1993740 ) ( 5833000 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5513790 1993740 ) ( 5417790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5257000 2089740 ) ( 5417790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5265000 2097740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5825000 1989200 ) ( 5825000 2010540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2006540 ) ( 5829000 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5526590 2006540 ) ( 5462590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5330590 2070540 ) ( 5462590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5334590 2066540 ) ( 5334590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5265000 2075000 ) ( 5338590 2075000 ) ; - p_ddr_dq_2_io ( PIN p_ddr_dq_2_io ) ( BUMP_1_8 PAD ) ( u_ddr_dq_2_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3120000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 3113270 ) ( 183000 3113270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3113740 ) ( 553790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3049740 ) ( 553790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3049740 ) ( 785790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 3027000 ) ( 777790 3057740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 3027000 ) ( 777395 3043000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 3090540 ) ( 175000 3120800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 3094540 ) ( 534590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3030540 ) ( 534590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 3030540 ) ( 730590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 3026540 ) ( 726590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 3035000 ) ( 785000 3035000 ) ; - p_ddr_dq_30_io ( PIN p_ddr_dq_30_io ) ( BUMP_13_5 PAD ) ( u_ddr_dq_30_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1920000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 1919200 ) ( 5825000 1937740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 1929740 ) ( 5833000 1929740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5769790 1929740 ) ( 5737790 1961740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 1961740 ) ( 5737790 1961740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5481790 1961740 ) ( 5449790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 1993740 ) ( 5449790 1993740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4873790 1993740 ) ( 4777790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617000 2089740 ) ( 4777790 2089740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4625000 2097740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1920000 ) ( 5825800 1920000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1916000 ) ( 5798590 1926540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1926540 ) ( 5734590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1990540 ) ( 5734590 1990540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5510590 1990540 ) ( 5494590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2006540 ) ( 5494590 2006540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4886590 2006540 ) ( 4822590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4690590 2070540 ) ( 4822590 2070540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 2066540 ) ( 4694590 2079000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 2075000 ) ( 4698590 2075000 ) ; - p_ddr_dq_31_io ( PIN p_ddr_dq_31_io ) ( BUMP_12_4 PAD ) ( u_ddr_dq_31_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 1840000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 5817000 1833270 ) ( 5833000 1833270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1833740 ) ( 5833000 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 1833740 ) ( 5705790 1865740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 1865740 ) ( 5705790 1865740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 1833740 ) ( 5129790 1865740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 1833740 ) ( 5097790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 1769740 ) ( 4521790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 1769740 ) ( 4457790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4305000 1777740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 1840000 ) ( 5825800 1840000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 1826540 ) ( 5798590 1844000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 1830540 ) ( 5802590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 1814540 ) ( 5190590 1830540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 1814540 ) ( 5174590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 1750540 ) ( 4566590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 1750540 ) ( 4502590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 1746540 ) ( 4374590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 1755000 ) ( 4378590 1755000 ) ; - p_ddr_dq_3_io ( PIN p_ddr_dq_3_io ) ( BUMP_3_8 PAD ) ( u_ddr_dq_3_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3180000 ) via9_0 - NEW metal10 11060 + SHAPE IOWIRE ( 167000 3175270 ) ( 183000 3175270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3177740 ) ( 233790 3177740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3145740 ) ( 233790 3177740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3145740 ) ( 585790 3145740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3113740 ) ( 585790 3145740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3113740 ) ( 1193790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 3049740 ) ( 1193790 3113740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 3049740 ) ( 1425790 3049740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 3027000 ) ( 1417790 3057740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 3027000 ) ( 1417395 3043000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3180000 ) ( 202590 3180000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3174540 ) ( 198590 3184000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 3110540 ) ( 198590 3174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 3110540 ) ( 550590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 3094540 ) ( 550590 3110540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 3094540 ) ( 1174590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3030540 ) ( 1174590 3094540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3030540 ) ( 1370590 3030540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3026540 ) ( 1366590 3039000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3035000 ) ( 1425000 3035000 ) ; - p_ddr_dq_4_io ( PIN p_ddr_dq_4_io ) ( BUMP_4_9 PAD ) ( u_ddr_dq_4_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3260000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3259200 ) ( 175000 3281740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3273740 ) ( 201790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3241740 ) ( 201790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3241740 ) ( 873790 3241740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 3241740 ) ( 905790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 3273740 ) ( 1481790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 3273740 ) ( 1577790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 3369740 ) ( 1745790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 3347000 ) ( 1737790 3377740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 3347000 ) ( 1737395 3363000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3260000 ) ( 202590 3260000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3256000 ) ( 198590 3274540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3270540 ) ( 870590 3270540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3270540 ) ( 886590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 3286540 ) ( 1494590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3286540 ) ( 1558590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 3350540 ) ( 1690590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3346540 ) ( 1686590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3355000 ) ( 1745000 3355000 ) ; - p_ddr_dq_5_io ( PIN p_ddr_dq_5_io ) ( BUMP_2_9 PAD ) ( u_ddr_dq_5_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3320000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3297740 ) ( 175000 3320800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3305740 ) ( 233790 3305740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3273740 ) ( 233790 3305740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3273740 ) ( 841790 3273740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 841790 3273740 ) ( 937790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 937790 3369740 ) ( 1105790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 3347000 ) ( 1097790 3377740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 3347000 ) ( 1097395 3363000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3320000 ) ( 202590 3320000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3314540 ) ( 198590 3324000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3318540 ) ( 214590 3318540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3286540 ) ( 214590 3318540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 3286540 ) ( 854590 3286540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 854590 3286540 ) ( 918590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 918590 3350540 ) ( 1050590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1046590 3346540 ) ( 1046590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1042590 3355000 ) ( 1105000 3355000 ) ; - p_ddr_dq_6_io ( PIN p_ddr_dq_6_io ) ( BUMP_3_9 PAD ) ( u_ddr_dq_6_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3500000 ) via9_0 - NEW metal10 11060 + SHAPE IOWIRE ( 167000 3495270 ) ( 183000 3495270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3497740 ) ( 233790 3497740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3465740 ) ( 233790 3497740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 265790 3465740 ) ( 585790 3465740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3433740 ) ( 585790 3465740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 3433740 ) ( 1193790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 3369740 ) ( 1193790 3433740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1257790 3369740 ) ( 1425790 3369740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1417790 3347000 ) ( 1417790 3377740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1417395 3347000 ) ( 1417395 3363000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3500000 ) ( 202590 3500000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3494540 ) ( 198590 3504000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 3430540 ) ( 198590 3494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 262590 3430540 ) ( 550590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 3414540 ) ( 550590 3430540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 566590 3414540 ) ( 1174590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3350540 ) ( 1174590 3414540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1238590 3350540 ) ( 1370590 3350540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1366590 3346540 ) ( 1366590 3359000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1362590 3355000 ) ( 1425000 3355000 ) ; - p_ddr_dq_7_io ( PIN p_ddr_dq_7_io ) ( BUMP_4_10 PAD ) ( u_ddr_dq_7_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 3580000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 3579200 ) ( 175000 3601740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 3593740 ) ( 201790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3561740 ) ( 201790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 3561740 ) ( 873790 3561740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 3561740 ) ( 905790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 3593740 ) ( 1481790 3593740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 3593740 ) ( 1577790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 3689740 ) ( 1745790 3689740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 3667000 ) ( 1737790 3697740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 3667000 ) ( 1737395 3683000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 3580000 ) ( 202590 3580000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 3576000 ) ( 198590 3594540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 3590540 ) ( 870590 3590540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 3590540 ) ( 886590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 3606540 ) ( 1494590 3606540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 3606540 ) ( 1558590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 3670540 ) ( 1690590 3670540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 3666540 ) ( 1686590 3679000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 3675000 ) ( 1745000 3675000 ) ; - p_ddr_dq_8_io ( PIN p_ddr_dq_8_io ) ( BUMP_0_4 PAD ) ( u_ddr_dq_8_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1780000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 1761740 ) ( 175000 1780800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1769740 ) ( 465790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 1747000 ) ( 457790 1777740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 1747000 ) ( 457395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 1762540 ) ( 175000 1780800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1766540 ) ( 198590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1750540 ) ( 198590 1766540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 214590 1750540 ) ( 410590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 1746540 ) ( 406590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 1755000 ) ( 465000 1755000 ) ; - p_ddr_dq_9_io ( PIN p_ddr_dq_9_io ) ( BUMP_1_4 PAD ) ( u_ddr_dq_9_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 1840000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 167000 1833270 ) ( 183000 1833270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 1833740 ) ( 553790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 1769740 ) ( 553790 1833740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 617790 1769740 ) ( 785790 1769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 1747000 ) ( 777790 1777740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 1747000 ) ( 777395 1763000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 175000 1810540 ) ( 175000 1840800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 171000 1814540 ) ( 534590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 1750540 ) ( 534590 1814540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 598590 1750540 ) ( 730590 1750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 1746540 ) ( 726590 1759000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 722590 1755000 ) ( 785000 1755000 ) ; - p_ddr_dqs_n_0_io ( PIN p_ddr_dqs_n_0_io ) ( BUMP_4_7 PAD ) ( u_ddr_dqs_n_0_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2620000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2619200 ) ( 175000 2641740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2633740 ) ( 201790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2601740 ) ( 201790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2601740 ) ( 873790 2601740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 873790 2601740 ) ( 905790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 905790 2633740 ) ( 1481790 2633740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1481790 2633740 ) ( 1577790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1577790 2729740 ) ( 1745790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1737790 2707000 ) ( 1737790 2737740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1737395 2707000 ) ( 1737395 2723000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2620000 ) ( 202590 2620000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2616000 ) ( 198590 2634540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2630540 ) ( 870590 2630540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 870590 2630540 ) ( 886590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 886590 2646540 ) ( 1494590 2646540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1494590 2646540 ) ( 1558590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1558590 2710540 ) ( 1690590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1686590 2706540 ) ( 1686590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1682590 2715000 ) ( 1745000 2715000 ) ; - p_ddr_dqs_n_1_io ( PIN p_ddr_dqs_n_1_io ) ( BUMP_1_1 PAD ) ( u_ddr_dqs_n_1_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 700000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 699200 175000 ) ( 721790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 713790 167000 ) ( 713790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 713790 585740 ) ( 777790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 777790 649740 ) ( 777790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 777395 787000 ) ( 777395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 699200 175000 ) ( 730590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 171000 ) ( 726590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 726590 534540 ) ( 774590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 774590 582540 ) ( 774590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 770590 726540 ) ( 789000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 785000 722540 ) ( 785000 795000 ) ; - p_ddr_dqs_n_2_io ( PIN p_ddr_dqs_n_2_io ) ( BUMP_13_0 PAD ) ( u_ddr_dqs_n_2_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4600000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4577790 175000 ) ( 4600800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4585790 167000 ) ( 4585790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4585790 233740 ) ( 4617790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 265740 ) ( 4617790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4617395 467000 ) ( 4617395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4600000 174200 ) ( 4600000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4594590 198540 ) ( 4604000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4598590 194540 ) ( 4598590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4598590 214540 ) ( 4614590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4614590 230540 ) ( 4614590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4610590 406540 ) ( 4629000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 402540 ) ( 4625000 475000 ) ; - p_ddr_dqs_n_3_io ( PIN p_ddr_dqs_n_3_io ) ( BUMP_14_7 PAD ) ( u_ddr_dqs_n_3_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2740000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 5825000 2721740 ) ( 5825000 2740800 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2729740 ) ( 5833000 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2729740 ) ( 5673790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5161790 2793740 ) ( 5673790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 2729740 ) ( 5161790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4937000 2729740 ) ( 5097790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 4945000 2737740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2740000 ) ( 5825800 2740000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2736000 ) ( 5798590 2746540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2742540 ) ( 5802590 2742540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5782590 2742540 ) ( 5750590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5206590 2774540 ) ( 5750590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5142590 2710540 ) ( 5206590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5010590 2710540 ) ( 5142590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5014590 2706540 ) ( 5014590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4945000 2715000 ) ( 5018590 2715000 ) ; - p_ddr_dqs_p_0_io ( PIN p_ddr_dqs_p_0_io ) ( BUMP_0_6 PAD ) ( u_ddr_dqs_p_0_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 175000 2430000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 175000 2429200 ) ( 175000 2449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 167000 2441740 ) ( 201790 2441740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2409740 ) ( 201790 2441740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 233790 2409740 ) ( 465790 2409740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 457790 2387000 ) ( 457790 2417740 ) - NEW metal10 15210 + SHAPE IOWIRE ( 457395 2387000 ) ( 457395 2403000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 174200 2430000 ) ( 202590 2430000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 198590 2418540 ) ( 198590 2434000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 194590 2422540 ) ( 214590 2422540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2390540 ) ( 214590 2422540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 246590 2390540 ) ( 410590 2390540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 406590 2386540 ) ( 406590 2399000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 402590 2395000 ) ( 465000 2395000 ) ; - p_ddr_dqs_p_1_io ( PIN p_ddr_dqs_p_1_io ) ( BUMP_2_0 PAD ) ( u_ddr_dqs_p_1_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 1080000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 1057790 175000 ) ( 1080800 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1065790 167000 ) ( 1065790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1065790 233740 ) ( 1097790 265740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 1097790 265740 ) ( 1097790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 1097395 467000 ) ( 1097395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 1080000 174200 ) ( 1080000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1074590 198540 ) ( 1084000 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1078590 194540 ) ( 1078590 214540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1078590 214540 ) ( 1094590 230540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1094590 230540 ) ( 1094590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1090590 406540 ) ( 1109000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 1105000 402540 ) ( 1105000 475000 ) ; - p_ddr_dqs_p_2_io ( PIN p_ddr_dqs_p_2_io ) ( BUMP_13_2 PAD ) ( u_ddr_dqs_p_2_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 4670000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 4669200 175000 ) ( 4689790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4681790 167000 ) ( 4681790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4681790 201740 ) ( 4713790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4713790 233740 ) ( 4713790 873740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4713790 873740 ) ( 4617790 969740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4617790 969740 ) ( 4617790 1123000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 4617395 1107000 ) ( 4617395 1123000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 4669200 175000 ) ( 4698590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 171000 ) ( 4694590 854540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4694590 854540 ) ( 4630590 918540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4630590 918540 ) ( 4630590 1050540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4621000 1046540 ) ( 4634590 1046540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4625000 1042540 ) ( 4625000 1115000 ) ; - p_ddr_dqs_p_3_io ( PIN p_ddr_dqs_p_3_io ) ( BUMP_12_7 PAD ) ( u_ddr_dqs_p_3_io PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 5825000 2800000 ) via9_0 - NEW metal10 15060 + SHAPE IOWIRE ( 5817000 2793270 ) ( 5833000 2793270 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2793740 ) ( 5833000 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5737790 2793740 ) ( 5705790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5129790 2825740 ) ( 5705790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 5097790 2793740 ) ( 5129790 2825740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4521790 2793740 ) ( 5097790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4457790 2729740 ) ( 4521790 2793740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4297000 2729740 ) ( 4457790 2729740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4305000 2737740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 5794590 2800000 ) ( 5825800 2800000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5798590 2786540 ) ( 5798590 2804000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5190590 2790540 ) ( 5802590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 5174590 2774540 ) ( 5190590 2790540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4566590 2774540 ) ( 5174590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4502590 2710540 ) ( 4566590 2774540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4370590 2710540 ) ( 4502590 2710540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4374590 2706540 ) ( 4374590 2719000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 4305000 2715000 ) ( 4378590 2715000 ) ; - p_ddr_odt_o ( PIN p_ddr_odt_o ) ( BUMP_10_3 PAD ) ( u_ddr_odt_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3520000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3519200 175000 ) ( 3537790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3529790 167000 ) ( 3529790 201740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3529790 201740 ) ( 3561790 233740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3561790 233740 ) ( 3561790 617740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3561790 617740 ) ( 3593790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 649740 ) ( 3593790 1225740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 1225740 ) ( 3657790 1289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 1289740 ) ( 3657790 1443000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3657395 1427000 ) ( 3657395 1443000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3520000 174200 ) ( 3520000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3516000 198540 ) ( 3526590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3526590 198540 ) ( 3590590 262540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 262540 ) ( 3590590 550540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 550540 ) ( 3606590 566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 566540 ) ( 3606590 1174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 1174540 ) ( 3654590 1222540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 1222540 ) ( 3654590 1370540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 1366540 ) ( 3669000 1366540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 1362540 ) ( 3665000 1435000 ) ; - p_ddr_ras_n_o ( PIN p_ddr_ras_n_o ) ( BUMP_11_1 PAD ) ( u_ddr_ras_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3900000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3899200 175000 ) ( 3921790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 167000 ) ( 3913790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3913790 585740 ) ( 3977790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3977790 649740 ) ( 3977790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3977395 787000 ) ( 3977395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3899200 175000 ) ( 3930590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 171000 ) ( 3926590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3926590 534540 ) ( 3974590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3974590 582540 ) ( 3974590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3970590 726540 ) ( 3989000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3985000 722540 ) ( 3985000 795000 ) ; - p_ddr_reset_n_o ( PIN p_ddr_reset_n_o ) ( BUMP_10_1 PAD ) ( u_ddr_reset_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3580000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3579200 175000 ) ( 3601790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 167000 ) ( 3593790 585740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 585740 ) ( 3657790 649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 649740 ) ( 3657790 803000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3657395 787000 ) ( 3657395 803000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3579200 175000 ) ( 3610590 175000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 171000 ) ( 3606590 534540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 534540 ) ( 3654590 582540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 582540 ) ( 3654590 730540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 726540 ) ( 3669000 726540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 722540 ) ( 3665000 795000 ) ; - p_ddr_we_n_o ( PIN p_ddr_we_n_o ) ( BUMP_10_0 PAD ) ( u_ddr_we_n_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3650000 175000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3649200 175000 ) ( 3665790 175000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 167000 ) ( 3657790 483000 ) - NEW metal10 15210 + SHAPE IOWIRE ( 3657395 467000 ) ( 3657395 483000 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3650000 174200 ) ( 3650000 202540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3646000 198540 ) ( 3658590 198540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 194540 ) ( 3654590 410540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 406540 ) ( 3669000 406540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 402540 ) ( 3665000 475000 ) ; - p_misc_o ( PIN p_misc_o ) ( BUMP_9_15 PAD ) ( u_misc_o PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3440000 5825000 ) via9_0 - NEW metal10 15010 + SHAPE IOWIRE ( 3433295 5817000 ) ( 3433295 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 5545740 ) ( 3433790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 5449740 ) ( 3433790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 5281740 ) ( 3337790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3329790 5289740 ) ( 3353000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3410590 5825000 ) ( 3440800 5825000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5526540 ) ( 3414590 5829000 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5462540 ) ( 3414590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 5330540 ) ( 3350590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 5334540 ) ( 3354590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 5275000 ) ( 3345000 5338540 ) ; - p_sel_0_i ( PIN p_sel_0_i ) ( BUMP_9_13 PAD ) ( u_sel_0_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3510000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3489790 5825000 ) ( 3510800 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3497790 5737740 ) ( 3497790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3465790 5705740 ) ( 3497790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3465790 5513740 ) ( 3465790 5705740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 5481740 ) ( 3465790 5513740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3433790 4905740 ) ( 3433790 5481740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 4809740 ) ( 3433790 4905740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3337790 4641740 ) ( 3337790 4809740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3329790 4649740 ) ( 3353000 4649740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4657740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3510000 5794540 ) ( 3510000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3506000 5798540 ) ( 3514590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3510590 5782540 ) ( 3510590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5702540 ) ( 3510590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3430590 5510540 ) ( 3430590 5702540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 5494540 ) ( 3430590 5510540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3414590 4886540 ) ( 3414590 5494540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4822540 ) ( 3414590 4886540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3350590 4690540 ) ( 3350590 4822540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3341000 4694540 ) ( 3354590 4694540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3345000 4635000 ) ( 3345000 4698540 ) ; - p_sel_1_i ( PIN p_sel_1_i ) ( BUMP_10_12 PAD ) ( u_sel_1_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3580000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3579200 5825000 ) ( 3601790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 5769740 ) ( 3593790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3561790 5737740 ) ( 3593790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3561790 5161740 ) ( 3561790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 5129740 ) ( 3561790 5161740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3593790 4553740 ) ( 3593790 5129740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 4489740 ) ( 3593790 4553740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 4321740 ) ( 3657790 4489740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3649790 4329740 ) ( 3673000 4329740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4337740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3580000 5794540 ) ( 3580000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3576000 5798540 ) ( 3594590 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3590590 5190540 ) ( 3590590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 5174540 ) ( 3590590 5190540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3606590 4566540 ) ( 3606590 5174540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 4518540 ) ( 3606590 4566540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3654590 4370540 ) ( 3654590 4518540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3650590 4374540 ) ( 3669000 4374540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 4315000 ) ( 3665000 4378540 ) ; - p_sel_2_i ( PIN p_sel_2_i ) ( BUMP_10_15 PAD ) ( u_sel_2_i PAD ) + USE SIGNAL + ROUTED metal9 0 + SHAPE IOWIRE ( 3770000 5825000 ) via9_0 - NEW metal10 16000 + SHAPE IOWIRE ( 3769200 5825000 ) ( 3793790 5825000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3785790 5769740 ) ( 3785790 5833000 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 5737740 ) ( 3785790 5769740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3753790 5545740 ) ( 3753790 5737740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 5449740 ) ( 3753790 5545740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3657790 5281740 ) ( 3657790 5449740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3649790 5289740 ) ( 3673000 5289740 ) - NEW metal10 16000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5297740 ) ; + NEW metal10 8000 + SHAPE IOWIRE ( 3770000 5794540 ) ( 3770000 5825800 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3762590 5798540 ) ( 3774000 5798540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3766590 5782540 ) ( 3766590 5802540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5750540 ) ( 3766590 5782540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3734590 5526540 ) ( 3734590 5750540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5462540 ) ( 3734590 5526540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3670590 5330540 ) ( 3670590 5462540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3661000 5334540 ) ( 3674590 5334540 ) + NEW metal10 8000 + SHAPE IOWIRE ( 3665000 5275000 ) ( 3665000 5338540 ) ; END SPECIALNETS NETS 215 ; - core_bsg_tag_clk_i ( u_bsg_tag_clk_i Y ) + USE SIGNAL ; diff --git a/src/pad/test/rdl_route_via.tcl b/src/pad/test/rdl_route_via.tcl index 5f5348f40ba..857e1499a3d 100644 --- a/src/pad/test/rdl_route_via.tcl +++ b/src/pad/test/rdl_route_via.tcl @@ -5,7 +5,7 @@ read_lef Nangate45_io/dummy_pads_m9.lef read_def Nangate45_blackparrot/floorplan_flipchip.def -rdl_route -layer metal10 -pad_via via9_0 -width 8 -spacing 8 -allow45 "VDD DVDD VSS DVSS p_*" +rdl_route -layer metal10 -pad_via via9_0 -width 4 -spacing 4 -allow45 "VDD DVDD VSS DVSS p_*" set def_file [make_result_file "rdl_route_via.def"] write_def $def_file diff --git a/src/ppl/include/ppl/AbstractIOPlacerRenderer.h b/src/ppl/src/AbstractIOPlacerRenderer.h similarity index 100% rename from src/ppl/include/ppl/AbstractIOPlacerRenderer.h rename to src/ppl/src/AbstractIOPlacerRenderer.h diff --git a/src/ppl/src/IOPlacer.cpp b/src/ppl/src/IOPlacer.cpp index 895b7fedc3a..864b817fa58 100644 --- a/src/ppl/src/IOPlacer.cpp +++ b/src/ppl/src/IOPlacer.cpp @@ -40,6 +40,7 @@ #include #include +#include "AbstractIOPlacerRenderer.h" #include "Core.h" #include "HungarianMatching.h" #include "Netlist.h" @@ -47,7 +48,6 @@ #include "Slots.h" #include "odb/db.h" #include "ord/OpenRoad.hh" -#include "ppl/AbstractIOPlacerRenderer.h" #include "utl/Logger.h" #include "utl/algorithms.h" diff --git a/src/ppl/src/IOPlacerRenderer.h b/src/ppl/src/IOPlacerRenderer.h index 79081d04683..992196332d1 100644 --- a/src/ppl/src/IOPlacerRenderer.h +++ b/src/ppl/src/IOPlacerRenderer.h @@ -35,9 +35,9 @@ #pragma once +#include "AbstractIOPlacerRenderer.h" #include "SimulatedAnnealing.h" #include "gui/gui.h" -#include "ppl/AbstractIOPlacerRenderer.h" #include "ppl/IOPlacer.h" namespace ppl { @@ -68,4 +68,4 @@ class IOPlacerRenderer : public gui::Renderer, public AbstractIOPlacerRenderer bool is_no_pause_mode_; }; -} // namespace ppl \ No newline at end of file +} // namespace ppl diff --git a/src/ppl/src/SimulatedAnnealing.cpp b/src/ppl/src/SimulatedAnnealing.cpp index fa30d30e28b..1b63b6c11b5 100644 --- a/src/ppl/src/SimulatedAnnealing.cpp +++ b/src/ppl/src/SimulatedAnnealing.cpp @@ -35,7 +35,7 @@ #include "SimulatedAnnealing.h" -#include "ppl/AbstractIOPlacerRenderer.h" +#include "AbstractIOPlacerRenderer.h" #include "utl/Logger.h" #include "utl/algorithms.h" diff --git a/src/rmp/src/abc_library_factory.cpp b/src/rmp/src/abc_library_factory.cpp index 680390ccc91..d310add0fdf 100644 --- a/src/rmp/src/abc_library_factory.cpp +++ b/src/rmp/src/abc_library_factory.cpp @@ -317,7 +317,7 @@ AbcLibrary AbcLibraryFactory::Build() } abc::Abc_SclLibNormalize(abc_library); - return AbcLibrary(utl::deleted_unique_ptr( + return AbcLibrary(utl::UniquePtrWithDeleter( abc_library, [](abc::SC_Lib* lib) { abc::Abc_SclLibFree(lib); })); } @@ -466,4 +466,4 @@ bool AbcLibrary::IsSupportedCell(const std::string& cell_name) return supported_cells_.find(cell_name) != supported_cells_.end(); } -} // namespace rmp \ No newline at end of file +} // namespace rmp diff --git a/src/rmp/src/abc_library_factory.h b/src/rmp/src/abc_library_factory.h index d180dc3fda0..71a4c87d515 100644 --- a/src/rmp/src/abc_library_factory.h +++ b/src/rmp/src/abc_library_factory.h @@ -22,7 +22,7 @@ namespace rmp { class AbcLibrary { public: - AbcLibrary(utl::deleted_unique_ptr abc_library) + AbcLibrary(utl::UniquePtrWithDeleter abc_library) : abc_library_(std::move(abc_library)) { } @@ -31,7 +31,7 @@ class AbcLibrary bool IsSupportedCell(const std::string& cell_name); private: - utl::deleted_unique_ptr abc_library_; + utl::UniquePtrWithDeleter abc_library_; std::set supported_cells_; }; @@ -63,4 +63,4 @@ class AbcLibraryFactory sta::dbSta* db_sta_ = nullptr; }; -} // namespace rmp \ No newline at end of file +} // namespace rmp diff --git a/src/rmp/src/logic_cut.cpp b/src/rmp/src/logic_cut.cpp index 88a54254357..dd86cce4160 100644 --- a/src/rmp/src/logic_cut.cpp +++ b/src/rmp/src/logic_cut.cpp @@ -14,6 +14,7 @@ #include "db_sta/dbNetwork.hh" #include "map/mio/mio.h" #include "sta/Liberty.hh" +#include "unique_name.h" #include "utl/Logger.h" #include "utl/deleter.h" @@ -28,9 +29,9 @@ std::unordered_map CreateAbcPrimaryInputs( for (sta::Net* input_pin : primary_inputs) { abc::Abc_Obj_t* primary_input_abc = abc::Abc_NtkCreatePi(&abc_network); abc::Abc_Obj_t* primary_input_net = abc::Abc_NtkCreateNet(&abc_network); - std::string net_name(network->name(input_pin)); + std::string net_name(network->pathName(input_pin)); abc::Abc_ObjAssignName( - primary_input_abc, net_name.data(), /*pSuffix=*/nullptr); + primary_input_net, net_name.data(), /*pSuffix=*/nullptr); abc::Abc_ObjAddFanin(primary_input_net, primary_input_abc); name_pin_map[input_pin] = primary_input_net; @@ -48,7 +49,7 @@ std::unordered_map CreateAbcPrimaryOutputs( for (sta::Net* output_pin : primary_outputs) { abc::Abc_Obj_t* primary_output_abc = abc::Abc_NtkCreatePo(&abc_network); abc::Abc_Obj_t* primary_output_net = abc::Abc_NtkCreateNet(&abc_network); - std::string net_name(network->name(output_pin)); + std::string net_name(network->pathName(output_pin)); abc::Abc_ObjAssignName( primary_output_net, net_name.data(), /*pSuffix=*/nullptr); abc::Abc_ObjAddFanin(primary_output_abc, primary_output_net); @@ -219,6 +220,7 @@ void CreateNets( abc::Abc_Obj_t* abc_driver_instance = abc_instances.at(instance); abc::Abc_Obj_t* primary_output = abc_primary_output_nets.at(output_net); abc::Abc_ObjAddFanin(primary_output, abc_driver_instance); + abc_net_map[output_net] = primary_output; } // ABC expects the inputs to particular gates to happen in a certain implict @@ -254,20 +256,20 @@ void CreateNets( } } -utl::deleted_unique_ptr LogicCut::BuildMappedAbcNetwork( +utl::UniquePtrWithDeleter LogicCut::BuildMappedAbcNetwork( AbcLibrary& abc_library, sta::dbNetwork* network, utl::Logger* logger) { - utl::deleted_unique_ptr abc_network( + utl::UniquePtrWithDeleter abc_network( abc::Abc_NtkAlloc(abc::Abc_NtkType_t::ABC_NTK_NETLIST, abc::Abc_NtkFunc_t::ABC_FUNC_MAP, /*fUseMemMan=*/1), &abc::Abc_NtkDelete); - std::unordered_map abc_input_pins + std::unordered_map abc_input_nets = CreateAbcPrimaryInputs(primary_inputs_, *abc_network, network); - std::unordered_map abc_output_pins + std::unordered_map abc_output_nets = CreateAbcPrimaryOutputs(primary_outputs_, *abc_network, network); // Create MIO standard cell library @@ -282,8 +284,8 @@ utl::deleted_unique_ptr LogicCut::BuildMappedAbcNetwork( cut_instances_, *abc_network, network, mio_library, logger); CreateNets(primary_outputs_, - abc_input_pins, - abc_output_pins, + abc_input_nets, + abc_output_nets, standard_cells, *abc_network, network, @@ -293,4 +295,320 @@ utl::deleted_unique_ptr LogicCut::BuildMappedAbcNetwork( return abc_network; } -} // namespace rmp \ No newline at end of file +sta::Instance* GetLogicalParentInstance( + const std::unordered_set& cut_instances_, + sta::dbNetwork* network, + utl::Logger* logger) +{ + // In physical designs with hierarchy we need to figure out + // the parent module in which instances should be placed. + // For now lets just grab the first Instance* we see. RMP + // shouldn't be doing anything across modules right now, but + // if that changes I assume things will break. + if (cut_instances_.empty()) { + logger->error(utl::RMP, 1011, "Empty logic cuts are not allowed"); + } + + sta::Instance* instance = nullptr; + for (sta::Instance* cut_instance : cut_instances_) { + if (instance == nullptr) { + instance = network->parent(cut_instance); + } + + if (instance != network->parent(cut_instance)) { + logger->error(utl::RMP, + 1012, + "LogiCuts with multiple parent modules are not allowed."); + } + } + + return instance; +} + +std::unordered_map CreateInstances( + abc::Abc_Ntk_t* abc_network, + sta::dbNetwork* network, + sta::Instance* parent_instance, + UniqueName& unique_name, + utl::Logger* logger) +{ + std::unordered_map result; + + for (int i = 0; i < abc::Abc_NtkObjNumMax(abc_network); i++) { + // ABC stores all objects as a list of objs we need to filter + // to the ones that represent nodes/standard cells. + abc::Abc_Obj_t* node_obj = abc::Abc_NtkObj(abc_network, i); + if (node_obj == nullptr || !abc::Abc_ObjIsNode(node_obj)) { + continue; + } + + auto std_cell = static_cast(abc::Abc_ObjData(node_obj)); + std::string std_cell_name = abc::Mio_GateReadName(std_cell); + sta::LibertyCell* liberty_cell + = network->findLibertyCell(std_cell_name.c_str()); + + if (liberty_cell == nullptr) { + logger->error( + utl::RMP, + 1010, + "Could not find cell name {}, please report this internal error", + std_cell_name); + } + + sta::Instance* new_instance = network->makeInstance( + liberty_cell, unique_name.GetUniqueName().c_str(), parent_instance); + + result[node_obj] = new_instance; + } + return result; +} + +std::unordered_map CreateNets( + abc::Abc_Ntk_t* abc_network, + sta::dbNetwork* network, + sta::Instance* parent_instance, + UniqueName& unique_name, + utl::Logger* logger) +{ + std::unordered_map result; + + // Get primary input and output nets + for (int i = 0; i < abc::Abc_NtkObjNumMax(abc_network); i++) { + abc::Abc_Obj_t* node_obj = abc::Abc_NtkObj(abc_network, i); + if (node_obj == nullptr) { + continue; + } + + abc::Abc_Obj_t* net_obj = nullptr; + if (abc::Abc_ObjIsPo(node_obj)) { + net_obj = abc::Abc_ObjFanin0(node_obj); + } else if (abc::Abc_ObjIsPi(node_obj)) { + net_obj = abc::Abc_ObjFanout0(node_obj); + } else { + continue; + } + + if (!abc::Abc_ObjIsNet(net_obj)) { + logger->error(utl::RMP, + 1013, + "Primary input or output is not connected to an AIG PI/PO, " + "please report this internal error"); + } + + std::string net_name = abc::Abc_ObjName(net_obj); + sta::Net* net = network->findNet(net_name.c_str()); + if (!net) { + logger->error(utl::RMP, 1024, "Cannot find primary net {}", net_name); + } + result[net_obj] = net; + } + + for (int i = 0; i < abc::Abc_NtkObjNumMax(abc_network); i++) { + // ABC stores all objects as a list of objs we need to filter + // to the ones that represent nodes/standard cells. + abc::Abc_Obj_t* node_obj = abc::Abc_NtkObj(abc_network, i); + if (node_obj == nullptr || !abc::Abc_ObjIsNet(node_obj)) { + continue; + } + + if (result.find(node_obj) != result.end()) { + continue; + } + + sta::Net* sta_net = network->makeNet(unique_name.GetUniqueName().c_str(), + parent_instance); + result[node_obj] = sta_net; + } + + return result; +} + +void DeleteExistingLogicCut(sta::dbNetwork* network, + std::vector& primary_inputs, + std::vector& primary_outputs, + std::unordered_set& cut_instances, + utl::Logger* logger) +{ + // Delete nets that only belong to the cut set. + std::unordered_set nets_to_be_deleted; + std::unordered_set primary_input_or_output_nets; + + for (sta::Net* net : primary_inputs) { + primary_input_or_output_nets.insert(net); + } + for (sta::Net* net : primary_outputs) { + primary_input_or_output_nets.insert(net); + } + + for (sta::Instance* instance : cut_instances) { + auto pin_iterator = std::unique_ptr( + network->pinIterator(instance)); + while (pin_iterator->hasNext()) { + sta::Pin* pin = pin_iterator->next(); + sta::Net* connected_net = network->net(pin); + // If pin isn't a primary input or output add to deleted list. The only + // way this can happen is if a net is only used within the cutset, and + // in that case we want to delete it. + if (primary_input_or_output_nets.find(connected_net) + == primary_input_or_output_nets.end()) { + nets_to_be_deleted.insert(connected_net); + } + } + } + + for (sta::Instance* instance : cut_instances) { + network->deleteInstance(instance); + } + + for (sta::Net* net : nets_to_be_deleted) { + network->deleteNet(net); + } +} + +void ConnectInstances( + abc::Abc_Ntk_t* abc_network, + sta::dbNetwork* network, + const std::unordered_map& new_instances, + const std::unordered_map& new_nets, + utl::Logger* logger) +{ + abc::Mio_Library_t* library + = static_cast(abc_network->pManFunc); + std::unordered_map> + gate_to_port_order = MioGateToPortOrder(library); + for (auto& [abc_obj, sta_instance] : new_instances) { + auto std_cell = static_cast(abc::Abc_ObjData(abc_obj)); + if (gate_to_port_order.find(std_cell) == gate_to_port_order.end()) { + logger->error(utl::RMP, + 1021, + "Cannot find abc gate port order {}, please report this " + "internal error", + abc::Mio_GateReadName(std_cell)); + } + + // Connect fan-ins for instance + + std::string gate_name = abc::Mio_GateReadName(std_cell); + sta::LibertyCell* cell = network->findLibertyCell(gate_name.c_str()); + if (!cell) { + logger->error(utl::RMP, 1014, "Cannot find cell {}", gate_name); + } + + int i = 0; + // ABC doesn't really have a concept of port names and liberty ports + // rather there is an implict order between fan-ins and its standard cell, + // loop through in that order, and connect the ports correctly based + // on the abc fan-in. + for (const std::string& port_name : gate_to_port_order.at(std_cell)) { + sta::LibertyPort* port = cell->findLibertyPort(port_name.c_str()); + if (!port) { + logger->error( + utl::RMP, 1015, "Cannot find port {}/{}", gate_name, port_name); + } + + abc::Abc_Obj_t* net = abc::Abc_ObjFanin(abc_obj, i); + if (!abc::Abc_ObjIsNet(net)) { + logger->error(utl::RMP, + 1016, + "Object is not a net in ABC netlist {}", + abc::Abc_ObjName(net)); + } + + if (new_nets.find(net) == new_nets.end()) { + logger->error(utl::RMP, + 1022, + "Could not find corresponding sta net for abc net: {}", + abc::Abc_ObjName(net)); + } + + sta::Net* sta_net = new_nets.at(net); + + network->connect(sta_instance, port, sta_net); + i++; + } + + // Connect the fan-out, in this case the only fan-out since ABC only + // deals with single output gates. + std::string output_port_name = abc::Mio_GateReadOutName(std_cell); + sta::LibertyPort* output_port + = cell->findLibertyPort(output_port_name.c_str()); + + if (!output_port) { + logger->error(utl::RMP, + 1017, + "Cannot find port {}/{}", + gate_name, + output_port_name); + } + abc::Abc_Obj_t* net = abc::Abc_ObjFanout0(abc_obj); + if (!abc::Abc_ObjIsNet(net)) { + logger->error(utl::RMP, + 1019, + "Object is not a net in ABC netlist {}", + abc::Abc_ObjName(net)); + } + + if (new_nets.find(net) == new_nets.end()) { + logger->error(utl::RMP, + 1020, + "Could not find corresponding sta net for abc net: {}", + abc::Abc_ObjName(net)); + } + + sta::Net* sta_net = new_nets.at(net); + + network->connect(sta_instance, output_port, sta_net); + } +} + +void LogicCut::InsertMappedAbcNetwork(abc::Abc_Ntk_t* abc_network, + sta::dbNetwork* network, + UniqueName& unique_name, + utl::Logger* logger) +{ + if (!abc::Abc_NtkHasMapping(abc_network)) { + logger->error( + utl::RMP, + 1008, + "abc_network has no mapping, please report this internal error."); + } + + if (!abc::Abc_NtkIsNetlist(abc_network)) { + logger->error( + utl::RMP, + 1009, + "abc_network is not a netlist, please report this internal error."); + } + + sta::Instance* parent_instance + = GetLogicalParentInstance(cut_instances_, network, logger); + std::unordered_map abc_objs_to_instances + = CreateInstances( + abc_network, network, parent_instance, unique_name, logger); + std::unordered_map abc_nets_to_sta_nets + = CreateNets(abc_network, network, parent_instance, unique_name, logger); + + // Get rid of the old cut in preparation to connect the new ones. + DeleteExistingLogicCut( + network, primary_inputs_, primary_outputs_, cut_instances_, logger); + + // Connects the new instances to each other and to their primary inputs + // and outputs. + ConnectInstances(abc_network, + network, + abc_objs_to_instances, + abc_nets_to_sta_nets, + logger); + + // Final clean up to make this cut valid again. Replace the old cut instances + // with the new ones. This should result in an equally valid LogicCut since + // the PI/POs haven't changed just the junk inside. + cut_instances_.clear(); + cut_instances_.reserve(abc_objs_to_instances.size()); + + for (const auto& kv : abc_objs_to_instances) { + cut_instances_.insert(kv.second); + } +} + +} // namespace rmp diff --git a/src/rmp/src/logic_cut.h b/src/rmp/src/logic_cut.h index 05559114037..9d60cb564e0 100644 --- a/src/rmp/src/logic_cut.h +++ b/src/rmp/src/logic_cut.h @@ -15,6 +15,7 @@ #include "db_sta/dbNetwork.hh" #include "sta/GraphClass.hh" #include "sta/NetworkClass.hh" +#include "unique_name.h" #include "utl/Logger.h" #include "utl/deleter.h" @@ -22,7 +23,6 @@ namespace rmp { class LogicCut { public: - LogicCut() = default; LogicCut(std::vector& primary_inputs, std::vector& primary_outputs, std::unordered_set& cut_instances) @@ -52,11 +52,16 @@ class LogicCut && cut_instances_.empty(); } - utl::deleted_unique_ptr BuildMappedAbcNetwork( + utl::UniquePtrWithDeleter BuildMappedAbcNetwork( AbcLibrary& abc_library, sta::dbNetwork* network, utl::Logger* logger); + void InsertMappedAbcNetwork(abc::Abc_Ntk_t* abc_network, + sta::dbNetwork* network, + UniqueName& unique_name, + utl::Logger* logger); + private: std::vector primary_inputs_; std::vector primary_outputs_; diff --git a/src/rmp/src/unique_name.h b/src/rmp/src/unique_name.h new file mode 100644 index 00000000000..d84e1c1f73d --- /dev/null +++ b/src/rmp/src/unique_name.h @@ -0,0 +1,24 @@ +// Copyright 2024 Google LLC +// +// Use of this source code is governed by a BSD-style +// license that can be found in the LICENSE file or at +// https://developers.google.com/open-source/licenses/bsd + +#pragma once + +#include + +namespace rmp { +class UniqueName +{ + public: + std::string GetUniqueName(const std::string& prefix = "rmp_") + { + int64_t id = counter++; + return prefix + std::to_string(id); + } + + private: + int64_t counter = 0; +}; +} // namespace rmp diff --git a/src/rmp/test/cpp/TestAbc.cc b/src/rmp/test/cpp/TestAbc.cc index 1ffe64d086a..5ee8889d75b 100644 --- a/src/rmp/test/cpp/TestAbc.cc +++ b/src/rmp/test/cpp/TestAbc.cc @@ -54,12 +54,13 @@ class AbcTest : public ::testing::Test protected: void SetUp() override { - db_ = utl::deleted_unique_ptr(odb::dbDatabase::create(), - &odb::dbDatabase::destroy); + db_ = utl::UniquePtrWithDeleter(odb::dbDatabase::create(), + &odb::dbDatabase::destroy); std::call_once(init_sta_flag, []() { sta::initSta(); abc::Abc_Start(); }); + db_->setLogger(&logger_); sta_ = std::unique_ptr(ord::makeDbSta()); sta_->initVars(Tcl_CreateInterp(), db_.get(), &logger_); auto path = std::filesystem::canonical("./Nangate45/Nangate45_fast.lib"); @@ -123,8 +124,20 @@ class AbcTest : public ::testing::Test sta_->ensureGraph(); sta_->ensureLevelized(); } + std::map AbcLogicNetworkNameToPrimaryOutputIds( + abc::Abc_Ntk_t* network) + { + std::map primary_output_name_to_index; + for (int i = 0; i < abc::Abc_NtkPoNum(network); i++) { + abc::Abc_Obj_t* po = abc::Abc_NtkPo(network, i); + std::string po_name = abc::Abc_ObjName(po); + primary_output_name_to_index[po_name] = i; + } - utl::deleted_unique_ptr db_; + return primary_output_name_to_index; + } + + utl::UniquePtrWithDeleter db_; sta::Unit* power_unit_; std::unique_ptr sta_; sta::LibertyLibrary* library_; @@ -238,7 +251,7 @@ TEST_F(AbcTest, TestLibraryInstallation) gate = abc::Mio_GateReadNext(gate); } - utl::deleted_unique_ptr network( + utl::UniquePtrWithDeleter network( abc::Abc_NtkAlloc(abc::Abc_NtkType_t::ABC_NTK_NETLIST, abc::Abc_NtkFunc_t::ABC_FUNC_MAP, /*fUseMemMan=*/1), @@ -271,11 +284,11 @@ TEST_F(AbcTest, TestLibraryInstallation) abc::Abc_ObjAddFanin(output, output_net); - utl::deleted_unique_ptr logic_network( + utl::UniquePtrWithDeleter logic_network( abc::Abc_NtkToLogic(network.get()), &abc::Abc_NtkDelete); std::array input_vector = {1, 1}; - utl::deleted_unique_ptr output_vector( + utl::UniquePtrWithDeleter output_vector( abc::Abc_NtkVerifySimulatePattern(logic_network.get(), input_vector.data()), &free); @@ -384,24 +397,20 @@ TEST_F(AbcTest, BuildAbcMappedNetworkFromLogicCut) logic_extractor.AppendEndpoint(flop_input_vertex); LogicCut cut = logic_extractor.BuildLogicCut(abc_library); - utl::deleted_unique_ptr abc_network + utl::UniquePtrWithDeleter abc_network = cut.BuildMappedAbcNetwork(abc_library, network, &logger_); abc::Abc_NtkSetName(abc_network.get(), strdup("temp_network_name")); - utl::deleted_unique_ptr logic_network( + utl::UniquePtrWithDeleter logic_network( abc::Abc_NtkToLogic(abc_network.get()), &abc::Abc_NtkDelete); // Build map of primary output names to primary output indicies in ABC - std::map primary_output_name_to_index; - for (int i = 0; i < abc::Abc_NtkPoNum(logic_network.get()); i++) { - abc::Abc_Obj_t* po = abc::Abc_NtkPo(logic_network.get(), i); - std::string po_name = abc::Abc_ObjName(po); - primary_output_name_to_index[po_name] = i; - } + std::map primary_output_name_to_index + = AbcLogicNetworkNameToPrimaryOutputIds(logic_network.get()); std::array input_vector = {1, 1}; - utl::deleted_unique_ptr output_vector( + utl::UniquePtrWithDeleter output_vector( abc::Abc_NtkVerifySimulatePattern(logic_network.get(), input_vector.data()), &free); @@ -436,4 +445,97 @@ TEST_F(AbcTest, BuildComplexLogicCone) EXPECT_NO_THROW(cut.BuildMappedAbcNetwork(abc_library, network, &logger_)); } + +TEST_F(AbcTest, InsertingMappedLogicCutDoesNotThrow) +{ + AbcLibraryFactory factory(&logger_); + factory.AddDbSta(sta_.get()); + AbcLibrary abc_library = factory.Build(); + + LoadVerilog("aes_nangate45.v", /*top=*/"aes_cipher_top"); + + sta::dbNetwork* network = sta_->getDbNetwork(); + sta::Vertex* flop_input_vertex = nullptr; + for (sta::Vertex* vertex : *sta_->endpoints()) { + if (std::string(vertex->name(network)) == "_32989_/D") { + flop_input_vertex = vertex; + } + } + EXPECT_NE(flop_input_vertex, nullptr); + + LogicExtractorFactory logic_extractor(sta_.get(), &logger_); + logic_extractor.AppendEndpoint(flop_input_vertex); + LogicCut cut = logic_extractor.BuildLogicCut(abc_library); + + utl::UniquePtrWithDeleter mapped_abc_network + = cut.BuildMappedAbcNetwork(abc_library, network, &logger_); + + rmp::UniqueName unique_name; + EXPECT_NO_THROW(cut.InsertMappedAbcNetwork( + mapped_abc_network.get(), network, unique_name, &logger_)); +} + +TEST_F(AbcTest, + AfterExtractingAndReinsertingCuttingAgainResultsInCorrectSimulation) +{ + AbcLibraryFactory factory(&logger_); + factory.AddDbSta(sta_.get()); + AbcLibrary abc_library = factory.Build(); + + LoadVerilog("side_outputs_extract_logic_depth.v"); + + sta::dbNetwork* network = sta_->getDbNetwork(); + sta::Vertex* flop_input_vertex = nullptr; + for (sta::Vertex* vertex : *sta_->endpoints()) { + if (std::string(vertex->name(network)) == "output_flop/D") { + flop_input_vertex = vertex; + } + } + EXPECT_NE(flop_input_vertex, nullptr); + + LogicExtractorFactory logic_extractor(sta_.get(), &logger_); + logic_extractor.AppendEndpoint(flop_input_vertex); + LogicCut cut = logic_extractor.BuildLogicCut(abc_library); + + utl::UniquePtrWithDeleter mapped_abc_network + = cut.BuildMappedAbcNetwork(abc_library, network, &logger_); + + rmp::UniqueName unique_name; + cut.InsertMappedAbcNetwork( + mapped_abc_network.get(), network, unique_name, &logger_); + + // Re-extract the same cone, and try to simulate it to make sure everything + // still simulates correctly + LogicExtractorFactory logic_extractor_post_insert(sta_.get(), &logger_); + logic_extractor_post_insert.AppendEndpoint(flop_input_vertex); + LogicCut cut_post_insert + = logic_extractor_post_insert.BuildLogicCut(abc_library); + + utl::UniquePtrWithDeleter mapped_abc_network_post_insert + = cut.BuildMappedAbcNetwork(abc_library, network, &logger_); + + abc::Abc_NtkSetName(mapped_abc_network_post_insert.get(), + strdup("temp_network_name")); + + utl::UniquePtrWithDeleter logic_network( + abc::Abc_NtkToLogic(mapped_abc_network_post_insert.get()), + &abc::Abc_NtkDelete); + + // Build map of primary output names to primary output indicies in ABC + std::map primary_output_name_to_index + = AbcLogicNetworkNameToPrimaryOutputIds(logic_network.get()); + + std::array input_vector = {1, 1}; + utl::UniquePtrWithDeleter output_vector( + abc::Abc_NtkVerifySimulatePattern(logic_network.get(), + input_vector.data()), + &free); + + // Both outputs are just the and gate. + EXPECT_EQ(output_vector.get()[primary_output_name_to_index.at("flop_net")], + 0); // Expect that !(1 & 1) == 0 + EXPECT_EQ(output_vector.get()[primary_output_name_to_index.at("and_output")], + 1); // Expect that (1 & 1) == 1 +} + } // namespace rmp diff --git a/src/rsz/README.md b/src/rsz/README.md index cd2c35276c9..b0d62e4a589 100644 --- a/src/rsz/README.md +++ b/src/rsz/README.md @@ -362,13 +362,21 @@ report_design_area ### Report Floating Nets -The `report_floating_nets` command reports nets with only one pin connection. +The `report_floating_nets` command reports nets with connected loads but no connected drivers. ```tcl report_floating_nets [-verbose] ``` +### Eliminate Dead Logic + +The `eliminate_dead_logic` command eliminates dead logic, i.e. it removes standard cell instances which can be removed without affecting the function of the design. + +```tcl +eliminate_dead_logic +``` + #### Options | Switch Name | Description | diff --git a/src/rsz/include/rsz/Resizer.hh b/src/rsz/include/rsz/Resizer.hh index 1631c26baf0..e359c4fdf06 100644 --- a/src/rsz/include/rsz/Resizer.hh +++ b/src/rsz/include/rsz/Resizer.hh @@ -427,6 +427,7 @@ class Resizer : public dbStaState Logger* logger() const { return logger_; } void invalidateParasitics(const Pin* pin, const Net* net); void eraseParasitics(const Net* net); + void eliminateDeadLogic(bool clean_nets); protected: void init(); diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index b357228d717..4ea5affd15a 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -259,6 +259,7 @@ bool RepairSetup::repairSetup(const float setup_slack_margin, break; } PathRef end_path = sta_->vertexWorstSlackPath(end, max_); + const bool changed = repairPath(end_path, end_slack, skip_pin_swap, @@ -1240,7 +1241,9 @@ bool RepairSetup::cloneDriver(const PathRef* drvr_path, } const string buffer_name = resizer_->makeUniqueInstName("clone"); - Instance* parent = db_network_->topInstance(); + + // Hierarchy fix + Instance* parent = db_network_->getOwningInstanceParent(drvr_pin); // This is the meat of the gate cloning code. // We need to downsize the current driver AND we need to insert another @@ -1274,21 +1277,39 @@ bool RepairSetup::cloneDriver(const PathRef* drvr_path, network_->pathName(clone_inst), clone_cell->name()); - Net* out_net = resizer_->makeUniqueNet(); + // Hierarchy fix, make out_net in parent. + + // Net* out_net = resizer_->makeUniqueNet(); + std::string out_net_name = resizer_->makeUniqueNetName(); + Net* out_net = db_network_->makeNet(out_net_name.c_str(), parent); + std::unique_ptr inst_pin_iter{ network_->pinIterator(drvr_inst)}; + while (inst_pin_iter->hasNext()) { Pin* pin = inst_pin_iter->next(); if (network_->direction(pin)->isInput()) { // Connect to all the inputs of the original cell. auto libPort = network_->libertyPort( pin); // get the liberty port of the original inst/pin - auto net = network_->net(pin); + // Hierarchy fix: make sure modnet on input supported + dbNet* dbnet = db_network_->flatNet(pin); + odb::dbModNet* modnet = db_network_->hierNet(pin); + // get the iterm + Pin* clone_pin = db_network_->findPin(clone_inst, libPort->name()); + dbITerm* iterm = db_network_->flatPin(clone_pin); + sta_->connectPin( clone_inst, libPort, - net); // connect the same liberty port of the new instance - resizer_->parasiticsInvalid(net); + db_network_->dbToSta( + dbnet)); // connect the same liberty port of the new instance + + // Hierarchy fix + if (modnet) { + iterm->connect(modnet); + } + resizer_->parasiticsInvalid(db_network_->dbToSta(dbnet)); } } @@ -1304,9 +1325,14 @@ bool RepairSetup::cloneDriver(const PathRef* drvr_path, break; } } + // Connect to the new output net we just created auto* clone_output_port = network_->port(clone_output_pin); sta_->connectPin(clone_inst, clone_output_port, out_net); + // Hierarchy: stash the iterm just in case we need to do some + // hierarchical wiring + + odb::dbITerm* clone_output_iterm = db_network_->flatPin(clone_output_pin); // Divide the list of pins in half and connect them to the new net we // created as part of gate cloning. Skip ports connected to the original net @@ -1315,13 +1341,27 @@ bool RepairSetup::cloneDriver(const PathRef* drvr_path, pair fanout_slack = fanout_slacks[i]; Vertex* load_vertex = fanout_slack.first; Pin* load_pin = load_vertex->pin(); - // Leave ports connected to original net so verilog port names are + dbITerm* load_iterm = db_network_->flatPin(load_pin); + + // Leave top level ports connected to original net so verilog port names are // preserved. if (!network_->isTopLevelPort(load_pin)) { auto* load_port = network_->port(load_pin); Instance* load = network_->instance(load_pin); + Instance* load_parent_inst + = db_network_->getOwningInstanceParent(load_pin); + + // disconnects everything sta_->disconnectPin(load_pin); - sta_->connectPin(load, load_port, out_net); + // hierarchy fix: if load and clone in different modules + // do the cross module wiring. + if (load_parent_inst != parent) { + std::string unique_connection_name = resizer_->makeUniqueNetName(); + db_network_->hierarchicalConnect( + clone_output_iterm, load_iterm, unique_connection_name.c_str()); + } else { + sta_->connectPin(load, load_port, out_net); + } } } resizer_->parasiticsInvalid(out_net); @@ -1917,6 +1957,7 @@ void RepairSetup::repairSetupLastGasp(const OptoParams& params, int& num_viols) break; } PathRef end_path = sta_->vertexWorstSlackPath(end, max_); + const bool changed = repairPath(end_path, end_slack, true /* skip_pin_swap */, diff --git a/src/rsz/src/Resizer.cc b/src/rsz/src/Resizer.cc index ce68c4dff21..015a3e0e9e9 100644 --- a/src/rsz/src/Resizer.cc +++ b/src/rsz/src/Resizer.cc @@ -1231,6 +1231,15 @@ void Resizer::swapPins(Instance* inst, Pin *found_pin1, *found_pin2; Net *net1, *net2; + odb::dbModNet* mod_net_pin1 = nullptr; + odb::dbNet* flat_net_pin1 = nullptr; + + odb::dbModNet* mod_net_pin2 = nullptr; + odb::dbNet* flat_net_pin2 = nullptr; + + odb::dbITerm* iterm_pin1 = nullptr; + odb::dbITerm* iterm_pin2 = nullptr; + InstancePinIterator* pin_iter = network_->pinIterator(inst); found_pin1 = found_pin2 = nullptr; net1 = net2 = nullptr; @@ -1238,29 +1247,54 @@ void Resizer::swapPins(Instance* inst, Pin* pin = pin_iter->next(); Net* net = network_->net(pin); LibertyPort* port = network_->libertyPort(pin); + // port pointers may change after sizing // if (port == port1) { if (std::strcmp(port->name(), port1->name()) == 0) { found_pin1 = pin; net1 = net; + flat_net_pin1 = db_network_->flatNet(found_pin1); + mod_net_pin1 = db_network_->hierNet(found_pin1); + iterm_pin1 = db_network_->flatPin(found_pin1); } if (std::strcmp(port->name(), port2->name()) == 0) { found_pin2 = pin; net2 = net; + flat_net_pin2 = db_network_->flatNet(found_pin2); + mod_net_pin2 = db_network_->hierNet(found_pin2); + iterm_pin2 = db_network_->flatPin(found_pin2); } } if (net1 != nullptr && net2 != nullptr) { // Swap the ports and nets + // Support for hierarchy, swap modnets as well as dbnets + + // disconnect everything connected to found_pin1 sta_->disconnectPin(found_pin1); - sta_->connectPin(inst, port1, net2); + // sta_->connectPin(inst, port1, net2); + if (flat_net_pin2) { + iterm_pin1->connect(flat_net_pin2); + } + if (mod_net_pin2) { + iterm_pin1->connect(mod_net_pin2); + } + sta_->disconnectPin(found_pin2); - sta_->connectPin(inst, port2, net1); + // sta_->connectPin(inst, port2, net1); + if (flat_net_pin1) { + iterm_pin2->connect(flat_net_pin1); + } + if (mod_net_pin1) { + iterm_pin2->connect(mod_net_pin1); + } // Invalidate the parasitics on these two nets. if (haveEstimatedParasitics()) { - invalidateParasitics(found_pin2, net1); - invalidateParasitics(found_pin1, net2); + invalidateParasitics(found_pin2, + db_network_->dbToSta(flat_net_pin1)); // net1); + invalidateParasitics(found_pin1, + db_network_->dbToSta(flat_net_pin2)); // net2); } } } @@ -3648,4 +3682,107 @@ void Resizer::resetInputSlews() input_slew_map_.clear(); } +void Resizer::eliminateDeadLogic(bool clean_nets) +{ + std::vector queue; + std::set kept_instances; + + auto keepInst = [&](const Instance* inst) { + if (!kept_instances.count(inst)) { + kept_instances.insert(inst); + queue.push_back(inst); + } + }; + + auto keepPinDriver = [&](Pin* pin) { + PinSet* drivers = network_->drivers(pin); + if (drivers) { + for (const Pin* drvr_pin : *drivers) { + if (auto inst = network_->instance(drvr_pin)) { + keepInst(inst); + } + } + } + }; + + auto top_inst = network_->topInstance(); + if (top_inst) { + auto iter = network_->pinIterator(top_inst); + while (iter->hasNext()) { + Pin* pin = iter->next(); + Net* net = network_->net(network_->term(pin)); + PinSet* drivers = network_->drivers(net); + if (drivers) { + for (const Pin* drvr_pin : *drivers) { + if (auto inst = network_->instance(drvr_pin)) { + keepInst(inst); + } + } + } + } + delete iter; + } + + for (auto inst : network_->leafInstances()) { + if (!isLogicStdCell(inst) || dontTouch(inst)) { + keepInst(inst); + } else { + auto iter = network_->pinIterator(inst); + while (iter->hasNext()) { + Pin* pin = iter->next(); + Net* net = network_->net(pin); + if (net && dontTouch(net)) { + keepInst(inst); + } + } + delete iter; + } + } + + while (!queue.empty()) { + const Instance* inst = queue.back(); + queue.pop_back(); + auto iter = network_->pinIterator(inst); + while (iter->hasNext()) { + keepPinDriver(iter->next()); + } + delete iter; + } + + int remove_inst_count = 0, remove_net_count = 0; + for (auto inst : network_->leafInstances()) { + if (!kept_instances.count(inst)) { + sta_->deleteInstance((Instance*) inst); + remove_inst_count++; + } + } + + if (clean_nets) { + std::vector to_delete; + NetIterator* net_iter = network_->netIterator(network_->topInstance()); + while (net_iter->hasNext()) { + Net* net = net_iter->next(); + PinSeq loads; + PinSeq drvrs; + PinSet visited_drvrs(db_network_); + FindNetDrvrLoads visitor(nullptr, visited_drvrs, loads, drvrs, network_); + network_->visitConnectedPins(net, visitor); + if (drvrs.empty() && loads.empty() && !dontTouch(net)) { + // defer deletion for later as it's not clear whether the iterator + // doesn't get invalidated + to_delete.push_back(net); + } + } + delete net_iter; + for (auto net : to_delete) { + sta_->deleteNet(net); + remove_net_count++; + } + } + + logger_->report("Removed {} unused instances and {} unused nets.", + remove_inst_count, + remove_net_count); +} + } // namespace rsz diff --git a/src/rsz/src/Resizer.i b/src/rsz/src/Resizer.i index d199aebf830..ec2d22f1dcf 100644 --- a/src/rsz/src/Resizer.i +++ b/src/rsz/src/Resizer.i @@ -825,6 +825,14 @@ set_parasitics_src(ParasiticsSrc src) resizer->setParasiticsSrc(src); } +void +eliminate_dead_logic_cmd(bool clean_nets) +{ + ensureLinked(); + Resizer *resizer = getResizer(); + resizer->eliminateDeadLogic(clean_nets); +} + } // namespace %} // inline diff --git a/src/rsz/src/Resizer.tcl b/src/rsz/src/Resizer.tcl index 30cfd134f06..6e87d31cc05 100644 --- a/src/rsz/src/Resizer.tcl +++ b/src/rsz/src/Resizer.tcl @@ -701,6 +701,11 @@ sta::proc_redirect report_long_wires { rsz::report_long_wires_cmd $count $digits } +sta::define_cmd_args "eliminate_dead_logic" {} +proc eliminate_dead_logic { } { + rsz::eliminate_dead_logic_cmd 1 +} + namespace eval rsz { # for testing proc repair_setup_pin { end_pin } { diff --git a/src/rsz/test/clone_flat.ok b/src/rsz/test/clone_flat.ok new file mode 100644 index 00000000000..b6fc5e40e39 --- /dev/null +++ b/src/rsz/test/clone_flat.ok @@ -0,0 +1,1429 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +worst slack -0.16 +[INFO RSZ-0094] Found 150 endpoints with setup violations. +[INFO RSZ-0099] Repairing 150 out of 150 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | Endpts | Endpt +--------------------------------------------------------------------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | -0.155 | -23.3 | 150 | load0/D + 10 | 0 | 4 | 0 | 3 | 2 | -0.132 | -19.5 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 20 | 0 | 8 | 0 | 7 | 4 | -0.151 | -20.6 | 150 | load31/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone6/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 30 | 0 | 14 | 3 | 9 | 4 | -0.149 | -20.5 | 150 | load149/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone7/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone8/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone10/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 40 | 0 | 19 | 3 | 14 | 4 | -0.165 | -23.1 | 150 | load22/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 50 | 0 | 23 | 10 | 16 | 4 | -0.179 | -24.2 | 150 | load22/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone14/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone13/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone12/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 60 | 0 | 30 | 10 | 19 | 4 | -0.182 | -24.5 | 150 | load18/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone7/ZN + 61 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone28/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone29/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone30/ZN + 69 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D + 70 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone31/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone32/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone33/ZN + 75 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone34/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 80 | 0 | 11 | 3 | 5 | 2 | -0.139 | -20.0 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone35/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone36/ZN + 81 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone37/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone38/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone38/ZN + 87 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D + 90 | 0 | 10 | 3 | 4 | 2 | -0.129 | -18.7 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone40/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone41/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone41/ZN + 93 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone43/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone44/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone44/ZN + 99 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D + 100 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone46/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone47/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone47/ZN + 105 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone49/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 110 | 0 | 11 | 3 | 5 | 2 | -0.139 | -20.0 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone50/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone50/ZN + 111 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone52/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone52/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone54/ZN + 116 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone55/ZN + 120 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.5 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone55/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone57/ZN + 121 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone58/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone58/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone60/ZN + 126 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone61/ZN + 130 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.5 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone61/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone63/ZN + 131 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone64/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone64/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone64/ZN + 136 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone67/ZN + 140 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.5 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone67/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone67/ZN + 141 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone70/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone70/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone70/ZN + 146 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone73/ZN + 150 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.5 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone73/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone73/ZN + 151 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone76/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone76/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone76/ZN + 156 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 160 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone80/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone81/ZN + 161 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone83/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone84/ZN + 166 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 170 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone86/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone87/ZN + 171 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone89/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone90/ZN + 176 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 180 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone92/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone92/ZN + 181 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone95/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone95/ZN + 186 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 190 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone98/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone98/ZN + 191 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone101/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone101/ZN + 196 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 200 | 0 | 10 | 3 | 5 | 2 | -0.135 | -19.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone104/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone104/ZN + 201 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone108/ZN + 206 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 210 | 0 | 9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone111/ZN + 211 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone114/ZN + 216 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 220 | 0 | 9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone117/ZN + 221 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone120/ZN + 226 | 0 | 9 | 3 | 3 | 2 | -0.119 | -17.9 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 230 | 0 | 9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 230 | 0 | 9 | 3 | 3 | 2 | -0.136 | -20.2 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 234 | 0 | 9 | 3 | 4 | 2 | -0.136 | -19.9 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 237 | 0 | 9 | 3 | 5 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 239 | 0 | 9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D + 240 | 0 | 9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone2/ZN + 240 | 0 | 9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone130/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone131/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone134/ZN + 249 | 0 | 7 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D + 250 | 0 | 7 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone135/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone136/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone139/ZN + 257 | 0 | 5 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 260 | 0 | 6 | 3 | 7 | 2 | -0.146 | -20.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone140/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone141/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone144/ZN + 265 | 0 | 3 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone145/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 270 | 0 | 4 | 4 | 8 | 2 | -0.154 | -21.4 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone146/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone149/ZN + 273 | 0 | 1 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone150/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 280 | 0 | 3 | 5 | 8 | 2 | -0.155 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone151/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone151/ZN + 281 | 0 | -1 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone155/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone156/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone156/ZN + 289 | 0 | -3 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D + 290 | 0 | -3 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone160/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone161/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone161/ZN + 297 | 0 | -5 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 300 | 0 | -4 | 3 | 7 | 2 | -0.146 | -20.6 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone165/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone166/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone166/ZN + 305 | 0 | -7 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone170/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 310 | 0 | -6 | 4 | 8 | 2 | -0.154 | -21.4 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone171/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone171/ZN + 313 | 0 | -9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone175/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 320 | 0 | -6 | 4 | 8 | 2 | -0.147 | -21.0 | 150 | load0/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone175/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone178/ZN + 322 | 0 | -8 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone179/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone179/ZN + 330 | 0 | -5 | 4 | 9 | 2 | -0.151 | -21.5 | 150 | load0/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone182/ZN + 331 | 0 | -7 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone183/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone183/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 340 | 0 | -3 | 4 | 9 | 2 | -0.156 | -22.1 | 150 | load0/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone186/ZN + 340 | 0 | -6 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone187/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone187/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone190/ZN + 349 | 0 | -5 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D + 350 | 0 | -5 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone191/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone191/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone194/ZN + 358 | 0 | -4 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 360 | 0 | -4 | 3 | 7 | 2 | -0.141 | -20.3 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone195/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone195/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone195/ZN + 366 | 0 | -3 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone199/ZN + 370 | 0 | -2 | 3 | 8 | 2 | -0.151 | -21.3 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone199/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone199/ZN + 374 | 0 | -2 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone203/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 380 | 0 | 0 | 4 | 8 | 2 | -0.147 | -21.1 | 150 | load0/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone203/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone203/ZN + 382 | 0 | -1 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone207/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone207/ZN + 390 | 0 | 2 | 4 | 9 | 2 | -0.151 | -21.5 | 150 | load0/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone207/ZN + 390 | 0 | 0 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone211/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone211/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone211/ZN + 398 | 0 | 1 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 400 | 0 | 1 | 3 | 7 | 2 | -0.141 | -20.3 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone216/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone219/ZN + 405 | 0 | -1 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 410 | 0 | -1 | 5 | 8 | 2 | -0.148 | -18.3 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone221/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone224/ZN + 412 | 0 | -3 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone226/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone229/ZN + 419 | 0 | -5 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D + 420 | 0 | -5 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone231/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone234/ZN + 426 | 0 | -7 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 430 | 0 | -7 | 4 | 8 | 2 | -0.150 | -21.1 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone236/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone236/ZN + 433 | 0 | -9 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone241/ZN + 440 | 0 | -8 | 5 | 9 | 2 | -0.155 | -19.6 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone241/ZN + 440 | 0 | -11 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone246/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone246/ZN + 447 | 0 | -13 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 450 | 0 | -13 | 3 | 8 | 2 | -0.147 | -21.0 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone251/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone251/ZN + 454 | 0 | -15 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 460 | 0 | -14 | 5 | 8 | 2 | -0.151 | -18.9 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone256/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone256/ZN + 461 | 0 | -17 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone263/ZN + 469 | 0 | -16 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D + 470 | 0 | -16 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone267/ZN + 477 | 0 | -15 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 480 | 0 | -15 | 3 | 8 | 2 | -0.147 | -21.0 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone271/ZN + 485 | 0 | -14 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 490 | 0 | -13 | 4 | 8 | 2 | -0.144 | -20.8 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone275/ZN + 493 | 0 | -13 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 500 | 0 | -11 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone279/ZN + 501 | 0 | -12 | 3 | 6 | 2 | -0.136 | -19.8 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 508 | 0 | -11 | 3 | 6 | 2 | -0.148 | -21.5 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 510 | 0 | -11 | 3 | 7 | 2 | -0.141 | -20.3 | 150 | load65/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 515 | 0 | -10 | 3 | 7 | 2 | -0.148 | -21.2 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 520 | 0 | -8 | 4 | 8 | 2 | -0.144 | -20.7 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 521 | 0 | -8 | 4 | 8 | 2 | -0.148 | -21.2 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 523 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone1/ZN + 524 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone293/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 530 | 0 | -6 | 5 | 11 | 2 | -0.166 | -21.1 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone294/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone295/ZN + 535 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone298/ZN + 540 | 0 | -7 | 5 | 11 | 2 | -0.162 | -20.6 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone299/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone300/ZN + 546 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 550 | 0 | -7 | 5 | 10 | 2 | -0.158 | -20.0 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone303/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone304/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone305/ZN + 557 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 560 | 0 | -8 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone308/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone309/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone310/ZN + 568 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 570 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone313/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone314/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone314/ZN + 578 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 580 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone318/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone319/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone319/ZN + 588 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 590 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone323/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone324/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone324/ZN + 598 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 600 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone328/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone329/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone329/ZN + 608 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 610 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone333/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone334/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone334/ZN + 618 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 620 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone338/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone338/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone340/ZN + 628 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 630 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone343/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone343/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone345/ZN + 638 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 640 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone348/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone348/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone350/ZN + 648 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 650 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone353/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone353/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone355/ZN + 658 | 0 | -8 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 660 | 0 | -8 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone358/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone358/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone358/ZN + 666 | 0 | -9 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 670 | 0 | -8 | 5 | 10 | 2 | -0.158 | -20.0 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone363/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone363/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone363/ZN + 674 | 0 | -10 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone368/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone368/ZN + 680 | 0 | -9 | 5 | 12 | 2 | -0.167 | -21.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone368/ZN + 682 | 0 | -11 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone373/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone373/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 690 | 0 | -9 | 6 | 12 | 2 | -0.167 | -20.8 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone373/ZN + 690 | 0 | -12 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone378/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone378/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone378/ZN + 698 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 700 | 0 | -13 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone384/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone385/ZN + 708 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 710 | 0 | -13 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone389/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone390/ZN + 718 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 720 | 0 | -13 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone394/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone395/ZN + 728 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 730 | 0 | -13 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone399/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone400/ZN + 738 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 740 | 0 | -13 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone404/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone404/ZN + 747 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 750 | 0 | -13 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone409/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone409/ZN + 756 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN + 760 | 0 | -13 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone414/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone414/ZN + 765 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 770 | 0 | -12 | 5 | 11 | 2 | -0.162 | -20.8 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone419/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone419/ZN + 774 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone424/ZN + 780 | 0 | -12 | 5 | 12 | 2 | -0.167 | -21.4 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone424/ZN + 783 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 790 | 0 | -12 | 6 | 12 | 2 | -0.163 | -20.5 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone430/ZN + 792 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 800 | 0 | -11 | 6 | 12 | 2 | -0.167 | -21.0 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone435/ZN + 801 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q + 810 | 0 | -10 | 6 | 12 | 2 | -0.167 | -21.0 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone440/ZN + 810 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone445/ZN + 819 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 820 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone450/ZN + 828 | 0 | -13 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q + 830 | 0 | -13 | 5 | 9 | 2 | -0.150 | -19.2 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN + 835 | 0 | -14 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver clone3/ZN + 840 | 0 | -14 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_2/Q +[WARNING RSZ-0075] message limit (1000) reached. This message will no longer print. + 842 | 0 | -15 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 849 | 0 | -16 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 850 | 0 | -16 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 856 | 0 | -17 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 860 | 0 | -17 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 863 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 870 | 0 | -16 | 5 | 12 | 2 | -0.170 | -21.7 | 150 | load114/D + 874 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 880 | 0 | -16 | 5 | 11 | 2 | -0.166 | -21.0 | 150 | load114/D + 885 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 890 | 0 | -17 | 5 | 11 | 2 | -0.162 | -20.5 | 150 | load114/D + 896 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 900 | 0 | -17 | 5 | 10 | 2 | -0.158 | -19.9 | 150 | load114/D + 907 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 910 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 917 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 920 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 927 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 930 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 937 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 940 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 947 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 950 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 957 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 960 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 967 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 970 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 977 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 980 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 987 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 990 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 997 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1000 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1007 | 0 | -18 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1010 | 0 | -18 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1015 | 0 | -19 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1020 | 0 | -18 | 5 | 11 | 2 | -0.162 | -20.5 | 150 | load114/D + 1023 | 0 | -20 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1030 | 0 | -19 | 6 | 12 | 2 | -0.167 | -21.1 | 150 | load114/D + 1031 | 0 | -21 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1039 | 0 | -22 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1040 | 0 | -22 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1047 | 0 | -23 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1050 | 0 | -23 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1057 | 0 | -23 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1060 | 0 | -23 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1067 | 0 | -23 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1070 | 0 | -23 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1077 | 0 | -23 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1080 | 0 | -23 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1087 | 0 | -23 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1090 | 0 | -23 | 5 | 10 | 2 | -0.154 | -19.6 | 150 | load114/D + 1096 | 0 | -23 | 4 | 9 | 2 | -0.148 | -21.3 | 150 | load131/D + 1100 | 0 | -23 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1100 | 0 | -23 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1106 | 0 | -22 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1110 | 0 | -21 | 6 | 12 | 2 | -0.167 | -21.3 | 150 | load114/D + 1112 | 0 | -21 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1118 | 0 | -20 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1120 | 0 | -20 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1124 | 0 | -19 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1130 | 0 | -16 | 6 | 12 | 2 | -0.167 | -20.9 | 150 | load114/D + 1130 | 0 | -18 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1136 | 0 | -17 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1140 | 0 | -16 | 6 | 12 | 2 | -0.163 | -20.5 | 150 | load114/D + 1142 | 0 | -16 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1148 | 0 | -15 | 5 | 11 | 2 | -0.159 | -20.2 | 150 | load114/D + 1150 | 0 | -15 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1152 | 0 | -15 | 5 | 11 | 2 | -0.163 | -20.4 | 150 | load114/D + 1156 | 0 | -15 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1159 | 0 | -15 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1160 | 0 | -15 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1162 | 0 | -15 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1165 | 0 | -15 | 5 | 12 | 2 | -0.163 | -20.9 | 150 | load114/D + 1167* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1168* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1169* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1170* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1170* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1171* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1172* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1173* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1174* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1175* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1176* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1177* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1178* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1179* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1180* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1180* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1181* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1182* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1183* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1184* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1185* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1186* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1187* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1188* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1189* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1190* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1190* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1191* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1192* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1193* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1194* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1195* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1196* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1197* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1198* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1199* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1200* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1201* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1202* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1203* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1204* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1205* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1206* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1207* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1208* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1209* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1210* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1210* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1211* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1212* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1213* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1214* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1215* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1216* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1217* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1218* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1219* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1220* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1220* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1221* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1222* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1223* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1224* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1225* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1226* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1227* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1228* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1229* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1230* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1230* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1231* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1232* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1233* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1234* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1235* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1236* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1237* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1238* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1239* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1240* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1240* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1241* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1242* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1243* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1244* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1245* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1246* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1247* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1248* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1249* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1250* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1250* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1251* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1252* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1253* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1254* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1255* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1256* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1257* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1258* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1259* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1260* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1260* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1261* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1262* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1263* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1264* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1265* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1266* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1267* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1268* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1269* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1270* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1270* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1271* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1272* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1273* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1274* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1275* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1276* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1277* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1278* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1279* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1280* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1280* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1281* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1282* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1283* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1284* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1285* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1286* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1287* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1288* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1289* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1290* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1290* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1291* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1292* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1293* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1294* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1295* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1296* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1297* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1298* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1299* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + 1300* | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D + final | 0 | -14 | 5 | 12 | 2 | -0.147 | -20.4 | 150 | load114/D +--------------------------------------------------------------------------------------------------- +[INFO RSZ-0045] Inserted 2 buffers, 1 to split loads. +[INFO RSZ-0043] Swapped pins on 2 instances. +[INFO RSZ-0049] Cloned 12 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +worst slack -0.15 +No differences found. diff --git a/src/rsz/test/clone_flat.tcl b/src/rsz/test/clone_flat.tcl new file mode 100644 index 00000000000..5a691c3530f --- /dev/null +++ b/src/rsz/test/clone_flat.tcl @@ -0,0 +1,41 @@ +# repair_design max_fanout +source "helpers.tcl" +source "hi_fanout.tcl" + +set def_filename [make_result_file "repair_fanout7.def"] +# Gates we want to eventually NAND2_X4, NAND3_X4, NAND4_X4 + +write_clone_test_def $def_filename NAND2_X4 150 + +read_liberty Nangate45/Nangate45_typ.lib +read_lef Nangate45/Nangate45.lef +read_verilog clone_flat.v +link_design hi_fanout + +create_clock -period 0.1 clk1 +set_driving_cell -lib_cell BUF_X1 [all_inputs] +# Make sure the fanout fixes are not applied so we can test +# gate cloning fixes. +set_max_fanout 200 [current_design] + +source Nangate45/Nangate45.vars +source Nangate45/Nangate45.rc +set_wire_rc -layer metal2 +estimate_parasitics -placement + +# Skip repair_design for now since this is a test for gate +# cloning + +set_wire_rc -signal -layer $wire_rc_layer +set_wire_rc -clock -layer $wire_rc_layer_clk +set_dont_use $dont_use + +estimate_parasitics -placement + +# Repair the high fanout net hopefully with gate cloning code. +report_worst_slack -max +repair_timing -setup -repair_tns 100 -verbose +report_worst_slack -max +set verilog_file [make_result_file clone_flat_out.v] +write_verilog $verilog_file +diff_files $verilog_file clone_flat_out.vok diff --git a/src/rsz/test/clone_flat.v b/src/rsz/test/clone_flat.v new file mode 100644 index 00000000000..c4054b346d8 --- /dev/null +++ b/src/rsz/test/clone_flat.v @@ -0,0 +1,769 @@ +module hi_fanout (clk1, + data, + output0, + output1, + output2, + output3, + output4, + output5, + output6, + output7, + output8, + output9, + output10, + output11, + output12, + output13, + output14, + output15, + output16, + output17, + output18, + output19, + output20, + output21, + output22, + output23, + output24, + output25, + output26, + output27, + output28, + output29, + output30, + output31, + output32, + output33, + output34, + output35, + output36, + output37, + output38, + output39, + output40, + output41, + output42, + output43, + output44, + output45, + output46, + output47, + output48, + output49, + output50, + output51, + output52, + output53, + output54, + output55, + output56, + output57, + output58, + output59, + output60, + output61, + output62, + output63, + output64, + output65, + output66, + output67, + output68, + output69, + output70, + output71, + output72, + output73, + output74, + output75, + output76, + output77, + output78, + output79, + output80, + output81, + output82, + output83, + output84, + output85, + output86, + output87, + output88, + output89, + output90, + output91, + output92, + output93, + output94, + output95, + output96, + output97, + output98, + output99, + output100, + output101, + output102, + output103, + output104, + output105, + output106, + output107, + output108, + output109, + output110, + output111, + output112, + output113, + output114, + output115, + output116, + output117, + output118, + output119, + output120, + output121, + output122, + output123, + output124, + output125, + output126, + output127, + output128, + output129, + output130, + output131, + output132, + output133, + output134, + output135, + output136, + output137, + output138, + output139, + output140, + output141, + output142, + output143, + output144, + output145, + output146, + output147, + output148, + output149); + input clk1; + input data; + output output0; + output output1; + output output2; + output output3; + output output4; + output output5; + output output6; + output output7; + output output8; + output output9; + output output10; + output output11; + output output12; + output output13; + output output14; + output output15; + output output16; + output output17; + output output18; + output output19; + output output20; + output output21; + output output22; + output output23; + output output24; + output output25; + output output26; + output output27; + output output28; + output output29; + output output30; + output output31; + output output32; + output output33; + output output34; + output output35; + output output36; + output output37; + output output38; + output output39; + output output40; + output output41; + output output42; + output output43; + output output44; + output output45; + output output46; + output output47; + output output48; + output output49; + output output50; + output output51; + output output52; + output output53; + output output54; + output output55; + output output56; + output output57; + output output58; + output output59; + output output60; + output output61; + output output62; + output output63; + output output64; + output output65; + output output66; + output output67; + output output68; + output output69; + output output70; + output output71; + output output72; + output output73; + output output74; + output output75; + output output76; + output output77; + output output78; + output output79; + output output80; + output output81; + output output82; + output output83; + output output84; + output output85; + output output86; + output output87; + output output88; + output output89; + output output90; + output output91; + output output92; + output output93; + output output94; + output output95; + output output96; + output output97; + output output98; + output output99; + output output100; + output output101; + output output102; + output output103; + output output104; + output output105; + output output106; + output output107; + output output108; + output output109; + output output110; + output output111; + output output112; + output output113; + output output114; + output output115; + output output116; + output output117; + output output118; + output output119; + output output120; + output output121; + output output122; + output output123; + output output124; + output output125; + output output126; + output output127; + output output128; + output output129; + output output130; + output output131; + output output132; + output output133; + output output134; + output output135; + output output136; + output output137; + output output138; + output output139; + output output140; + output output141; + output output142; + output output143; + output output144; + output output145; + output output146; + output output147; + output output148; + output output149; + + wire clk_to_nand0; + wire clk_to_nand1; + wire net0; + + DFF_X1 drvr_1 (.D(data), + .CK(clk1), + .Q(clk_to_nand0)); + DFF_X1 drvr_2 (.D(data), + .CK(clk1), + .Q(clk_to_nand1)); + NAND2_X4 nand_inst_0 (.A1(clk_to_nand0), + .A2(clk_to_nand1), + .ZN(net0)); + DFF_X1 load0 (.D(net0), + .CK(clk1), + .Q(output0)); + DFF_X1 load1 (.D(net0), + .CK(clk1), + .Q(output1)); + DFF_X1 load2 (.D(net0), + .CK(clk1), + .Q(output2)); + DFF_X1 load3 (.D(net0), + .CK(clk1), + .Q(output3)); + DFF_X1 load4 (.D(net0), + .CK(clk1), + .Q(output4)); + DFF_X1 load5 (.D(net0), + .CK(clk1), + .Q(output5)); + DFF_X1 load6 (.D(net0), + .CK(clk1), + .Q(output6)); + DFF_X1 load7 (.D(net0), + .CK(clk1), + .Q(output7)); + DFF_X1 load8 (.D(net0), + .CK(clk1), + .Q(output8)); + DFF_X1 load9 (.D(net0), + .CK(clk1), + .Q(output9)); + DFF_X1 load10 (.D(net0), + .CK(clk1), + .Q(output10)); + DFF_X1 load11 (.D(net0), + .CK(clk1), + .Q(output11)); + DFF_X1 load12 (.D(net0), + .CK(clk1), + .Q(output12)); + DFF_X1 load13 (.D(net0), + .CK(clk1), + .Q(output13)); + DFF_X1 load14 (.D(net0), + .CK(clk1), + .Q(output14)); + DFF_X1 load15 (.D(net0), + .CK(clk1), + .Q(output15)); + DFF_X1 load16 (.D(net0), + .CK(clk1), + .Q(output16)); + DFF_X1 load17 (.D(net0), + .CK(clk1), + .Q(output17)); + DFF_X1 load18 (.D(net0), + .CK(clk1), + .Q(output18)); + DFF_X1 load19 (.D(net0), + .CK(clk1), + .Q(output19)); + DFF_X1 load20 (.D(net0), + .CK(clk1), + .Q(output20)); + DFF_X1 load21 (.D(net0), + .CK(clk1), + .Q(output21)); + DFF_X1 load22 (.D(net0), + .CK(clk1), + .Q(output22)); + DFF_X1 load23 (.D(net0), + .CK(clk1), + .Q(output23)); + DFF_X1 load24 (.D(net0), + .CK(clk1), + .Q(output24)); + DFF_X1 load25 (.D(net0), + .CK(clk1), + .Q(output25)); + DFF_X1 load26 (.D(net0), + .CK(clk1), + .Q(output26)); + DFF_X1 load27 (.D(net0), + .CK(clk1), + .Q(output27)); + DFF_X1 load28 (.D(net0), + .CK(clk1), + .Q(output28)); + DFF_X1 load29 (.D(net0), + .CK(clk1), + .Q(output29)); + DFF_X1 load30 (.D(net0), + .CK(clk1), + .Q(output30)); + DFF_X1 load31 (.D(net0), + .CK(clk1), + .Q(output31)); + DFF_X1 load32 (.D(net0), + .CK(clk1), + .Q(output32)); + DFF_X1 load33 (.D(net0), + .CK(clk1), + .Q(output33)); + DFF_X1 load34 (.D(net0), + .CK(clk1), + .Q(output34)); + DFF_X1 load35 (.D(net0), + .CK(clk1), + .Q(output35)); + DFF_X1 load36 (.D(net0), + .CK(clk1), + .Q(output36)); + DFF_X1 load37 (.D(net0), + .CK(clk1), + .Q(output37)); + DFF_X1 load38 (.D(net0), + .CK(clk1), + .Q(output38)); + DFF_X1 load39 (.D(net0), + .CK(clk1), + .Q(output39)); + DFF_X1 load40 (.D(net0), + .CK(clk1), + .Q(output40)); + DFF_X1 load41 (.D(net0), + .CK(clk1), + .Q(output41)); + DFF_X1 load42 (.D(net0), + .CK(clk1), + .Q(output42)); + DFF_X1 load43 (.D(net0), + .CK(clk1), + .Q(output43)); + DFF_X1 load44 (.D(net0), + .CK(clk1), + .Q(output44)); + DFF_X1 load45 (.D(net0), + .CK(clk1), + .Q(output45)); + DFF_X1 load46 (.D(net0), + .CK(clk1), + .Q(output46)); + DFF_X1 load47 (.D(net0), + .CK(clk1), + .Q(output47)); + DFF_X1 load48 (.D(net0), + .CK(clk1), + .Q(output48)); + DFF_X1 load49 (.D(net0), + .CK(clk1), + .Q(output49)); + DFF_X1 load50 (.D(net0), + .CK(clk1), + .Q(output50)); + DFF_X1 load51 (.D(net0), + .CK(clk1), + .Q(output51)); + DFF_X1 load52 (.D(net0), + .CK(clk1), + .Q(output52)); + DFF_X1 load53 (.D(net0), + .CK(clk1), + .Q(output53)); + DFF_X1 load54 (.D(net0), + .CK(clk1), + .Q(output54)); + DFF_X1 load55 (.D(net0), + .CK(clk1), + .Q(output55)); + DFF_X1 load56 (.D(net0), + .CK(clk1), + .Q(output56)); + DFF_X1 load57 (.D(net0), + .CK(clk1), + .Q(output57)); + DFF_X1 load58 (.D(net0), + .CK(clk1), + .Q(output58)); + DFF_X1 load59 (.D(net0), + .CK(clk1), + .Q(output59)); + DFF_X1 load60 (.D(net0), + .CK(clk1), + .Q(output60)); + DFF_X1 load61 (.D(net0), + .CK(clk1), + .Q(output61)); + DFF_X1 load62 (.D(net0), + .CK(clk1), + .Q(output62)); + DFF_X1 load63 (.D(net0), + .CK(clk1), + .Q(output63)); + DFF_X1 load64 (.D(net0), + .CK(clk1), + .Q(output64)); + DFF_X1 load65 (.D(net0), + .CK(clk1), + .Q(output65)); + DFF_X1 load66 (.D(net0), + .CK(clk1), + .Q(output66)); + DFF_X1 load67 (.D(net0), + .CK(clk1), + .Q(output67)); + DFF_X1 load68 (.D(net0), + .CK(clk1), + .Q(output68)); + DFF_X1 load69 (.D(net0), + .CK(clk1), + .Q(output69)); + DFF_X1 load70 (.D(net0), + .CK(clk1), + .Q(output70)); + DFF_X1 load71 (.D(net0), + .CK(clk1), + .Q(output71)); + DFF_X1 load72 (.D(net0), + .CK(clk1), + .Q(output72)); + DFF_X1 load73 (.D(net0), + .CK(clk1), + .Q(output73)); + DFF_X1 load74 (.D(net0), + .CK(clk1), + .Q(output74)); + DFF_X1 load75 (.D(net0), + .CK(clk1), + .Q(output75)); + DFF_X1 load76 (.D(net0), + .CK(clk1), + .Q(output76)); + DFF_X1 load77 (.D(net0), + .CK(clk1), + .Q(output77)); + DFF_X1 load78 (.D(net0), + .CK(clk1), + .Q(output78)); + DFF_X1 load79 (.D(net0), + .CK(clk1), + .Q(output79)); + DFF_X1 load80 (.D(net0), + .CK(clk1), + .Q(output80)); + DFF_X1 load81 (.D(net0), + .CK(clk1), + .Q(output81)); + DFF_X1 load82 (.D(net0), + .CK(clk1), + .Q(output82)); + DFF_X1 load83 (.D(net0), + .CK(clk1), + .Q(output83)); + DFF_X1 load84 (.D(net0), + .CK(clk1), + .Q(output84)); + DFF_X1 load85 (.D(net0), + .CK(clk1), + .Q(output85)); + DFF_X1 load86 (.D(net0), + .CK(clk1), + .Q(output86)); + DFF_X1 load87 (.D(net0), + .CK(clk1), + .Q(output87)); + DFF_X1 load88 (.D(net0), + .CK(clk1), + .Q(output88)); + DFF_X1 load89 (.D(net0), + .CK(clk1), + .Q(output89)); + DFF_X1 load90 (.D(net0), + .CK(clk1), + .Q(output90)); + DFF_X1 load91 (.D(net0), + .CK(clk1), + .Q(output91)); + DFF_X1 load92 (.D(net0), + .CK(clk1), + .Q(output92)); + DFF_X1 load93 (.D(net0), + .CK(clk1), + .Q(output93)); + DFF_X1 load94 (.D(net0), + .CK(clk1), + .Q(output94)); + DFF_X1 load95 (.D(net0), + .CK(clk1), + .Q(output95)); + DFF_X1 load96 (.D(net0), + .CK(clk1), + .Q(output96)); + DFF_X1 load97 (.D(net0), + .CK(clk1), + .Q(output97)); + DFF_X1 load98 (.D(net0), + .CK(clk1), + .Q(output98)); + DFF_X1 load99 (.D(net0), + .CK(clk1), + .Q(output99)); + DFF_X1 load100 (.D(net0), + .CK(clk1), + .Q(output100)); + DFF_X1 load101 (.D(net0), + .CK(clk1), + .Q(output101)); + DFF_X1 load102 (.D(net0), + .CK(clk1), + .Q(output102)); + DFF_X1 load103 (.D(net0), + .CK(clk1), + .Q(output103)); + DFF_X1 load104 (.D(net0), + .CK(clk1), + .Q(output104)); + DFF_X1 load105 (.D(net0), + .CK(clk1), + .Q(output105)); + DFF_X1 load106 (.D(net0), + .CK(clk1), + .Q(output106)); + DFF_X1 load107 (.D(net0), + .CK(clk1), + .Q(output107)); + DFF_X1 load108 (.D(net0), + .CK(clk1), + .Q(output108)); + DFF_X1 load109 (.D(net0), + .CK(clk1), + .Q(output109)); + DFF_X1 load110 (.D(net0), + .CK(clk1), + .Q(output110)); + DFF_X1 load111 (.D(net0), + .CK(clk1), + .Q(output111)); + DFF_X1 load112 (.D(net0), + .CK(clk1), + .Q(output112)); + DFF_X1 load113 (.D(net0), + .CK(clk1), + .Q(output113)); + DFF_X1 load114 (.D(net0), + .CK(clk1), + .Q(output114)); + DFF_X1 load115 (.D(net0), + .CK(clk1), + .Q(output115)); + DFF_X1 load116 (.D(net0), + .CK(clk1), + .Q(output116)); + DFF_X1 load117 (.D(net0), + .CK(clk1), + .Q(output117)); + DFF_X1 load118 (.D(net0), + .CK(clk1), + .Q(output118)); + DFF_X1 load119 (.D(net0), + .CK(clk1), + .Q(output119)); + DFF_X1 load120 (.D(net0), + .CK(clk1), + .Q(output120)); + DFF_X1 load121 (.D(net0), + .CK(clk1), + .Q(output121)); + DFF_X1 load122 (.D(net0), + .CK(clk1), + .Q(output122)); + DFF_X1 load123 (.D(net0), + .CK(clk1), + .Q(output123)); + DFF_X1 load124 (.D(net0), + .CK(clk1), + .Q(output124)); + DFF_X1 load125 (.D(net0), + .CK(clk1), + .Q(output125)); + DFF_X1 load126 (.D(net0), + .CK(clk1), + .Q(output126)); + DFF_X1 load127 (.D(net0), + .CK(clk1), + .Q(output127)); + DFF_X1 load128 (.D(net0), + .CK(clk1), + .Q(output128)); + DFF_X1 load129 (.D(net0), + .CK(clk1), + .Q(output129)); + DFF_X1 load130 (.D(net0), + .CK(clk1), + .Q(output130)); + DFF_X1 load131 (.D(net0), + .CK(clk1), + .Q(output131)); + DFF_X1 load132 (.D(net0), + .CK(clk1), + .Q(output132)); + DFF_X1 load133 (.D(net0), + .CK(clk1), + .Q(output133)); + DFF_X1 load134 (.D(net0), + .CK(clk1), + .Q(output134)); + DFF_X1 load135 (.D(net0), + .CK(clk1), + .Q(output135)); + DFF_X1 load136 (.D(net0), + .CK(clk1), + .Q(output136)); + DFF_X1 load137 (.D(net0), + .CK(clk1), + .Q(output137)); + DFF_X1 load138 (.D(net0), + .CK(clk1), + .Q(output138)); + DFF_X1 load139 (.D(net0), + .CK(clk1), + .Q(output139)); + DFF_X1 load140 (.D(net0), + .CK(clk1), + .Q(output140)); + DFF_X1 load141 (.D(net0), + .CK(clk1), + .Q(output141)); + DFF_X1 load142 (.D(net0), + .CK(clk1), + .Q(output142)); + DFF_X1 load143 (.D(net0), + .CK(clk1), + .Q(output143)); + DFF_X1 load144 (.D(net0), + .CK(clk1), + .Q(output144)); + DFF_X1 load145 (.D(net0), + .CK(clk1), + .Q(output145)); + DFF_X1 load146 (.D(net0), + .CK(clk1), + .Q(output146)); + DFF_X1 load147 (.D(net0), + .CK(clk1), + .Q(output147)); + DFF_X1 load148 (.D(net0), + .CK(clk1), + .Q(output148)); + DFF_X1 load149 (.D(net0), + .CK(clk1), + .Q(output149)); +endmodule diff --git a/src/rsz/test/clone_flat_out.vok b/src/rsz/test/clone_flat_out.vok new file mode 100644 index 00000000000..58f64f57246 --- /dev/null +++ b/src/rsz/test/clone_flat_out.vok @@ -0,0 +1,823 @@ +module hi_fanout (clk1, + data, + output0, + output1, + output10, + output100, + output101, + output102, + output103, + output104, + output105, + output106, + output107, + output108, + output109, + output11, + output110, + output111, + output112, + output113, + output114, + output115, + output116, + output117, + output118, + output119, + output12, + output120, + output121, + output122, + output123, + output124, + output125, + output126, + output127, + output128, + output129, + output13, + output130, + output131, + output132, + output133, + output134, + output135, + output136, + output137, + output138, + output139, + output14, + output140, + output141, + output142, + output143, + output144, + output145, + output146, + output147, + output148, + output149, + output15, + output16, + output17, + output18, + output19, + output2, + output20, + output21, + output22, + output23, + output24, + output25, + output26, + output27, + output28, + output29, + output3, + output30, + output31, + output32, + output33, + output34, + output35, + output36, + output37, + output38, + output39, + output4, + output40, + output41, + output42, + output43, + output44, + output45, + output46, + output47, + output48, + output49, + output5, + output50, + output51, + output52, + output53, + output54, + output55, + output56, + output57, + output58, + output59, + output6, + output60, + output61, + output62, + output63, + output64, + output65, + output66, + output67, + output68, + output69, + output7, + output70, + output71, + output72, + output73, + output74, + output75, + output76, + output77, + output78, + output79, + output8, + output80, + output81, + output82, + output83, + output84, + output85, + output86, + output87, + output88, + output89, + output9, + output90, + output91, + output92, + output93, + output94, + output95, + output96, + output97, + output98, + output99); + input clk1; + input data; + output output0; + output output1; + output output10; + output output100; + output output101; + output output102; + output output103; + output output104; + output output105; + output output106; + output output107; + output output108; + output output109; + output output11; + output output110; + output output111; + output output112; + output output113; + output output114; + output output115; + output output116; + output output117; + output output118; + output output119; + output output12; + output output120; + output output121; + output output122; + output output123; + output output124; + output output125; + output output126; + output output127; + output output128; + output output129; + output output13; + output output130; + output output131; + output output132; + output output133; + output output134; + output output135; + output output136; + output output137; + output output138; + output output139; + output output14; + output output140; + output output141; + output output142; + output output143; + output output144; + output output145; + output output146; + output output147; + output output148; + output output149; + output output15; + output output16; + output output17; + output output18; + output output19; + output output2; + output output20; + output output21; + output output22; + output output23; + output output24; + output output25; + output output26; + output output27; + output output28; + output output29; + output output3; + output output30; + output output31; + output output32; + output output33; + output output34; + output output35; + output output36; + output output37; + output output38; + output output39; + output output4; + output output40; + output output41; + output output42; + output output43; + output output44; + output output45; + output output46; + output output47; + output output48; + output output49; + output output5; + output output50; + output output51; + output output52; + output output53; + output output54; + output output55; + output output56; + output output57; + output output58; + output output59; + output output6; + output output60; + output output61; + output output62; + output output63; + output output64; + output output65; + output output66; + output output67; + output output68; + output output69; + output output7; + output output70; + output output71; + output output72; + output output73; + output output74; + output output75; + output output76; + output output77; + output output78; + output output79; + output output8; + output output80; + output output81; + output output82; + output output83; + output output84; + output output85; + output output86; + output output87; + output output88; + output output89; + output output9; + output output90; + output output91; + output output92; + output output93; + output output94; + output output95; + output output96; + output output97; + output output98; + output output99; + + wire clk_to_nand0; + wire clk_to_nand1; + wire net0; + wire net1; + wire net2; + wire net3; + wire net124; + wire net127; + wire net129; + wire net284; + wire net288; + wire net289; + wire net291; + wire net597; + wire net598; + wire net599; + wire net618; + + DFF_X2 drvr_1 (.D(data), + .CK(clk1), + .Q(clk_to_nand0)); + DFF_X2 drvr_2 (.D(data), + .CK(clk1), + .Q(clk_to_nand1)); + DFF_X1 load0 (.D(net124), + .CK(clk1), + .Q(output0)); + DFF_X1 load1 (.D(net124), + .CK(clk1), + .Q(output1)); + DFF_X1 load10 (.D(net124), + .CK(clk1), + .Q(output10)); + DFF_X1 load100 (.D(net124), + .CK(clk1), + .Q(output100)); + DFF_X1 load101 (.D(net124), + .CK(clk1), + .Q(output101)); + DFF_X1 load102 (.D(net124), + .CK(clk1), + .Q(output102)); + DFF_X1 load103 (.D(net124), + .CK(clk1), + .Q(output103)); + DFF_X1 load104 (.D(net124), + .CK(clk1), + .Q(output104)); + DFF_X1 load105 (.D(net124), + .CK(clk1), + .Q(output105)); + DFF_X1 load106 (.D(net124), + .CK(clk1), + .Q(output106)); + DFF_X1 load107 (.D(net124), + .CK(clk1), + .Q(output107)); + DFF_X1 load108 (.D(net124), + .CK(clk1), + .Q(output108)); + DFF_X1 load109 (.D(net124), + .CK(clk1), + .Q(output109)); + DFF_X1 load11 (.D(net124), + .CK(clk1), + .Q(output11)); + DFF_X1 load110 (.D(net124), + .CK(clk1), + .Q(output110)); + DFF_X1 load111 (.D(net124), + .CK(clk1), + .Q(output111)); + DFF_X1 load112 (.D(net124), + .CK(clk1), + .Q(output112)); + DFF_X1 load113 (.D(net124), + .CK(clk1), + .Q(output113)); + DFF_X1 load114 (.D(net127), + .CK(clk1), + .Q(output114)); + DFF_X1 load115 (.D(net127), + .CK(clk1), + .Q(output115)); + DFF_X1 load116 (.D(net127), + .CK(clk1), + .Q(output116)); + DFF_X1 load117 (.D(net127), + .CK(clk1), + .Q(output117)); + DFF_X1 load118 (.D(net127), + .CK(clk1), + .Q(output118)); + DFF_X1 load119 (.D(net127), + .CK(clk1), + .Q(output119)); + DFF_X1 load12 (.D(net127), + .CK(clk1), + .Q(output12)); + DFF_X1 load120 (.D(net127), + .CK(clk1), + .Q(output120)); + DFF_X1 load121 (.D(net127), + .CK(clk1), + .Q(output121)); + DFF_X1 load122 (.D(net129), + .CK(clk1), + .Q(output122)); + DFF_X1 load123 (.D(net129), + .CK(clk1), + .Q(output123)); + DFF_X1 load124 (.D(net129), + .CK(clk1), + .Q(output124)); + DFF_X1 load125 (.D(net129), + .CK(clk1), + .Q(output125)); + DFF_X1 load126 (.D(net129), + .CK(clk1), + .Q(output126)); + DFF_X1 load127 (.D(net2), + .CK(clk1), + .Q(output127)); + DFF_X1 load128 (.D(net2), + .CK(clk1), + .Q(output128)); + DFF_X1 load129 (.D(net2), + .CK(clk1), + .Q(output129)); + DFF_X1 load13 (.D(net2), + .CK(clk1), + .Q(output13)); + DFF_X1 load130 (.D(net2), + .CK(clk1), + .Q(output130)); + DFF_X1 load131 (.D(net284), + .CK(clk1), + .Q(output131)); + DFF_X1 load132 (.D(net284), + .CK(clk1), + .Q(output132)); + DFF_X1 load133 (.D(net284), + .CK(clk1), + .Q(output133)); + DFF_X1 load134 (.D(net284), + .CK(clk1), + .Q(output134)); + DFF_X1 load135 (.D(net284), + .CK(clk1), + .Q(output135)); + DFF_X1 load136 (.D(net284), + .CK(clk1), + .Q(output136)); + DFF_X1 load137 (.D(net284), + .CK(clk1), + .Q(output137)); + DFF_X1 load138 (.D(net284), + .CK(clk1), + .Q(output138)); + DFF_X1 load139 (.D(net284), + .CK(clk1), + .Q(output139)); + DFF_X1 load14 (.D(net284), + .CK(clk1), + .Q(output14)); + DFF_X1 load140 (.D(net284), + .CK(clk1), + .Q(output140)); + DFF_X1 load141 (.D(net284), + .CK(clk1), + .Q(output141)); + DFF_X1 load142 (.D(net284), + .CK(clk1), + .Q(output142)); + DFF_X1 load143 (.D(net284), + .CK(clk1), + .Q(output143)); + DFF_X1 load144 (.D(net284), + .CK(clk1), + .Q(output144)); + DFF_X1 load145 (.D(net284), + .CK(clk1), + .Q(output145)); + DFF_X1 load146 (.D(net284), + .CK(clk1), + .Q(output146)); + DFF_X1 load147 (.D(net284), + .CK(clk1), + .Q(output147)); + DFF_X1 load148 (.D(net284), + .CK(clk1), + .Q(output148)); + DFF_X1 load149 (.D(net288), + .CK(clk1), + .Q(output149)); + DFF_X1 load15 (.D(net288), + .CK(clk1), + .Q(output15)); + DFF_X1 load16 (.D(net288), + .CK(clk1), + .Q(output16)); + DFF_X1 load17 (.D(net288), + .CK(clk1), + .Q(output17)); + DFF_X1 load18 (.D(net288), + .CK(clk1), + .Q(output18)); + DFF_X1 load19 (.D(net288), + .CK(clk1), + .Q(output19)); + DFF_X1 load2 (.D(net288), + .CK(clk1), + .Q(output2)); + DFF_X1 load20 (.D(net288), + .CK(clk1), + .Q(output20)); + DFF_X1 load21 (.D(net288), + .CK(clk1), + .Q(output21)); + DFF_X1 load22 (.D(net291), + .CK(clk1), + .Q(output22)); + DFF_X1 load23 (.D(net291), + .CK(clk1), + .Q(output23)); + DFF_X1 load24 (.D(net291), + .CK(clk1), + .Q(output24)); + DFF_X1 load25 (.D(net291), + .CK(clk1), + .Q(output25)); + DFF_X1 load26 (.D(net291), + .CK(clk1), + .Q(output26)); + DFF_X1 load27 (.D(net1), + .CK(clk1), + .Q(output27)); + DFF_X1 load28 (.D(net1), + .CK(clk1), + .Q(output28)); + DFF_X1 load29 (.D(net1), + .CK(clk1), + .Q(output29)); + DFF_X1 load3 (.D(net1), + .CK(clk1), + .Q(output3)); + DFF_X1 load30 (.D(net1), + .CK(clk1), + .Q(output30)); + DFF_X1 load31 (.D(net3), + .CK(clk1), + .Q(output31)); + DFF_X1 load32 (.D(net3), + .CK(clk1), + .Q(output32)); + DFF_X1 load33 (.D(net3), + .CK(clk1), + .Q(output33)); + DFF_X1 load34 (.D(net3), + .CK(clk1), + .Q(output34)); + DFF_X1 load35 (.D(net3), + .CK(clk1), + .Q(output35)); + DFF_X1 load36 (.D(net3), + .CK(clk1), + .Q(output36)); + DFF_X1 load37 (.D(net3), + .CK(clk1), + .Q(output37)); + DFF_X1 load38 (.D(net3), + .CK(clk1), + .Q(output38)); + DFF_X1 load39 (.D(net3), + .CK(clk1), + .Q(output39)); + DFF_X1 load4 (.D(net3), + .CK(clk1), + .Q(output4)); + DFF_X1 load40 (.D(net3), + .CK(clk1), + .Q(output40)); + DFF_X1 load41 (.D(net3), + .CK(clk1), + .Q(output41)); + DFF_X1 load42 (.D(net3), + .CK(clk1), + .Q(output42)); + DFF_X1 load43 (.D(net3), + .CK(clk1), + .Q(output43)); + DFF_X1 load44 (.D(net3), + .CK(clk1), + .Q(output44)); + DFF_X1 load45 (.D(net3), + .CK(clk1), + .Q(output45)); + DFF_X1 load46 (.D(net3), + .CK(clk1), + .Q(output46)); + DFF_X1 load47 (.D(net3), + .CK(clk1), + .Q(output47)); + DFF_X1 load48 (.D(net3), + .CK(clk1), + .Q(output48)); + DFF_X1 load49 (.D(net3), + .CK(clk1), + .Q(output49)); + DFF_X1 load5 (.D(net3), + .CK(clk1), + .Q(output5)); + DFF_X1 load50 (.D(net3), + .CK(clk1), + .Q(output50)); + DFF_X1 load51 (.D(net3), + .CK(clk1), + .Q(output51)); + DFF_X1 load52 (.D(net3), + .CK(clk1), + .Q(output52)); + DFF_X1 load53 (.D(net3), + .CK(clk1), + .Q(output53)); + DFF_X1 load54 (.D(net3), + .CK(clk1), + .Q(output54)); + DFF_X1 load55 (.D(net3), + .CK(clk1), + .Q(output55)); + DFF_X1 load56 (.D(net3), + .CK(clk1), + .Q(output56)); + DFF_X1 load57 (.D(net3), + .CK(clk1), + .Q(output57)); + DFF_X1 load58 (.D(net3), + .CK(clk1), + .Q(output58)); + DFF_X1 load59 (.D(net3), + .CK(clk1), + .Q(output59)); + DFF_X1 load6 (.D(net3), + .CK(clk1), + .Q(output6)); + DFF_X1 load60 (.D(net3), + .CK(clk1), + .Q(output60)); + DFF_X1 load61 (.D(net3), + .CK(clk1), + .Q(output61)); + DFF_X1 load62 (.D(net3), + .CK(clk1), + .Q(output62)); + DFF_X1 load63 (.D(net3), + .CK(clk1), + .Q(output63)); + DFF_X1 load64 (.D(net3), + .CK(clk1), + .Q(output64)); + DFF_X1 load65 (.D(net598), + .CK(clk1), + .Q(output65)); + DFF_X1 load66 (.D(net598), + .CK(clk1), + .Q(output66)); + DFF_X1 load67 (.D(net598), + .CK(clk1), + .Q(output67)); + DFF_X1 load68 (.D(net598), + .CK(clk1), + .Q(output68)); + DFF_X1 load69 (.D(net598), + .CK(clk1), + .Q(output69)); + DFF_X1 load7 (.D(net598), + .CK(clk1), + .Q(output7)); + DFF_X1 load70 (.D(net598), + .CK(clk1), + .Q(output70)); + DFF_X1 load71 (.D(net598), + .CK(clk1), + .Q(output71)); + DFF_X1 load72 (.D(net598), + .CK(clk1), + .Q(output72)); + DFF_X1 load73 (.D(net598), + .CK(clk1), + .Q(output73)); + DFF_X1 load74 (.D(net598), + .CK(clk1), + .Q(output74)); + DFF_X1 load75 (.D(net598), + .CK(clk1), + .Q(output75)); + DFF_X1 load76 (.D(net598), + .CK(clk1), + .Q(output76)); + DFF_X1 load77 (.D(net598), + .CK(clk1), + .Q(output77)); + DFF_X1 load78 (.D(net598), + .CK(clk1), + .Q(output78)); + DFF_X1 load79 (.D(net598), + .CK(clk1), + .Q(output79)); + DFF_X1 load8 (.D(net598), + .CK(clk1), + .Q(output8)); + DFF_X1 load80 (.D(net598), + .CK(clk1), + .Q(output80)); + DFF_X1 load81 (.D(net598), + .CK(clk1), + .Q(output81)); + DFF_X1 load82 (.D(net599), + .CK(clk1), + .Q(output82)); + DFF_X1 load83 (.D(net599), + .CK(clk1), + .Q(output83)); + DFF_X1 load84 (.D(net599), + .CK(clk1), + .Q(output84)); + DFF_X1 load85 (.D(net599), + .CK(clk1), + .Q(output85)); + DFF_X1 load86 (.D(net599), + .CK(clk1), + .Q(output86)); + DFF_X1 load87 (.D(net599), + .CK(clk1), + .Q(output87)); + DFF_X1 load88 (.D(net599), + .CK(clk1), + .Q(output88)); + DFF_X1 load89 (.D(net599), + .CK(clk1), + .Q(output89)); + DFF_X1 load9 (.D(net599), + .CK(clk1), + .Q(output9)); + DFF_X1 load90 (.D(net618), + .CK(clk1), + .Q(output90)); + DFF_X1 load91 (.D(net618), + .CK(clk1), + .Q(output91)); + DFF_X1 load92 (.D(net618), + .CK(clk1), + .Q(output92)); + DFF_X1 load93 (.D(net618), + .CK(clk1), + .Q(output93)); + DFF_X1 load94 (.D(net618), + .CK(clk1), + .Q(output94)); + DFF_X1 load95 (.D(net0), + .CK(clk1), + .Q(output95)); + DFF_X1 load96 (.D(net0), + .CK(clk1), + .Q(output96)); + DFF_X1 load97 (.D(net0), + .CK(clk1), + .Q(output97)); + DFF_X1 load98 (.D(net0), + .CK(clk1), + .Q(output98)); + DFF_X1 load99 (.D(net0), + .CK(clk1), + .Q(output99)); + NAND2_X4 nand_inst_0 (.A1(clk_to_nand1), + .A2(clk_to_nand0), + .ZN(net0)); + NAND2_X4 clone1 (.A1(net597), + .A2(net289), + .ZN(net1)); + NAND2_X4 clone2 (.A1(net597), + .A2(net289), + .ZN(net2)); + NAND2_X4 clone3 (.A1(clk_to_nand1), + .A2(clk_to_nand0), + .ZN(net3)); + NAND2_X2 clone124 (.A1(clk_to_nand0), + .A2(clk_to_nand1), + .ZN(net124)); + NAND2_X2 clone127 (.A1(net597), + .A2(net289), + .ZN(net127)); + NAND2_X2 clone129 (.A1(net597), + .A2(net289), + .ZN(net129)); + NAND2_X2 clone284 (.A1(clk_to_nand0), + .A2(clk_to_nand1), + .ZN(net284)); + NAND2_X2 clone288 (.A1(clk_to_nand0), + .A2(clk_to_nand1), + .ZN(net288)); + BUF_X8 split289 (.A(clk_to_nand1), + .Z(net289)); + NAND2_X2 clone291 (.A1(net597), + .A2(net289), + .ZN(net291)); + BUF_X4 split597 (.A(clk_to_nand0), + .Z(net597)); + NAND2_X2 clone598 (.A1(clk_to_nand1), + .A2(clk_to_nand0), + .ZN(net598)); + NAND2_X2 clone599 (.A1(clk_to_nand1), + .A2(clk_to_nand0), + .ZN(net599)); + NAND2_X2 clone618 (.A1(clk_to_nand1), + .A2(clk_to_nand0), + .ZN(net618)); +endmodule diff --git a/src/rsz/test/clone_hier.ok b/src/rsz/test/clone_hier.ok new file mode 100644 index 00000000000..611d299513c --- /dev/null +++ b/src/rsz/test/clone_hier.ok @@ -0,0 +1,200 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +worst slack -0.16 +[INFO RSZ-0094] Found 150 endpoints with setup violations. +[INFO RSZ-0099] Repairing 150 out of 150 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | Endpts | Endpt +--------------------------------------------------------------------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | -0.155 | -23.3 | 150 | load0/D + 3 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 148 | load31/D + 4 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 147 | load31/D + 5 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 146 | load31/D + 6 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 145 | load31/D + 7 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 144 | load31/D + 8 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 143 | load31/D + 9 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 142 | load31/D + 10 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 142 | load31/D + 10 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 141 | load31/D + 11 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 140 | load31/D + 12 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 139 | load31/D + 13 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 138 | load31/D + 14 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 137 | load31/D + 15 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 136 | load31/D + 16 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 135 | load31/D + 17 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 134 | load31/D + 18 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 133 | load31/D + 19 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 132 | load31/D + 20 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 132 | load31/D + 20 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 131 | load31/D + 21 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 130 | load31/D + 22 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 129 | load31/D + 23 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 128 | load31/D + 24 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 127 | load31/D + 25 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 126 | load31/D + 26 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 125 | load31/D + 27 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 124 | load31/D + 28 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 123 | load31/D + 29 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 122 | load31/D + 30 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 122 | load31/D + 30 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 121 | load31/D + 31 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 120 | load31/D + 32 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 119 | load31/D + 33 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 118 | load31/D + 34 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 117 | load31/D + 35 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 116 | load31/D + 36 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 115 | load31/D + 37 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 114 | load31/D + 38 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 113 | load31/D + 39 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 112 | load31/D + 40 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 112 | load31/D + 40 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 111 | load31/D + 41 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 110 | load31/D + 42 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 109 | load31/D + 43 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 108 | load31/D + 44 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 107 | load31/D + 45 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 106 | load31/D + 46 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 105 | load31/D + 47 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 104 | load31/D + 48 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 103 | load31/D + 49 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 102 | load31/D + 50 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 102 | load31/D + 50 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 101 | load31/D + 51 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 100 | load31/D + 52 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 99 | load31/D + 53 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 98 | load31/D + 54 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 97 | load31/D + 55 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 96 | load31/D + 56 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 95 | load31/D + 57 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 94 | load31/D + 58 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 93 | load31/D + 59 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 92 | load31/D + 60 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 92 | load31/D + 60 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 91 | load31/D + 61 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 90 | load31/D + 62 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 89 | load31/D + 63 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 88 | load31/D + 64 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 87 | load31/D + 65 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 86 | load31/D + 66 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 85 | load31/D + 67 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 84 | load31/D + 68 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 83 | load31/D + 69 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 82 | load31/D + 70 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 82 | load31/D + 70 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 81 | load31/D + 71 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 80 | load31/D + 72 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 79 | load31/D + 73 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 78 | load31/D + 74 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 77 | load31/D + 75 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 76 | load31/D + 76 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 75 | load31/D + 77 | 0 | 0 | 0 | 1 | 1 | -0.112 | -20.1 | 74 | load31/D + 79 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 72 | load65/D + 80 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 72 | load65/D + 80 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 71 | load65/D + 81 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 70 | load65/D + 82 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 69 | load65/D + 83 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 68 | load65/D + 84 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 67 | load65/D + 85 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 66 | load65/D + 86 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 65 | load65/D + 87 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 64 | load65/D + 88 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 63 | load65/D + 89 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 62 | load65/D + 90 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 62 | load65/D + 90 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 61 | load65/D + 91 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 60 | load65/D + 92 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 59 | load65/D + 93 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 58 | load65/D + 94 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 57 | load65/D + 95 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 56 | load65/D + 96 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 55 | load65/D + 97 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 54 | load65/D + 98 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 53 | load65/D + 99 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 52 | load65/D + 100 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 52 | load65/D + 100 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 51 | load65/D + 101 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 50 | load65/D + 102 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 49 | load65/D + 103 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 48 | load65/D + 104 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 47 | load65/D + 105 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 46 | load65/D + 106 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 45 | load65/D + 107 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 44 | load65/D + 108 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 43 | load65/D + 109 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 42 | load65/D + 110 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 42 | load65/D + 110 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 41 | load65/D + 111 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 40 | load65/D + 112 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 39 | load65/D + 113 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 38 | load65/D + 114 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 37 | load65/D + 115 | 0 | 0 | 0 | 2 | 1 | -0.095 | -19.4 | 36 | load65/D + 117 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 34 | load82/D + 118 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 33 | load82/D + 119 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 32 | load82/D + 120 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 32 | load82/D + 120 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 31 | load82/D + 121 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 30 | load82/D + 122 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 29 | load82/D + 123 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 28 | load82/D + 124 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 27 | load82/D + 125 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 26 | load82/D + 126 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 25 | load82/D + 127 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 24 | load82/D + 128 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 23 | load82/D + 129 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 22 | load82/D + 130 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 22 | load82/D + 130 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 21 | load82/D + 131 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 20 | load82/D + 132 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 19 | load82/D + 133 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 18 | load82/D + 134 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 17 | load82/D + 135 | 0 | 0 | 0 | 3 | 1 | -0.091 | -19.3 | 16 | load82/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 137 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 15 | load90/D + 138 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 14 | load90/D + 139 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 13 | load90/D + 140 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 13 | load90/D + 140 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 12 | load90/D + 141 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 11 | load90/D + 142 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 10 | load90/D + 143 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 9 | load90/D + 144 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 8 | load90/D + 145 | 0 | 0 | 0 | 3 | 1 | -0.092 | -19.4 | 7 | load90/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 147 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 6 | load95/D + 148 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + 149 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 4 | load95/D + 150 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 4 | load95/D + 150 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 3 | load95/D + 151 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 2 | load95/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 152 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 2 | load95/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 153 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 2 | load95/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 154 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 2 | load95/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 155 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 2 | load95/D +[WARNING RSZ-0075] makeBufferedNet failed for driver drvr_1/Q +[WARNING RSZ-0075] makeBufferedNet failed for driver cloneU1/nand_inst_0/ZN + 156 | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 2 | load95/D + 157* | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + 158* | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + 159* | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + 160* | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + 160* | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + 161* | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D + final | 0 | 0 | 0 | 3 | 1 | -0.096 | -19.4 | 5 | load95/D +--------------------------------------------------------------------------------------------------- +[INFO RSZ-0043] Swapped pins on 1 instances. +[INFO RSZ-0049] Cloned 3 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +worst slack -0.10 +No differences found. diff --git a/src/rsz/test/clone_hier.tcl b/src/rsz/test/clone_hier.tcl new file mode 100644 index 00000000000..0ab6b7e6b7b --- /dev/null +++ b/src/rsz/test/clone_hier.tcl @@ -0,0 +1,43 @@ +# repair_design max_fanout +source "helpers.tcl" +source "hi_fanout.tcl" + +set def_filename [make_result_file "repair_fanout7.def"] +# Gates we want to eventually NAND2_X4, NAND3_X4, NAND4_X4 + +write_clone_test_def $def_filename NAND2_X4 150 + +read_liberty Nangate45/Nangate45_typ.lib +read_lef Nangate45/Nangate45.lef +read_verilog clone_hier.v +link_design hi_fanout -hier + +create_clock -period 0.1 clk1 +set_driving_cell -lib_cell BUF_X1 [all_inputs] +# Make sure the fanout fixes are not applied so we can test +# gate cloning fixes. +set_max_fanout 200 [current_design] + +source Nangate45/Nangate45.vars +source Nangate45/Nangate45.rc +set_wire_rc -layer metal2 +estimate_parasitics -placement + +# Skip repair_design for now since this is a test for gate +# cloning + +set_wire_rc -signal -layer $wire_rc_layer +set_wire_rc -clock -layer $wire_rc_layer_clk +set_dont_use $dont_use + +estimate_parasitics -placement + +# Repair the high fanout net hopefully with gate cloning code. +report_worst_slack -max +repair_timing -setup -repair_tns 100 -verbose +report_worst_slack -max +set verilog_file [make_result_file clone_hier_out.v] +write_verilog $verilog_file +diff_files $verilog_file clone_hier_out.vok + + diff --git a/src/rsz/test/clone_hier.v b/src/rsz/test/clone_hier.v new file mode 100644 index 00000000000..d8fe9f67225 --- /dev/null +++ b/src/rsz/test/clone_hier.v @@ -0,0 +1,787 @@ +module hi_fanout (clk1, + data, + output0, + output1, + output2, + output3, + output4, + output5, + output6, + output7, + output8, + output9, + output10, + output11, + output12, + output13, + output14, + output15, + output16, + output17, + output18, + output19, + output20, + output21, + output22, + output23, + output24, + output25, + output26, + output27, + output28, + output29, + output30, + output31, + output32, + output33, + output34, + output35, + output36, + output37, + output38, + output39, + output40, + output41, + output42, + output43, + output44, + output45, + output46, + output47, + output48, + output49, + output50, + output51, + output52, + output53, + output54, + output55, + output56, + output57, + output58, + output59, + output60, + output61, + output62, + output63, + output64, + output65, + output66, + output67, + output68, + output69, + output70, + output71, + output72, + output73, + output74, + output75, + output76, + output77, + output78, + output79, + output80, + output81, + output82, + output83, + output84, + output85, + output86, + output87, + output88, + output89, + output90, + output91, + output92, + output93, + output94, + output95, + output96, + output97, + output98, + output99, + output100, + output101, + output102, + output103, + output104, + output105, + output106, + output107, + output108, + output109, + output110, + output111, + output112, + output113, + output114, + output115, + output116, + output117, + output118, + output119, + output120, + output121, + output122, + output123, + output124, + output125, + output126, + output127, + output128, + output129, + output130, + output131, + output132, + output133, + output134, + output135, + output136, + output137, + output138, + output139, + output140, + output141, + output142, + output143, + output144, + output145, + output146, + output147, + output148, + output149); + input clk1; + input data; + output output0; + output output1; + output output2; + output output3; + output output4; + output output5; + output output6; + output output7; + output output8; + output output9; + output output10; + output output11; + output output12; + output output13; + output output14; + output output15; + output output16; + output output17; + output output18; + output output19; + output output20; + output output21; + output output22; + output output23; + output output24; + output output25; + output output26; + output output27; + output output28; + output output29; + output output30; + output output31; + output output32; + output output33; + output output34; + output output35; + output output36; + output output37; + output output38; + output output39; + output output40; + output output41; + output output42; + output output43; + output output44; + output output45; + output output46; + output output47; + output output48; + output output49; + output output50; + output output51; + output output52; + output output53; + output output54; + output output55; + output output56; + output output57; + output output58; + output output59; + output output60; + output output61; + output output62; + output output63; + output output64; + output output65; + output output66; + output output67; + output output68; + output output69; + output output70; + output output71; + output output72; + output output73; + output output74; + output output75; + output output76; + output output77; + output output78; + output output79; + output output80; + output output81; + output output82; + output output83; + output output84; + output output85; + output output86; + output output87; + output output88; + output output89; + output output90; + output output91; + output output92; + output output93; + output output94; + output output95; + output output96; + output output97; + output output98; + output output99; + output output100; + output output101; + output output102; + output output103; + output output104; + output output105; + output output106; + output output107; + output output108; + output output109; + output output110; + output output111; + output output112; + output output113; + output output114; + output output115; + output output116; + output output117; + output output118; + output output119; + output output120; + output output121; + output output122; + output output123; + output output124; + output output125; + output output126; + output output127; + output output128; + output output129; + output output130; + output output131; + output output132; + output output133; + output output134; + output output135; + output output136; + output output137; + output output138; + output output139; + output output140; + output output141; + output output142; + output output143; + output output144; + output output145; + output output146; + output output147; + output output148; + output output149; + + wire clk_to_nand0; + wire clk_to_nand1; + wire net0; + + DFF_X1 drvr_1 (.D(data), + .CK(clk1), + .Q(clk_to_nand0)); + DFF_X1 drvr_2 (.D(data), + .CK(clk1), + .Q(clk_to_nand1)); + + submodule cloneU1 (.ip0(clk_to_nand0), + .ip1(clk_to_nand1), + .op0(net0)); + + + DFF_X1 load0 (.D(net0), + .CK(clk1), + .Q(output0)); + DFF_X1 load1 (.D(net0), + .CK(clk1), + .Q(output1)); + DFF_X1 load2 (.D(net0), + .CK(clk1), + .Q(output2)); + DFF_X1 load3 (.D(net0), + .CK(clk1), + .Q(output3)); + DFF_X1 load4 (.D(net0), + .CK(clk1), + .Q(output4)); + DFF_X1 load5 (.D(net0), + .CK(clk1), + .Q(output5)); + DFF_X1 load6 (.D(net0), + .CK(clk1), + .Q(output6)); + DFF_X1 load7 (.D(net0), + .CK(clk1), + .Q(output7)); + DFF_X1 load8 (.D(net0), + .CK(clk1), + .Q(output8)); + DFF_X1 load9 (.D(net0), + .CK(clk1), + .Q(output9)); + DFF_X1 load10 (.D(net0), + .CK(clk1), + .Q(output10)); + DFF_X1 load11 (.D(net0), + .CK(clk1), + .Q(output11)); + DFF_X1 load12 (.D(net0), + .CK(clk1), + .Q(output12)); + DFF_X1 load13 (.D(net0), + .CK(clk1), + .Q(output13)); + DFF_X1 load14 (.D(net0), + .CK(clk1), + .Q(output14)); + DFF_X1 load15 (.D(net0), + .CK(clk1), + .Q(output15)); + DFF_X1 load16 (.D(net0), + .CK(clk1), + .Q(output16)); + DFF_X1 load17 (.D(net0), + .CK(clk1), + .Q(output17)); + DFF_X1 load18 (.D(net0), + .CK(clk1), + .Q(output18)); + DFF_X1 load19 (.D(net0), + .CK(clk1), + .Q(output19)); + DFF_X1 load20 (.D(net0), + .CK(clk1), + .Q(output20)); + DFF_X1 load21 (.D(net0), + .CK(clk1), + .Q(output21)); + DFF_X1 load22 (.D(net0), + .CK(clk1), + .Q(output22)); + DFF_X1 load23 (.D(net0), + .CK(clk1), + .Q(output23)); + DFF_X1 load24 (.D(net0), + .CK(clk1), + .Q(output24)); + DFF_X1 load25 (.D(net0), + .CK(clk1), + .Q(output25)); + DFF_X1 load26 (.D(net0), + .CK(clk1), + .Q(output26)); + DFF_X1 load27 (.D(net0), + .CK(clk1), + .Q(output27)); + DFF_X1 load28 (.D(net0), + .CK(clk1), + .Q(output28)); + DFF_X1 load29 (.D(net0), + .CK(clk1), + .Q(output29)); + DFF_X1 load30 (.D(net0), + .CK(clk1), + .Q(output30)); + DFF_X1 load31 (.D(net0), + .CK(clk1), + .Q(output31)); + DFF_X1 load32 (.D(net0), + .CK(clk1), + .Q(output32)); + DFF_X1 load33 (.D(net0), + .CK(clk1), + .Q(output33)); + DFF_X1 load34 (.D(net0), + .CK(clk1), + .Q(output34)); + DFF_X1 load35 (.D(net0), + .CK(clk1), + .Q(output35)); + DFF_X1 load36 (.D(net0), + .CK(clk1), + .Q(output36)); + DFF_X1 load37 (.D(net0), + .CK(clk1), + .Q(output37)); + DFF_X1 load38 (.D(net0), + .CK(clk1), + .Q(output38)); + DFF_X1 load39 (.D(net0), + .CK(clk1), + .Q(output39)); + DFF_X1 load40 (.D(net0), + .CK(clk1), + .Q(output40)); + DFF_X1 load41 (.D(net0), + .CK(clk1), + .Q(output41)); + DFF_X1 load42 (.D(net0), + .CK(clk1), + .Q(output42)); + DFF_X1 load43 (.D(net0), + .CK(clk1), + .Q(output43)); + DFF_X1 load44 (.D(net0), + .CK(clk1), + .Q(output44)); + DFF_X1 load45 (.D(net0), + .CK(clk1), + .Q(output45)); + DFF_X1 load46 (.D(net0), + .CK(clk1), + .Q(output46)); + DFF_X1 load47 (.D(net0), + .CK(clk1), + .Q(output47)); + DFF_X1 load48 (.D(net0), + .CK(clk1), + .Q(output48)); + DFF_X1 load49 (.D(net0), + .CK(clk1), + .Q(output49)); + DFF_X1 load50 (.D(net0), + .CK(clk1), + .Q(output50)); + DFF_X1 load51 (.D(net0), + .CK(clk1), + .Q(output51)); + DFF_X1 load52 (.D(net0), + .CK(clk1), + .Q(output52)); + DFF_X1 load53 (.D(net0), + .CK(clk1), + .Q(output53)); + DFF_X1 load54 (.D(net0), + .CK(clk1), + .Q(output54)); + DFF_X1 load55 (.D(net0), + .CK(clk1), + .Q(output55)); + DFF_X1 load56 (.D(net0), + .CK(clk1), + .Q(output56)); + DFF_X1 load57 (.D(net0), + .CK(clk1), + .Q(output57)); + DFF_X1 load58 (.D(net0), + .CK(clk1), + .Q(output58)); + DFF_X1 load59 (.D(net0), + .CK(clk1), + .Q(output59)); + DFF_X1 load60 (.D(net0), + .CK(clk1), + .Q(output60)); + DFF_X1 load61 (.D(net0), + .CK(clk1), + .Q(output61)); + DFF_X1 load62 (.D(net0), + .CK(clk1), + .Q(output62)); + DFF_X1 load63 (.D(net0), + .CK(clk1), + .Q(output63)); + DFF_X1 load64 (.D(net0), + .CK(clk1), + .Q(output64)); + DFF_X1 load65 (.D(net0), + .CK(clk1), + .Q(output65)); + DFF_X1 load66 (.D(net0), + .CK(clk1), + .Q(output66)); + DFF_X1 load67 (.D(net0), + .CK(clk1), + .Q(output67)); + DFF_X1 load68 (.D(net0), + .CK(clk1), + .Q(output68)); + DFF_X1 load69 (.D(net0), + .CK(clk1), + .Q(output69)); + DFF_X1 load70 (.D(net0), + .CK(clk1), + .Q(output70)); + DFF_X1 load71 (.D(net0), + .CK(clk1), + .Q(output71)); + DFF_X1 load72 (.D(net0), + .CK(clk1), + .Q(output72)); + DFF_X1 load73 (.D(net0), + .CK(clk1), + .Q(output73)); + DFF_X1 load74 (.D(net0), + .CK(clk1), + .Q(output74)); + DFF_X1 load75 (.D(net0), + .CK(clk1), + .Q(output75)); + DFF_X1 load76 (.D(net0), + .CK(clk1), + .Q(output76)); + DFF_X1 load77 (.D(net0), + .CK(clk1), + .Q(output77)); + DFF_X1 load78 (.D(net0), + .CK(clk1), + .Q(output78)); + DFF_X1 load79 (.D(net0), + .CK(clk1), + .Q(output79)); + DFF_X1 load80 (.D(net0), + .CK(clk1), + .Q(output80)); + DFF_X1 load81 (.D(net0), + .CK(clk1), + .Q(output81)); + DFF_X1 load82 (.D(net0), + .CK(clk1), + .Q(output82)); + DFF_X1 load83 (.D(net0), + .CK(clk1), + .Q(output83)); + DFF_X1 load84 (.D(net0), + .CK(clk1), + .Q(output84)); + DFF_X1 load85 (.D(net0), + .CK(clk1), + .Q(output85)); + DFF_X1 load86 (.D(net0), + .CK(clk1), + .Q(output86)); + DFF_X1 load87 (.D(net0), + .CK(clk1), + .Q(output87)); + DFF_X1 load88 (.D(net0), + .CK(clk1), + .Q(output88)); + DFF_X1 load89 (.D(net0), + .CK(clk1), + .Q(output89)); + DFF_X1 load90 (.D(net0), + .CK(clk1), + .Q(output90)); + DFF_X1 load91 (.D(net0), + .CK(clk1), + .Q(output91)); + DFF_X1 load92 (.D(net0), + .CK(clk1), + .Q(output92)); + DFF_X1 load93 (.D(net0), + .CK(clk1), + .Q(output93)); + DFF_X1 load94 (.D(net0), + .CK(clk1), + .Q(output94)); + DFF_X1 load95 (.D(net0), + .CK(clk1), + .Q(output95)); + DFF_X1 load96 (.D(net0), + .CK(clk1), + .Q(output96)); + DFF_X1 load97 (.D(net0), + .CK(clk1), + .Q(output97)); + DFF_X1 load98 (.D(net0), + .CK(clk1), + .Q(output98)); + DFF_X1 load99 (.D(net0), + .CK(clk1), + .Q(output99)); + DFF_X1 load100 (.D(net0), + .CK(clk1), + .Q(output100)); + DFF_X1 load101 (.D(net0), + .CK(clk1), + .Q(output101)); + DFF_X1 load102 (.D(net0), + .CK(clk1), + .Q(output102)); + DFF_X1 load103 (.D(net0), + .CK(clk1), + .Q(output103)); + DFF_X1 load104 (.D(net0), + .CK(clk1), + .Q(output104)); + DFF_X1 load105 (.D(net0), + .CK(clk1), + .Q(output105)); + DFF_X1 load106 (.D(net0), + .CK(clk1), + .Q(output106)); + DFF_X1 load107 (.D(net0), + .CK(clk1), + .Q(output107)); + DFF_X1 load108 (.D(net0), + .CK(clk1), + .Q(output108)); + DFF_X1 load109 (.D(net0), + .CK(clk1), + .Q(output109)); + DFF_X1 load110 (.D(net0), + .CK(clk1), + .Q(output110)); + DFF_X1 load111 (.D(net0), + .CK(clk1), + .Q(output111)); + DFF_X1 load112 (.D(net0), + .CK(clk1), + .Q(output112)); + DFF_X1 load113 (.D(net0), + .CK(clk1), + .Q(output113)); + DFF_X1 load114 (.D(net0), + .CK(clk1), + .Q(output114)); + DFF_X1 load115 (.D(net0), + .CK(clk1), + .Q(output115)); + DFF_X1 load116 (.D(net0), + .CK(clk1), + .Q(output116)); + DFF_X1 load117 (.D(net0), + .CK(clk1), + .Q(output117)); + DFF_X1 load118 (.D(net0), + .CK(clk1), + .Q(output118)); + DFF_X1 load119 (.D(net0), + .CK(clk1), + .Q(output119)); + DFF_X1 load120 (.D(net0), + .CK(clk1), + .Q(output120)); + DFF_X1 load121 (.D(net0), + .CK(clk1), + .Q(output121)); + DFF_X1 load122 (.D(net0), + .CK(clk1), + .Q(output122)); + DFF_X1 load123 (.D(net0), + .CK(clk1), + .Q(output123)); + DFF_X1 load124 (.D(net0), + .CK(clk1), + .Q(output124)); + DFF_X1 load125 (.D(net0), + .CK(clk1), + .Q(output125)); + DFF_X1 load126 (.D(net0), + .CK(clk1), + .Q(output126)); + DFF_X1 load127 (.D(net0), + .CK(clk1), + .Q(output127)); + DFF_X1 load128 (.D(net0), + .CK(clk1), + .Q(output128)); + DFF_X1 load129 (.D(net0), + .CK(clk1), + .Q(output129)); + DFF_X1 load130 (.D(net0), + .CK(clk1), + .Q(output130)); + DFF_X1 load131 (.D(net0), + .CK(clk1), + .Q(output131)); + DFF_X1 load132 (.D(net0), + .CK(clk1), + .Q(output132)); + DFF_X1 load133 (.D(net0), + .CK(clk1), + .Q(output133)); + DFF_X1 load134 (.D(net0), + .CK(clk1), + .Q(output134)); + DFF_X1 load135 (.D(net0), + .CK(clk1), + .Q(output135)); + DFF_X1 load136 (.D(net0), + .CK(clk1), + .Q(output136)); + DFF_X1 load137 (.D(net0), + .CK(clk1), + .Q(output137)); + DFF_X1 load138 (.D(net0), + .CK(clk1), + .Q(output138)); + DFF_X1 load139 (.D(net0), + .CK(clk1), + .Q(output139)); + DFF_X1 load140 (.D(net0), + .CK(clk1), + .Q(output140)); + DFF_X1 load141 (.D(net0), + .CK(clk1), + .Q(output141)); + DFF_X1 load142 (.D(net0), + .CK(clk1), + .Q(output142)); + DFF_X1 load143 (.D(net0), + .CK(clk1), + .Q(output143)); + DFF_X1 load144 (.D(net0), + .CK(clk1), + .Q(output144)); + DFF_X1 load145 (.D(net0), + .CK(clk1), + .Q(output145)); + DFF_X1 load146 (.D(net0), + .CK(clk1), + .Q(output146)); + DFF_X1 load147 (.D(net0), + .CK(clk1), + .Q(output147)); + DFF_X1 load148 (.D(net0), + .CK(clk1), + .Q(output148)); + DFF_X1 load149 (.D(net0), + .CK(clk1), + .Q(output149)); +endmodule + + +module submodule(ip0, + ip1, + op0 + ); + input ip0; + input ip1; + output op0; + + + NAND2_X4 nand_inst_0 (.A1(ip0), + .A2(ip1), + .ZN(op0)); +endmodule // cloneU1 diff --git a/src/rsz/test/clone_hier_out.vok b/src/rsz/test/clone_hier_out.vok new file mode 100644 index 00000000000..977c5300039 --- /dev/null +++ b/src/rsz/test/clone_hier_out.vok @@ -0,0 +1,798 @@ +module hi_fanout (clk1, + data, + output0, + output1, + output10, + output100, + output101, + output102, + output103, + output104, + output105, + output106, + output107, + output108, + output109, + output11, + output110, + output111, + output112, + output113, + output114, + output115, + output116, + output117, + output118, + output119, + output12, + output120, + output121, + output122, + output123, + output124, + output125, + output126, + output127, + output128, + output129, + output13, + output130, + output131, + output132, + output133, + output134, + output135, + output136, + output137, + output138, + output139, + output14, + output140, + output141, + output142, + output143, + output144, + output145, + output146, + output147, + output148, + output149, + output15, + output16, + output17, + output18, + output19, + output2, + output20, + output21, + output22, + output23, + output24, + output25, + output26, + output27, + output28, + output29, + output3, + output30, + output31, + output32, + output33, + output34, + output35, + output36, + output37, + output38, + output39, + output4, + output40, + output41, + output42, + output43, + output44, + output45, + output46, + output47, + output48, + output49, + output5, + output50, + output51, + output52, + output53, + output54, + output55, + output56, + output57, + output58, + output59, + output6, + output60, + output61, + output62, + output63, + output64, + output65, + output66, + output67, + output68, + output69, + output7, + output70, + output71, + output72, + output73, + output74, + output75, + output76, + output77, + output78, + output79, + output8, + output80, + output81, + output82, + output83, + output84, + output85, + output86, + output87, + output88, + output89, + output9, + output90, + output91, + output92, + output93, + output94, + output95, + output96, + output97, + output98, + output99); + input clk1; + input data; + output output0; + output output1; + output output10; + output output100; + output output101; + output output102; + output output103; + output output104; + output output105; + output output106; + output output107; + output output108; + output output109; + output output11; + output output110; + output output111; + output output112; + output output113; + output output114; + output output115; + output output116; + output output117; + output output118; + output output119; + output output12; + output output120; + output output121; + output output122; + output output123; + output output124; + output output125; + output output126; + output output127; + output output128; + output output129; + output output13; + output output130; + output output131; + output output132; + output output133; + output output134; + output output135; + output output136; + output output137; + output output138; + output output139; + output output14; + output output140; + output output141; + output output142; + output output143; + output output144; + output output145; + output output146; + output output147; + output output148; + output output149; + output output15; + output output16; + output output17; + output output18; + output output19; + output output2; + output output20; + output output21; + output output22; + output output23; + output output24; + output output25; + output output26; + output output27; + output output28; + output output29; + output output3; + output output30; + output output31; + output output32; + output output33; + output output34; + output output35; + output output36; + output output37; + output output38; + output output39; + output output4; + output output40; + output output41; + output output42; + output output43; + output output44; + output output45; + output output46; + output output47; + output output48; + output output49; + output output5; + output output50; + output output51; + output output52; + output output53; + output output54; + output output55; + output output56; + output output57; + output output58; + output output59; + output output6; + output output60; + output output61; + output output62; + output output63; + output output64; + output output65; + output output66; + output output67; + output output68; + output output69; + output output7; + output output70; + output output71; + output output72; + output output73; + output output74; + output output75; + output output76; + output output77; + output output78; + output output79; + output output8; + output output80; + output output81; + output output82; + output output83; + output output84; + output output85; + output output86; + output output87; + output output88; + output output89; + output output9; + output output90; + output output91; + output output92; + output output93; + output output94; + output output95; + output output96; + output output97; + output output98; + output output99; + + + DFF_X1 drvr_1 (.D(data), + .CK(clk1), + .Q(clk_to_nand0)); + DFF_X1 drvr_2 (.D(data), + .CK(clk1), + .Q(clk_to_nand1)); + DFF_X1 load0 (.D(net2), + .CK(clk1), + .Q(output0)); + DFF_X1 load1 (.D(net2), + .CK(clk1), + .Q(output1)); + DFF_X1 load10 (.D(net2), + .CK(clk1), + .Q(output10)); + DFF_X1 load100 (.D(net2), + .CK(clk1), + .Q(output100)); + DFF_X1 load101 (.D(net2), + .CK(clk1), + .Q(output101)); + DFF_X1 load102 (.D(net2), + .CK(clk1), + .Q(output102)); + DFF_X1 load103 (.D(net2), + .CK(clk1), + .Q(output103)); + DFF_X1 load104 (.D(net2), + .CK(clk1), + .Q(output104)); + DFF_X1 load105 (.D(net2), + .CK(clk1), + .Q(output105)); + DFF_X1 load106 (.D(net2), + .CK(clk1), + .Q(output106)); + DFF_X1 load107 (.D(net2), + .CK(clk1), + .Q(output107)); + DFF_X1 load108 (.D(net2), + .CK(clk1), + .Q(output108)); + DFF_X1 load109 (.D(net2), + .CK(clk1), + .Q(output109)); + DFF_X1 load11 (.D(net2), + .CK(clk1), + .Q(output11)); + DFF_X1 load110 (.D(net2), + .CK(clk1), + .Q(output110)); + DFF_X1 load111 (.D(net2), + .CK(clk1), + .Q(output111)); + DFF_X1 load112 (.D(net2), + .CK(clk1), + .Q(output112)); + DFF_X1 load113 (.D(net2), + .CK(clk1), + .Q(output113)); + DFF_X1 load114 (.D(net2), + .CK(clk1), + .Q(output114)); + DFF_X1 load115 (.D(net2), + .CK(clk1), + .Q(output115)); + DFF_X1 load116 (.D(net2), + .CK(clk1), + .Q(output116)); + DFF_X1 load117 (.D(net2), + .CK(clk1), + .Q(output117)); + DFF_X1 load118 (.D(net2), + .CK(clk1), + .Q(output118)); + DFF_X1 load119 (.D(net2), + .CK(clk1), + .Q(output119)); + DFF_X1 load12 (.D(net2), + .CK(clk1), + .Q(output12)); + DFF_X1 load120 (.D(net2), + .CK(clk1), + .Q(output120)); + DFF_X1 load121 (.D(net2), + .CK(clk1), + .Q(output121)); + DFF_X1 load122 (.D(net2), + .CK(clk1), + .Q(output122)); + DFF_X1 load123 (.D(net2), + .CK(clk1), + .Q(output123)); + DFF_X1 load124 (.D(net2), + .CK(clk1), + .Q(output124)); + DFF_X1 load125 (.D(net2), + .CK(clk1), + .Q(output125)); + DFF_X1 load126 (.D(net2), + .CK(clk1), + .Q(output126)); + DFF_X1 load127 (.D(net2), + .CK(clk1), + .Q(output127)); + DFF_X1 load128 (.D(net2), + .CK(clk1), + .Q(output128)); + DFF_X1 load129 (.D(net2), + .CK(clk1), + .Q(output129)); + DFF_X1 load13 (.D(net2), + .CK(clk1), + .Q(output13)); + DFF_X1 load130 (.D(net2), + .CK(clk1), + .Q(output130)); + DFF_X1 load131 (.D(net2), + .CK(clk1), + .Q(output131)); + DFF_X1 load132 (.D(net2), + .CK(clk1), + .Q(output132)); + DFF_X1 load133 (.D(net2), + .CK(clk1), + .Q(output133)); + DFF_X1 load134 (.D(net2), + .CK(clk1), + .Q(output134)); + DFF_X1 load135 (.D(net2), + .CK(clk1), + .Q(output135)); + DFF_X1 load136 (.D(net2), + .CK(clk1), + .Q(output136)); + DFF_X1 load137 (.D(net2), + .CK(clk1), + .Q(output137)); + DFF_X1 load138 (.D(net2), + .CK(clk1), + .Q(output138)); + DFF_X1 load139 (.D(net2), + .CK(clk1), + .Q(output139)); + DFF_X1 load14 (.D(net2), + .CK(clk1), + .Q(output14)); + DFF_X1 load140 (.D(net2), + .CK(clk1), + .Q(output140)); + DFF_X1 load141 (.D(net2), + .CK(clk1), + .Q(output141)); + DFF_X1 load142 (.D(net2), + .CK(clk1), + .Q(output142)); + DFF_X1 load143 (.D(net2), + .CK(clk1), + .Q(output143)); + DFF_X1 load144 (.D(net2), + .CK(clk1), + .Q(output144)); + DFF_X1 load145 (.D(net2), + .CK(clk1), + .Q(output145)); + DFF_X1 load146 (.D(net2), + .CK(clk1), + .Q(output146)); + DFF_X1 load147 (.D(net2), + .CK(clk1), + .Q(output147)); + DFF_X1 load148 (.D(net2), + .CK(clk1), + .Q(output148)); + DFF_X1 load149 (.D(net2), + .CK(clk1), + .Q(output149)); + DFF_X1 load15 (.D(net2), + .CK(clk1), + .Q(output15)); + DFF_X1 load16 (.D(net2), + .CK(clk1), + .Q(output16)); + DFF_X1 load17 (.D(net2), + .CK(clk1), + .Q(output17)); + DFF_X1 load18 (.D(net2), + .CK(clk1), + .Q(output18)); + DFF_X1 load19 (.D(net2), + .CK(clk1), + .Q(output19)); + DFF_X1 load2 (.D(net2), + .CK(clk1), + .Q(output2)); + DFF_X1 load20 (.D(net2), + .CK(clk1), + .Q(output20)); + DFF_X1 load21 (.D(net2), + .CK(clk1), + .Q(output21)); + DFF_X1 load22 (.D(net2), + .CK(clk1), + .Q(output22)); + DFF_X1 load23 (.D(net2), + .CK(clk1), + .Q(output23)); + DFF_X1 load24 (.D(net2), + .CK(clk1), + .Q(output24)); + DFF_X1 load25 (.D(net2), + .CK(clk1), + .Q(output25)); + DFF_X1 load26 (.D(net2), + .CK(clk1), + .Q(output26)); + DFF_X1 load27 (.D(net2), + .CK(clk1), + .Q(output27)); + DFF_X1 load28 (.D(net2), + .CK(clk1), + .Q(output28)); + DFF_X1 load29 (.D(net2), + .CK(clk1), + .Q(output29)); + DFF_X1 load3 (.D(net2), + .CK(clk1), + .Q(output3)); + DFF_X1 load30 (.D(net2), + .CK(clk1), + .Q(output30)); + DFF_X1 load31 (.D(net78), + .CK(clk1), + .Q(output31)); + DFF_X1 load32 (.D(net78), + .CK(clk1), + .Q(output32)); + DFF_X1 load33 (.D(net78), + .CK(clk1), + .Q(output33)); + DFF_X1 load34 (.D(net78), + .CK(clk1), + .Q(output34)); + DFF_X1 load35 (.D(net78), + .CK(clk1), + .Q(output35)); + DFF_X1 load36 (.D(net78), + .CK(clk1), + .Q(output36)); + DFF_X1 load37 (.D(net78), + .CK(clk1), + .Q(output37)); + DFF_X1 load38 (.D(net78), + .CK(clk1), + .Q(output38)); + DFF_X1 load39 (.D(net78), + .CK(clk1), + .Q(output39)); + DFF_X1 load4 (.D(net78), + .CK(clk1), + .Q(output4)); + DFF_X1 load40 (.D(net78), + .CK(clk1), + .Q(output40)); + DFF_X1 load41 (.D(net78), + .CK(clk1), + .Q(output41)); + DFF_X1 load42 (.D(net78), + .CK(clk1), + .Q(output42)); + DFF_X1 load43 (.D(net78), + .CK(clk1), + .Q(output43)); + DFF_X1 load44 (.D(net78), + .CK(clk1), + .Q(output44)); + DFF_X1 load45 (.D(net78), + .CK(clk1), + .Q(output45)); + DFF_X1 load46 (.D(net78), + .CK(clk1), + .Q(output46)); + DFF_X1 load47 (.D(net78), + .CK(clk1), + .Q(output47)); + DFF_X1 load48 (.D(net78), + .CK(clk1), + .Q(output48)); + DFF_X1 load49 (.D(net78), + .CK(clk1), + .Q(output49)); + DFF_X1 load5 (.D(net78), + .CK(clk1), + .Q(output5)); + DFF_X1 load50 (.D(net78), + .CK(clk1), + .Q(output50)); + DFF_X1 load51 (.D(net78), + .CK(clk1), + .Q(output51)); + DFF_X1 load52 (.D(net78), + .CK(clk1), + .Q(output52)); + DFF_X1 load53 (.D(net78), + .CK(clk1), + .Q(output53)); + DFF_X1 load54 (.D(net78), + .CK(clk1), + .Q(output54)); + DFF_X1 load55 (.D(net78), + .CK(clk1), + .Q(output55)); + DFF_X1 load56 (.D(net78), + .CK(clk1), + .Q(output56)); + DFF_X1 load57 (.D(net78), + .CK(clk1), + .Q(output57)); + DFF_X1 load58 (.D(net78), + .CK(clk1), + .Q(output58)); + DFF_X1 load59 (.D(net78), + .CK(clk1), + .Q(output59)); + DFF_X1 load6 (.D(net78), + .CK(clk1), + .Q(output6)); + DFF_X1 load60 (.D(net78), + .CK(clk1), + .Q(output60)); + DFF_X1 load61 (.D(net78), + .CK(clk1), + .Q(output61)); + DFF_X1 load62 (.D(net78), + .CK(clk1), + .Q(output62)); + DFF_X1 load63 (.D(net78), + .CK(clk1), + .Q(output63)); + DFF_X1 load64 (.D(net78), + .CK(clk1), + .Q(output64)); + DFF_X1 load65 (.D(net116), + .CK(clk1), + .Q(output65)); + DFF_X1 load66 (.D(net116), + .CK(clk1), + .Q(output66)); + DFF_X1 load67 (.D(net116), + .CK(clk1), + .Q(output67)); + DFF_X1 load68 (.D(net116), + .CK(clk1), + .Q(output68)); + DFF_X1 load69 (.D(net116), + .CK(clk1), + .Q(output69)); + DFF_X1 load7 (.D(net116), + .CK(clk1), + .Q(output7)); + DFF_X1 load70 (.D(net116), + .CK(clk1), + .Q(output70)); + DFF_X1 load71 (.D(net116), + .CK(clk1), + .Q(output71)); + DFF_X1 load72 (.D(net116), + .CK(clk1), + .Q(output72)); + DFF_X1 load73 (.D(net116), + .CK(clk1), + .Q(output73)); + DFF_X1 load74 (.D(net116), + .CK(clk1), + .Q(output74)); + DFF_X1 load75 (.D(net116), + .CK(clk1), + .Q(output75)); + DFF_X1 load76 (.D(net116), + .CK(clk1), + .Q(output76)); + DFF_X1 load77 (.D(net116), + .CK(clk1), + .Q(output77)); + DFF_X1 load78 (.D(net116), + .CK(clk1), + .Q(output78)); + DFF_X1 load79 (.D(net116), + .CK(clk1), + .Q(output79)); + DFF_X1 load8 (.D(net116), + .CK(clk1), + .Q(output8)); + DFF_X1 load80 (.D(net116), + .CK(clk1), + .Q(output80)); + DFF_X1 load81 (.D(net116), + .CK(clk1), + .Q(output81)); + DFF_X1 load82 (.D(net0), + .CK(clk1), + .Q(output82)); + DFF_X1 load83 (.D(net0), + .CK(clk1), + .Q(output83)); + DFF_X1 load84 (.D(net0), + .CK(clk1), + .Q(output84)); + DFF_X1 load85 (.D(net0), + .CK(clk1), + .Q(output85)); + DFF_X1 load86 (.D(net0), + .CK(clk1), + .Q(output86)); + DFF_X1 load87 (.D(net0), + .CK(clk1), + .Q(output87)); + DFF_X1 load88 (.D(net0), + .CK(clk1), + .Q(output88)); + DFF_X1 load89 (.D(net0), + .CK(clk1), + .Q(output89)); + DFF_X1 load9 (.D(net0), + .CK(clk1), + .Q(output9)); + DFF_X1 load90 (.D(net0), + .CK(clk1), + .Q(output90)); + DFF_X1 load91 (.D(net0), + .CK(clk1), + .Q(output91)); + DFF_X1 load92 (.D(net0), + .CK(clk1), + .Q(output92)); + DFF_X1 load93 (.D(net0), + .CK(clk1), + .Q(output93)); + DFF_X1 load94 (.D(net0), + .CK(clk1), + .Q(output94)); + DFF_X1 load95 (.D(net0), + .CK(clk1), + .Q(output95)); + DFF_X1 load96 (.D(net0), + .CK(clk1), + .Q(output96)); + DFF_X1 load97 (.D(net0), + .CK(clk1), + .Q(output97)); + DFF_X1 load98 (.D(net0), + .CK(clk1), + .Q(output98)); + DFF_X1 load99 (.D(net0), + .CK(clk1), + .Q(output99)); + submodule cloneU1 (.net116_o(net116), + .net78_o(net78), + .net2_o(net2), + .ip0(clk_to_nand0), + .ip1(clk_to_nand1), + .op0(net0)); +endmodule +module submodule (net116_o, + net78_o, + net2_o, + ip0, + ip1, + op0); + output net116_o; + output net78_o; + output net2_o; + input ip0; + input ip1; + output op0; + + wire net146_o; + wire net136_o; + + NAND2_X2 clone3 (.A1(ip1), + .A2(ip0), + .ZN(net116_o)); + NAND2_X2 clone2 (.A1(ip1), + .A2(ip0), + .ZN(net78_o)); + NAND2_X2 clone1 (.A1(ip1), + .A2(ip0), + .ZN(net2_o)); + NAND2_X4 nand_inst_0 (.A1(ip1), + .A2(ip0), + .ZN(op0)); +endmodule diff --git a/src/rsz/test/cpp/TestBufferRemoval.cc b/src/rsz/test/cpp/TestBufferRemoval.cc index cbe312eabd8..832156aa7e1 100644 --- a/src/rsz/test/cpp/TestBufferRemoval.cc +++ b/src/rsz/test/cpp/TestBufferRemoval.cc @@ -48,8 +48,8 @@ class BufRemTest : public ::testing::Test void SetUp() override { // this will be so much easier with read_def - db_ = utl::deleted_unique_ptr(odb::dbDatabase::create(), - &odb::dbDatabase::destroy); + db_ = utl::UniquePtrWithDeleter(odb::dbDatabase::create(), + &odb::dbDatabase::destroy); std::call_once(init_sta_flag, []() { sta::initSta(); }); sta_ = std::unique_ptr(ord::makeDbSta()); sta_->initVars(Tcl_CreateInterp(), db_.get(), &logger_); @@ -197,7 +197,7 @@ class BufRemTest : public ::testing::Test return nullptr; } - utl::deleted_unique_ptr db_; + utl::UniquePtrWithDeleter db_; sta::Unit* power_unit_; std::unique_ptr sta_; sta::LibertyLibrary* library_; diff --git a/src/rsz/test/eliminate_dead_logic1.ok b/src/rsz/test/eliminate_dead_logic1.ok new file mode 100644 index 00000000000..ca0a6d880b9 --- /dev/null +++ b/src/rsz/test/eliminate_dead_logic1.ok @@ -0,0 +1,4 @@ +[INFO ODB-0227] LEF file: sky130hd/sky130hd.tlef, created 13 layers, 25 vias +[INFO ODB-0227] LEF file: sky130hd/sky130hd_std_cell.lef, created 437 library cells +Removed 5 unused instances and 5 unused nets. +No differences found. diff --git a/src/rsz/test/eliminate_dead_logic1.tcl b/src/rsz/test/eliminate_dead_logic1.tcl new file mode 100644 index 00000000000..7dcda2aff6a --- /dev/null +++ b/src/rsz/test/eliminate_dead_logic1.tcl @@ -0,0 +1,16 @@ +# make_result_file, diff_files +source "helpers.tcl" + +read_lef sky130hd/sky130hd.tlef +read_lef sky130hd/sky130hd_std_cell.lef +read_liberty sky130hd/sky130hd_tt.lib + +read_verilog eliminate_dead_logic1.v +link_design top + +set_dont_touch \q2[0] +eliminate_dead_logic + +set verilog_file [make_result_file eliminate_dead_logic1.v] +write_verilog $verilog_file +diff_files $verilog_file eliminate_dead_logic1.vok diff --git a/src/rsz/test/eliminate_dead_logic1.v b/src/rsz/test/eliminate_dead_logic1.v new file mode 100644 index 00000000000..1d4db1c00c6 --- /dev/null +++ b/src/rsz/test/eliminate_dead_logic1.v @@ -0,0 +1,98 @@ +module top(clk, rst, \q1[0] , \q1[1] ); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + input clk; + wire clk; + output \q1[0] ; + wire \q1[0] ; + output \q1[1] ; + wire \q1[1] ; + wire \q2[0] ; + wire \q2[1] ; + wire \q3[0] ; + wire \q3[1] ; + input rst; + wire rst; + sky130_fd_sc_hd__xnor2_1 _09_ ( + .A(\q1[0] ), + .B(\q1[1] ), + .Y(_06_) + ); + sky130_fd_sc_hd__nor2_1 _10_ ( + .A(rst), + .B(\q1[0] ), + .Y(_05_) + ); + sky130_fd_sc_hd__nor2_1 _11_ ( + .A(rst), + .B(_06_), + .Y(_00_) + ); + sky130_fd_sc_hd__xnor2_1 _12_ ( + .A(\q2[0] ), + .B(\q2[1] ), + .Y(_07_) + ); + sky130_fd_sc_hd__nor2_1 _13_ ( + .A(rst), + .B(_07_), + .Y(_01_) + ); + sky130_fd_sc_hd__xor2_1 _14_ ( + .A(\q3[0] ), + .B(\q3[1] ), + .X(_08_) + ); + sky130_fd_sc_hd__lpflow_inputiso1p_1 _15_ ( + .A(rst), + .SLEEP(_08_), + .X(_02_) + ); + sky130_fd_sc_hd__nor2_1 _16_ ( + .A(rst), + .B(\q3[0] ), + .Y(_03_) + ); + sky130_fd_sc_hd__nand2b_1 _17_ ( + .A_N(rst), + .B(\q2[0] ), + .Y(_04_) + ); + sky130_fd_sc_hd__dfxtp_1 _18_ ( + .CLK(clk), + .D(_00_), + .Q(\q1[1] ) + ); + sky130_fd_sc_hd__dfxtp_1 _19_ ( + .CLK(clk), + .D(_01_), + .Q(\q2[1] ) + ); + sky130_fd_sc_hd__dfxtp_1 _20_ ( + .CLK(clk), + .D(_02_), + .Q(\q3[1] ) + ); + sky130_fd_sc_hd__dfxtp_1 _21_ ( + .CLK(clk), + .D(_03_), + .Q(\q3[0] ) + ); + sky130_fd_sc_hd__dfxtp_1 _22_ ( + .CLK(clk), + .D(_04_), + .Q(\q2[0] ) + ); + sky130_fd_sc_hd__dfxtp_1 _23_ ( + .CLK(clk), + .D(_05_), + .Q(\q1[0] ) + ); +endmodule diff --git a/src/rsz/test/eliminate_dead_logic1.vok b/src/rsz/test/eliminate_dead_logic1.vok new file mode 100644 index 00000000000..f54cb07b39d --- /dev/null +++ b/src/rsz/test/eliminate_dead_logic1.vok @@ -0,0 +1,49 @@ +module top (clk, + \q1[0] , + \q1[1] , + rst); + input clk; + output \q1[0] ; + output \q1[1] ; + input rst; + + wire _00_; + wire _01_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire \q2[0] ; + wire \q2[1] ; + + sky130_fd_sc_hd__xnor2_1 _09_ (.A(\q1[0] ), + .B(\q1[1] ), + .Y(_06_)); + sky130_fd_sc_hd__nor2_1 _10_ (.A(rst), + .B(\q1[0] ), + .Y(_05_)); + sky130_fd_sc_hd__nor2_1 _11_ (.A(rst), + .B(_06_), + .Y(_00_)); + sky130_fd_sc_hd__xnor2_1 _12_ (.A(\q2[0] ), + .B(\q2[1] ), + .Y(_07_)); + sky130_fd_sc_hd__nor2_1 _13_ (.A(rst), + .B(_07_), + .Y(_01_)); + sky130_fd_sc_hd__nand2b_1 _17_ (.A_N(rst), + .B(\q2[0] ), + .Y(_04_)); + sky130_fd_sc_hd__dfxtp_1 _18_ (.D(_00_), + .Q(\q1[1] ), + .CLK(clk)); + sky130_fd_sc_hd__dfxtp_1 _19_ (.D(_01_), + .Q(\q2[1] ), + .CLK(clk)); + sky130_fd_sc_hd__dfxtp_1 _22_ (.D(_04_), + .Q(\q2[0] ), + .CLK(clk)); + sky130_fd_sc_hd__dfxtp_1 _23_ (.D(_05_), + .Q(\q1[0] ), + .CLK(clk)); +endmodule diff --git a/src/rsz/test/pinswap_flat.ok b/src/rsz/test/pinswap_flat.ok new file mode 100644 index 00000000000..e795a6b3989 --- /dev/null +++ b/src/rsz/test/pinswap_flat.ok @@ -0,0 +1,71 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +[INFO IFP-0001] Added 857 rows of 210 site FreePDK45_38x28_10R_NP_162NW_34O. +[INFO GPL-0002] DBU: 2000 +[INFO GPL-0003] SiteSize: ( 0.190 1.400 ) um +[INFO GPL-0004] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[WARNING GPL-0001] a1 toplevel port is not placed! + Replace will regard a1 is placed in (0, 0) +[WARNING GPL-0001] a2 toplevel port is not placed! + Replace will regard a2 is placed in (0, 0) +[WARNING GPL-0001] a3 toplevel port is not placed! + Replace will regard a3 is placed in (0, 0) +[WARNING GPL-0001] a4 toplevel port is not placed! + Replace will regard a4 is placed in (0, 0) +[WARNING GPL-0001] a5 toplevel port is not placed! + Replace will regard a5 is placed in (0, 0) +[WARNING GPL-0001] a6 toplevel port is not placed! + Replace will regard a6 is placed in (0, 0) +[WARNING GPL-0001] clk toplevel port is not placed! + Replace will regard clk is placed in (0, 0) +[WARNING GPL-0001] y1 toplevel port is not placed! + Replace will regard y1 is placed in (0, 0) +[WARNING GPL-0001] y2 toplevel port is not placed! + Replace will regard y2 is placed in (0, 0) +[INFO GPL-0006] NumInstances: 4 +[INFO GPL-0007] NumPlaceInstances: 4 +[INFO GPL-0008] NumFixedInstances: 0 +[INFO GPL-0009] NumDummyInstances: 0 +[INFO GPL-0010] NumNets: 11 +[INFO GPL-0011] NumPins: 22 +[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 40.000 1200.000 ) um +[INFO GPL-0013] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[INFO GPL-0016] CoreArea: 47872.020 um^2 +[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2 +[INFO GPL-0018] PlaceInstsArea: 6.916 um^2 +[INFO GPL-0019] Util: 0.014 % +[INFO GPL-0020] StdInstsArea: 6.916 um^2 +[INFO GPL-0021] MacroInstsArea: 0.000 um^2 +[InitialPlace] Iter: 1 CG residual: 0.00000003 HPWL: 52320 +[InitialPlace] Iter: 2 CG residual: 0.00000000 HPWL: 54130 +[InitialPlace] Iter: 3 CG residual: 0.00000000 HPWL: 52513 +[InitialPlace] Iter: 4 CG residual: 0.00000000 HPWL: 52373 +[InitialPlace] Iter: 5 CG residual: 0.00000000 HPWL: 52373 +Placement Analysis +--------------------------------- +total displacement 11.1 u +average displacement 2.8 u +max displacement 3.6 u +original HPWL 1.3 u +legalized HPWL 7.4 u +delta HPWL 470 % + +worst slack -0.04 +[INFO RSZ-0058] Using max wire length 693um. +[INFO RSZ-0094] Found 2 endpoints with setup violations. +[INFO RSZ-0099] Repairing 2 out of 2 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | Endpts | Endpt +--------------------------------------------------------------------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | -0.039 | -0.0 | 2 | y2 + 10 | 0 | 6 | 2 | 0 | 2 | -0.015 | -0.0 | 2 | y2 + 12 | 0 | 7 | 2 | 0 | 3 | -0.009 | -0.0 | 2 | y2 + 13 | 0 | 7 | 2 | 0 | 3 | -0.009 | -0.0 | 2 | y2 + 14* | 0 | 7 | 2 | 0 | 3 | -0.009 | -0.0 | 2 | y2 + 15* | 0 | 7 | 2 | 0 | 3 | -0.009 | -0.0 | 2 | y2 + final | 0 | 7 | 2 | 0 | 3 | -0.009 | -0.0 | 2 | y2 +--------------------------------------------------------------------------------------------------- +[INFO RSZ-0040] Inserted 1 buffers. +[INFO RSZ-0041] Resized 7 instances. +[INFO RSZ-0043] Swapped pins on 3 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +No differences found. diff --git a/src/rsz/test/pinswap_flat.tcl b/src/rsz/test/pinswap_flat.tcl new file mode 100644 index 00000000000..39f0e2162bb --- /dev/null +++ b/src/rsz/test/pinswap_flat.tcl @@ -0,0 +1,37 @@ +# repair_timing -setup combinational path +source "helpers.tcl" +read_liberty Nangate45/Nangate45_typ.lib +read_lef Nangate45/Nangate45.lef + +read_verilog pinswap_flat.v +link_design td1 + +create_clock [get_ports clk] -period 0.1 +set_clock_uncertainty 0 [get_clocks clk] +set_input_delay -clock clk 0.02 [get_ports a1] +set_input_delay -clock clk 0.02 [get_ports a2] +set_input_delay -clock clk 0.02 [get_ports a3] +set_input_delay -clock clk 0.00 [get_ports a4] +set_input_delay -clock clk 0.00 [get_ports a5] +set_input_delay -clock clk 0.00 [get_ports a6] + +set_output_delay -clock clk 0.01 [get_ports y1] +set_output_delay -clock clk 0.01 [get_ports y2] + + +#place the design +initialize_floorplan -die_area "0 0 40 1200" -core_area "0 0 40 1200" -site FreePDK45_38x28_10R_NP_162NW_34O +global_placement -skip_nesterov_place +detailed_placement + +source Nangate45/Nangate45.rc +set_wire_rc -signal -layer metal3 +set_wire_rc -clock -layer metal5 +estimate_parasitics -placement + +report_worst_slack +repair_design +repair_timing -setup -verbose +set verilog_file [make_result_file pinswap_flat_out.v] +write_verilog $verilog_file +diff_files $verilog_file pinswap_flat_out.vok diff --git a/src/rsz/test/pinswap_flat.v b/src/rsz/test/pinswap_flat.v new file mode 100644 index 00000000000..64d26716d61 --- /dev/null +++ b/src/rsz/test/pinswap_flat.v @@ -0,0 +1,46 @@ +module td1 (a1, + a2, + a3, + a4, + a5, + a6, + clk, + y1, + y2); + + input clk; + output y1; + output y2; + + input a1; + input a2; + input a3; + input a4; + input a5; + input a6; + + wire n1; + wire net1; + wire net2; + wire net3; + + NAND2_X1 U3 (.A1(a1), + .A2(a2), + .ZN(n1)); + + AND2_X1 U5 (.A1(n1), + .A2(a3), + .ZN(net1)); + + AND4_X1 U4 ( + .A1(a6), + .A2(a4), + .A3(a5), + .A4(net1), + .ZN(y2) + ); + + BUF_X8 wire1 (.A(net1), + .Z(y1)); + +endmodule diff --git a/src/rsz/test/pinswap_flat_out.vok b/src/rsz/test/pinswap_flat_out.vok new file mode 100644 index 00000000000..7a7dcd109f9 --- /dev/null +++ b/src/rsz/test/pinswap_flat_out.vok @@ -0,0 +1,39 @@ +module td1 (a1, + a2, + a3, + a4, + a5, + a6, + clk, + y1, + y2); + input a1; + input a2; + input a3; + input a4; + input a5; + input a6; + input clk; + output y1; + output y2; + + wire n1; + wire net1; + wire net2; + + NAND2_X4 U3 (.A1(a2), + .A2(a1), + .ZN(n1)); + AND4_X4 U4 (.A1(net1), + .A2(a4), + .A3(a5), + .A4(a6), + .ZN(y2)); + AND2_X4 U5 (.A1(a3), + .A2(n1), + .ZN(net1)); + BUF_X8 wire1 (.A(net2), + .Z(y1)); + BUF_X4 rebuffer1 (.A(net1), + .Z(net2)); +endmodule diff --git a/src/rsz/test/pinswap_hier.ok b/src/rsz/test/pinswap_hier.ok new file mode 100644 index 00000000000..d319c2db0ea --- /dev/null +++ b/src/rsz/test/pinswap_hier.ok @@ -0,0 +1,71 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +[INFO IFP-0001] Added 857 rows of 210 site FreePDK45_38x28_10R_NP_162NW_34O. +[INFO GPL-0002] DBU: 2000 +[INFO GPL-0003] SiteSize: ( 0.190 1.400 ) um +[INFO GPL-0004] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[WARNING GPL-0001] a1 toplevel port is not placed! + Replace will regard a1 is placed in (0, 0) +[WARNING GPL-0001] a2 toplevel port is not placed! + Replace will regard a2 is placed in (0, 0) +[WARNING GPL-0001] a3 toplevel port is not placed! + Replace will regard a3 is placed in (0, 0) +[WARNING GPL-0001] a4 toplevel port is not placed! + Replace will regard a4 is placed in (0, 0) +[WARNING GPL-0001] a5 toplevel port is not placed! + Replace will regard a5 is placed in (0, 0) +[WARNING GPL-0001] a6 toplevel port is not placed! + Replace will regard a6 is placed in (0, 0) +[WARNING GPL-0001] clk toplevel port is not placed! + Replace will regard clk is placed in (0, 0) +[WARNING GPL-0001] y1 toplevel port is not placed! + Replace will regard y1 is placed in (0, 0) +[WARNING GPL-0001] y2 toplevel port is not placed! + Replace will regard y2 is placed in (0, 0) +[INFO GPL-0006] NumInstances: 4 +[INFO GPL-0007] NumPlaceInstances: 4 +[INFO GPL-0008] NumFixedInstances: 0 +[INFO GPL-0009] NumDummyInstances: 0 +[INFO GPL-0010] NumNets: 11 +[INFO GPL-0011] NumPins: 22 +[INFO GPL-0012] DieBBox: ( 0.000 0.000 ) ( 40.000 1200.000 ) um +[INFO GPL-0013] CoreBBox: ( 0.000 0.000 ) ( 39.900 1199.800 ) um +[INFO GPL-0016] CoreArea: 47872.020 um^2 +[INFO GPL-0017] NonPlaceInstsArea: 0.000 um^2 +[INFO GPL-0018] PlaceInstsArea: 6.916 um^2 +[INFO GPL-0019] Util: 0.014 % +[INFO GPL-0020] StdInstsArea: 6.916 um^2 +[INFO GPL-0021] MacroInstsArea: 0.000 um^2 +[InitialPlace] Iter: 1 CG residual: 0.00000003 HPWL: 52320 +[InitialPlace] Iter: 2 CG residual: 0.00000000 HPWL: 54130 +[InitialPlace] Iter: 3 CG residual: 0.00000000 HPWL: 52513 +[InitialPlace] Iter: 4 CG residual: 0.00000000 HPWL: 52373 +[InitialPlace] Iter: 5 CG residual: 0.00000000 HPWL: 52373 +Placement Analysis +--------------------------------- +total displacement 11.1 u +average displacement 2.8 u +max displacement 3.6 u +original HPWL 1.3 u +legalized HPWL 7.4 u +delta HPWL 470 % + +worst slack -0.04 +[INFO RSZ-0058] Using max wire length 693um. +[INFO RSZ-0094] Found 2 endpoints with setup violations. +[INFO RSZ-0099] Repairing 2 out of 2 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | Endpts | Endpt +--------------------------------------------------------------------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | -0.039 | -0.0 | 2 | y2 + 10 | 0 | 6 | 2 | 0 | 2 | -0.018 | -0.0 | 2 | y1 + 12 | 0 | 7 | 2 | 0 | 3 | -0.010 | -0.0 | 2 | y1 + 13 | 0 | 7 | 2 | 0 | 3 | -0.010 | -0.0 | 2 | y1 + 14* | 0 | 7 | 2 | 0 | 3 | -0.010 | -0.0 | 2 | y1 + 15* | 0 | 7 | 2 | 0 | 3 | -0.010 | -0.0 | 2 | y1 + final | 0 | 7 | 2 | 0 | 3 | -0.010 | -0.0 | 2 | y1 +--------------------------------------------------------------------------------------------------- +[INFO RSZ-0040] Inserted 1 buffers. +[INFO RSZ-0041] Resized 7 instances. +[INFO RSZ-0043] Swapped pins on 3 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +No differences found. diff --git a/src/rsz/test/pinswap_hier.tcl b/src/rsz/test/pinswap_hier.tcl new file mode 100644 index 00000000000..d3fa6d0031e --- /dev/null +++ b/src/rsz/test/pinswap_hier.tcl @@ -0,0 +1,40 @@ +# repair_timing -setup combinational path + +source "helpers.tcl" +read_liberty Nangate45/Nangate45_typ.lib +read_lef Nangate45/Nangate45.lef + +read_verilog pinswap_hier.v +link_design td1 -hier + +create_clock [get_ports clk] -period 0.1 +set_clock_uncertainty 0 [get_clocks clk] +set_input_delay -clock clk 0.02 [get_ports a1] +set_input_delay -clock clk 0.02 [get_ports a2] +set_input_delay -clock clk 0.02 [get_ports a3] +set_input_delay -clock clk 0.00 [get_ports a4] +set_input_delay -clock clk 0.00 [get_ports a5] +set_input_delay -clock clk 0.00 [get_ports a6] + +set_output_delay -clock clk 0.01 [get_ports y1] +set_output_delay -clock clk 0.01 [get_ports y2] + + + +#place the design +initialize_floorplan -die_area "0 0 40 1200" -core_area "0 0 40 1200" -site FreePDK45_38x28_10R_NP_162NW_34O +global_placement -skip_nesterov_place +detailed_placement + +source Nangate45/Nangate45.rc +set_wire_rc -signal -layer metal3 +set_wire_rc -clock -layer metal5 +estimate_parasitics -placement + +report_worst_slack +repair_design +repair_timing -setup -verbose +set verilog_file [make_result_file pinswap_hier_out.v] +write_verilog $verilog_file +diff_files $verilog_file pinswap_hier_out.vok + diff --git a/src/rsz/test/pinswap_hier.v b/src/rsz/test/pinswap_hier.v new file mode 100644 index 00000000000..67198fc4932 --- /dev/null +++ b/src/rsz/test/pinswap_hier.v @@ -0,0 +1,71 @@ +module td1 (a1, + a2, + a3, + a4, + a5, + a6, + clk, + y1, + y2); + + input a1; + input a2; + input a3; + input a4; + input a5; + input a6; + input clk; + output y1; + output y2; + + wire n1; + wire net1; + wire net2; + wire net3; + + NAND2_X1 U3 (.A1(a1), + .A2(a2), + .ZN(n1)); + + AND2_X1 U5 (.A1(n1), + .A2(a3), + .ZN(net1)); + + pinswap U4 ( + .ip0(a6), + .ip1(a4), + .ip2(a5), + .ip3(net1), + .op0(y2) + ); + + BUF_X8 wire1 (.A(net1), + .Z(y1)); + +endmodule // td1 + +module pinswap( + ip0, + ip1, + ip2, + ip3, + op0); + input ip0; + input ip1; + input ip2; + input ip3; + output op0; + + AND4_X1 PS1 ( + .A1(ip0), + .A2(ip1), + .A3(ip2), + .A4(ip3), + .ZN(op0) + ); + + +endmodule // pinswap + + + diff --git a/src/rsz/test/pinswap_hier_out.vok b/src/rsz/test/pinswap_hier_out.vok new file mode 100644 index 00000000000..3fc4047075f --- /dev/null +++ b/src/rsz/test/pinswap_hier_out.vok @@ -0,0 +1,54 @@ +module td1 (a1, + a2, + a3, + a4, + a5, + a6, + clk, + y1, + y2); + input a1; + input a2; + input a3; + input a4; + input a5; + input a6; + input clk; + output y1; + output y2; + + + BUF_X4 rebuffer1 (.A(net1), + .Z(net2)); + NAND2_X4 U3 (.A1(a2), + .A2(a1), + .ZN(n1)); + AND2_X4 U5 (.A1(a3), + .A2(n1), + .ZN(net1)); + BUF_X8 wire1 (.A(net2), + .Z(y1)); + pinswap U4 (.ip0(a6), + .ip1(a4), + .ip2(a5), + .ip3(net2), + .op0(y2)); +endmodule +module pinswap (ip0, + ip1, + ip2, + ip3, + op0); + input ip0; + input ip1; + input ip2; + input ip3; + output op0; + + + AND4_X4 PS1 (.A1(ip3), + .A2(ip1), + .A3(ip2), + .A4(ip0), + .ZN(op0)); +endmodule diff --git a/src/rsz/test/regression_tests.tcl b/src/rsz/test/regression_tests.tcl index 7c85dfdf057..aaece0e74bf 100644 --- a/src/rsz/test/regression_tests.tcl +++ b/src/rsz/test/regression_tests.tcl @@ -1,4 +1,8 @@ record_tests { + clone_flat + clone_hier + pinswap_flat + pinswap_hier split_load_hier resize1_hier repair_hold1_hier @@ -10,6 +14,7 @@ record_tests { buffer_ports7 buffer_ports8 buffer_varying_lengths + eliminate_dead_logic1 eqy_repair_setup2 eqy_repair_setup5 fanin_fanout1 diff --git a/src/utl/README.md b/src/utl/README.md index 6a7090fdb51..ffe7a090ec5 100644 --- a/src/utl/README.md +++ b/src/utl/README.md @@ -51,6 +51,34 @@ man clock_tree_synthesis man CTS-0005 ``` +### tee + +Redirect a commands output to a file and standard out. + +```tcl +tee (-file filename | -variable name) + [-append] + [-quiet] + command +``` + +#### Options + +| Switch Name | Description | +| ----- | ----- | +| `-file filename` | File to redirect output into. | +| `-variable name` | Direct output into a variable. | +| `-append` | Append to file. | +| `-quiet` | Do not send output to standard out. | +| `command` | Command to execute. | + +## Example scripts + +``` +tee -file output.rpt { report_design_area } +tee -quiet -file output.rpt { report_floating_nets } +``` + ## Regression tests There are a set of regression tests in `./test`. For more information, refer to this [section](../../README.md#regression-tests). diff --git a/src/utl/include/utl/Logger.h b/src/utl/include/utl/Logger.h index 432ca190e6d..382ed25e185 100644 --- a/src/utl/include/utl/Logger.h +++ b/src/utl/include/utl/Logger.h @@ -252,6 +252,14 @@ class Logger // Redirect output to a string until redirectStringEnd is called. void redirectStringBegin(); std::string redirectStringEnd(); + // Tee output to filename until teeFileEnd is called. + void teeFileBegin(const std::string& filename); + // Tee append output to filename until teeFileEnd is called. + void teeFileAppendBegin(const std::string& filename); + void teeFileEnd(); + // Redirect output to a string until teeStringEnd is called. + void teeStringBegin(); + std::string teeStringEnd(); private: std::vector metrics_sinks_; @@ -281,12 +289,13 @@ class Logger if (count == max_message_print) { logger_->log(level, - "[{} {}-{:04d}] message limit reached, " - "this message will no longer print" - + std::string(spdlog::details::os::default_eol), + "[{} {}-{:04d}] message limit ({})" + " reached. This message will no longer print.{}", level_names[level], tool_names_[tool], - id); + id, + max_message_print, + spdlog::details::os::default_eol); } else { counter--; // to avoid counter overflow } @@ -306,8 +315,9 @@ class Logger void flushMetrics(); void finalizeMetrics(); - void setRedirectSink(std::ostream& sink_stream); + void setRedirectSink(std::ostream& sink_stream, bool keep_sinks = false); void restoreFromRedirect(); + void assertNoRedirect(); void setFormatter(); diff --git a/src/utl/include/utl/deleter.h b/src/utl/include/utl/deleter.h index 8b0c1c85b73..f959dd4c3f5 100644 --- a/src/utl/include/utl/deleter.h +++ b/src/utl/include/utl/deleter.h @@ -10,6 +10,6 @@ namespace utl { template -using deleted_unique_ptr = std::unique_ptr>; +using UniquePtrWithDeleter = std::unique_ptr>; -} \ No newline at end of file +} diff --git a/src/utl/src/Logger.cpp b/src/utl/src/Logger.cpp index 1ffb99a79e0..f8b730f2c70 100644 --- a/src/utl/src/Logger.cpp +++ b/src/utl/src/Logger.cpp @@ -216,14 +216,18 @@ void Logger::unsuppressMessage(ToolId tool, int id) void Logger::redirectFileBegin(const std::string& filename) { - file_redirect_ = std::make_unique(filename.c_str()); + assertNoRedirect(); + + file_redirect_ = std::make_unique(filename); setRedirectSink(*file_redirect_); } void Logger::redirectFileAppendBegin(const std::string& filename) { + assertNoRedirect(); + file_redirect_ - = std::make_unique(filename.c_str(), std::ofstream::app); + = std::make_unique(filename, std::ofstream::app); setRedirectSink(*file_redirect_); } @@ -239,8 +243,32 @@ void Logger::redirectFileEnd() file_redirect_ = nullptr; } +void Logger::teeFileBegin(const std::string& filename) +{ + assertNoRedirect(); + + file_redirect_ = std::make_unique(filename); + setRedirectSink(*file_redirect_, true); +} + +void Logger::teeFileAppendBegin(const std::string& filename) +{ + assertNoRedirect(); + + file_redirect_ + = std::make_unique(filename, std::ofstream::app); + setRedirectSink(*file_redirect_, true); +} + +void Logger::teeFileEnd() +{ + redirectFileEnd(); +} + void Logger::redirectStringBegin() { + assertNoRedirect(); + string_redirect_ = std::make_unique(); setRedirectSink(*string_redirect_); } @@ -259,9 +287,32 @@ std::string Logger::redirectStringEnd() return string; } -void Logger::setRedirectSink(std::ostream& sink_stream) +void Logger::teeStringBegin() { - logger_->sinks().clear(); + assertNoRedirect(); + + string_redirect_ = std::make_unique(); + setRedirectSink(*string_redirect_, true); +} + +std::string Logger::teeStringEnd() +{ + return redirectStringEnd(); +} + +void Logger::assertNoRedirect() +{ + if (string_redirect_ != nullptr || file_redirect_ != nullptr) { + error( + UTL, 102, "Unable to start new log redirect while another is active."); + } +} + +void Logger::setRedirectSink(std::ostream& sink_stream, bool keep_sinks) +{ + if (!keep_sinks) { + logger_->sinks().clear(); + } logger_->sinks().push_back( std::make_shared(sink_stream, true)); diff --git a/src/utl/src/Logger.i b/src/utl/src/Logger.i index fd0caa78820..5e9746f7e17 100644 --- a/src/utl/src/Logger.i +++ b/src/utl/src/Logger.i @@ -62,3 +62,70 @@ using ord::getLogger; %include "LoggerCommon.h" +%inline %{ + +namespace utl { + +void teeFileBegin(const std::string& filename) +{ + utl::Logger* logger = ord::getLogger(); + logger->teeFileBegin(filename); +} + +void teeFileAppendBegin(const std::string& filename) +{ + utl::Logger* logger = ord::getLogger(); + logger->teeFileAppendBegin(filename); +} + +void teeFileEnd() +{ + utl::Logger* logger = ord::getLogger(); + logger->teeFileEnd(); +} + +void redirectFileBegin(const std::string& filename) +{ + utl::Logger* logger = ord::getLogger(); + logger->redirectFileBegin(filename); +} + +void redirectFileAppendBegin(const std::string& filename) +{ + utl::Logger* logger = ord::getLogger(); + logger->redirectFileAppendBegin(filename); +} + +void redirectFileEnd() +{ + utl::Logger* logger = ord::getLogger(); + logger->redirectFileEnd(); +} + +void teeStringBegin() +{ + utl::Logger* logger = ord::getLogger(); + logger->teeStringBegin(); +} + +std::string teeStringEnd() +{ + utl::Logger* logger = ord::getLogger(); + return logger->teeStringEnd(); +} + +void redirectStringBegin() +{ + utl::Logger* logger = ord::getLogger(); + logger->redirectStringBegin(); +} + +std::string redirectStringEnd() +{ + utl::Logger* logger = ord::getLogger(); + return logger->redirectStringEnd(); +} + +} // namespace + +%} // inline diff --git a/src/utl/src/Utl.tcl b/src/utl/src/Utl.tcl index 5bb9adf8a55..6f645cb1630 100644 --- a/src/utl/src/Utl.tcl +++ b/src/utl/src/Utl.tcl @@ -49,14 +49,14 @@ proc man { args } { # check the default man path based on executable path set exec_output [info nameofexecutable] + set install_path [file normalize [file dirname [file dirname [info nameofexecutable]]]] # Check if the output contains 'build/src' if { [string match "*build/src*" $exec_output] } { - set executable_path [file normalize [file dirname [info nameofexecutable]]] - set man_path [file normalize [file dirname [file dirname $executable_path]]] + set man_path [file normalize [file dirname $install_path]] set DEFAULT_MAN_PATH [file join $man_path "docs" "cat"] } else { - set DEFAULT_MAN_PATH "/usr/local/share/man/cat" + set DEFAULT_MAN_PATH [file join $install_path "share" "openroad" "man" "cat"] } global MAN_PATH @@ -75,11 +75,9 @@ proc man { args } { set no_pager 1 } - #set MAN_PATH [utl::get_input] - #if { [utl::check_valid_man_path $MAN_PATH] == false } { - # puts "Using default manpath." - # set MAN_PATH $DEFAULT_MAN_PATH - #} + if { [gui::enabled] && !$no_pager } { + set no_pager 1 + } set man_path $MAN_PATH set man_sections {} @@ -107,11 +105,12 @@ proc man { args } { set page_size 40 for { set i 0 } { $i < $num_lines } { incr i $page_size } { - set page [lrange $lines $i [expr { $i + $page_size - 1 }]] + set page_end [expr { $i + $page_size - 1 }] + set page [lrange $lines $i $page_end] puts [join $page "\n"] # Ask user to continue or quit - if { !$no_pager && [llength $lines] > $page_size } { + if { !$no_pager && $num_lines > $page_size && $page_end < $num_lines } { puts -nonewline "---\nPress 'q' to quit or any other key to continue: \n---" flush stdout set input [gets stdin] @@ -128,6 +127,76 @@ proc man { args } { } } +sta::define_cmd_args "tee" {-file filename + -variable name + [-append] + [-quiet] + command} +proc tee { args } { + sta::parse_key_args "tee" args \ + keys {-file -variable} flags {-append -quiet} + + sta::check_argc_eq1 "tee" $args + + if { ![info exists keys(-file)] && ![info exists keys(-variable)] } { + utl::error UTL 101 "-file or -variable is required" + } + + if { [info exists flags(-quiet)] } { + if { [info exists keys(-variable)] } { + utl::redirectStringBegin + } else { + if { [info exists flags(-append)] } { + utl::redirectFileAppendBegin $keys(-file) + } else { + utl::redirectFileBegin $keys(-file) + } + } + } else { + if { [info exists keys(-variable)] } { + utl::teeStringBegin + } else { + if { [info exists flags(-append)] } { + utl::teeFileAppendBegin $keys(-file) + } else { + utl::teeFileBegin $keys(-file) + } + } + } + + global errorCode errorInfo + set code [catch { eval { {*}[lindex $args 0] } } ret] + + if { [info exists keys(-variable)] } { + if { [info exists flags(-quiet)] } { + set stream [utl::redirectStringEnd] + } else { + set stream [utl::teeStringEnd] + } + upvar 1 $keys(-variable) var + if { [info exists flags(-append)] } { + if { ![info exists var] } { + set var "" + } + set var "$var$stream" + } else { + set var $stream + } + } else { + if { [info exists flags(-quiet)] } { + utl::redirectFileEnd + } else { + utl::teeFileEnd + } + } + + if { $code == 1 } { + return -code $code -errorcode $errorCode -errorinfo $errorInfo $ret + } else { + return $ret + } +} + namespace eval utl { proc get_input { } { # Get the relative path from the user diff --git a/src/utl/test/CMakeLists.txt b/src/utl/test/CMakeLists.txt index a156f979a4d..5197e27ed7e 100644 --- a/src/utl/test/CMakeLists.txt +++ b/src/utl/test/CMakeLists.txt @@ -1,10 +1,15 @@ include("openroad") set(TEST_NAMES -test_info + test_info test_error test_suppress_message test_metrics + logger_max_messages + logger_redirection + logger_redirection_nonewline + tee + tee_fails ) foreach(TEST_NAME IN LISTS TEST_NAMES) diff --git a/src/utl/test/logger_max_messages.ok b/src/utl/test/logger_max_messages.ok new file mode 100644 index 00000000000..d93b5f3c93e --- /dev/null +++ b/src/utl/test/logger_max_messages.ok @@ -0,0 +1,1001 @@ +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] test +[WARNING UTL-1234] message limit (1000) reached. This message will no longer print. diff --git a/src/utl/test/logger_max_messages.tcl b/src/utl/test/logger_max_messages.tcl new file mode 100644 index 00000000000..45400af5312 --- /dev/null +++ b/src/utl/test/logger_max_messages.tcl @@ -0,0 +1,3 @@ +source "helpers.tcl" + +for {set i 0} {$i < 2000} {incr i} { utl::warn UTL 1234 "test" } diff --git a/src/utl/test/regression_tests.tcl b/src/utl/test/regression_tests.tcl index fa9b32cbcce..d0e83dd56ac 100644 --- a/src/utl/test/regression_tests.tcl +++ b/src/utl/test/regression_tests.tcl @@ -3,8 +3,11 @@ record_tests { test_error test_suppress_message test_metrics + logger_max_messages logger_redirection logger_redirection_nonewline + tee + tee_fails #utl_man_tcl_check #utl_readme_msgs_check #test_error_exception diff --git a/src/utl/test/tee.ok b/src/utl/test/tee.ok new file mode 100644 index 00000000000..f7a9d54e08c --- /dev/null +++ b/src/utl/test/tee.ok @@ -0,0 +1,40 @@ +FILE TEE: FILE1 +FILE TEE: FILE2 +FILE TEE: FILE3 +[INFO FLW-0003] LOGGER TEE: FILE1 +[INFO FLW-0003] LOGGER TEE: FILE2 +[INFO FLW-0003] LOGGER TEE: FILE3 +No differences found. +No differences found. +No differences found. +No differences found. +quiet start +quiet end +No differences found. +No differences found. +No differences found. +No differences found. +string redirect start +PUTS REDIRECT +[INFO FLW-0004] LOGGER REDIRECT +[INFO FLW-0005] LOGGER REDIRECT +[INFO FLW-0006] LOGGER REDIRECT +string redirect end +PUTS: PUTS REDIRECT + +LOGGER: [INFO FLW-0004] LOGGER REDIRECT + +LOGGER: [INFO FLW-0005] LOGGER REDIRECT +[INFO FLW-0006] LOGGER REDIRECT + +string redirect start - quiet +string redirect end - quiet +PUTS: PUTS REDIRECT + +LOGGER: [INFO FLW-0007] LOGGER REDIRECT + +LOGGER: [INFO FLW-0005] LOGGER REDIRECT +[INFO FLW-0006] LOGGER REDIRECT +[INFO FLW-0008] LOGGER REDIRECT +[INFO FLW-0009] LOGGER REDIRECT + diff --git a/src/utl/test/tee.tcl b/src/utl/test/tee.tcl new file mode 100644 index 00000000000..452540e9a06 --- /dev/null +++ b/src/utl/test/tee.tcl @@ -0,0 +1,69 @@ +source "helpers.tcl" + +proc test_tee { args } { + puts "FILE TEE: ${args}" +} + +proc test_tee_utl { args } { + utl::info FLW 3 "LOGGER TEE: ${args}" +} + +set rpt1 [make_result_file tee_1.rpt] +set rpt2 [make_result_file tee_2.rpt] +set rpt3 [make_result_file tee_3.rpt] +set rpt4 [make_result_file tee_4.rpt] + +tee -file $rpt1 { test_tee "FILE1" } +tee -file $rpt2 { test_tee "FILE2" } +tee -append -file $rpt2 { test_tee "FILE3" } + +tee -file $rpt3 { test_tee_utl "FILE1" } +tee -file $rpt4 { test_tee_utl "FILE2" } +tee -append -file $rpt4 { test_tee_utl "FILE3" } + +diff_file tee_1.rptok $rpt1 +diff_file tee_2.rptok $rpt2 +diff_file tee_3.rptok $rpt3 +diff_file tee_4.rptok $rpt4 + +set rpt5 [make_result_file tee_5.rpt] +set rpt6 [make_result_file tee_6.rpt] +set rpt7 [make_result_file tee_7.rpt] +set rpt8 [make_result_file tee_8.rpt] + +puts "quiet start" +tee -quiet -file $rpt5 { test_tee "FILE4" } +tee -quiet -file $rpt6 { test_tee "FILE5" } +tee -quiet -append -file $rpt6 { test_tee "FILE5" } + +tee -quiet -file $rpt7 { test_tee_utl "FILE4" } +tee -quiet -file $rpt8 { test_tee_utl "FILE5" } +tee -quiet -append -file $rpt8 { test_tee_utl "FILE6" } +puts "quiet end" + +diff_file tee_5.rptok $rpt5 +diff_file tee_6.rptok $rpt6 +diff_file tee_7.rptok $rpt7 +diff_file tee_8.rptok $rpt8 + +puts "string redirect start" +tee -variable puts_redirect {puts "PUTS REDIRECT"} +tee -variable logger_redirect {utl::info FLW 4 "LOGGER REDIRECT"} +tee -append -variable logger_redirect_append {utl::info FLW 5 "LOGGER REDIRECT"} +tee -append -variable logger_redirect_append {utl::info FLW 6 "LOGGER REDIRECT"} +puts "string redirect end" + +puts "PUTS: $puts_redirect" +puts "LOGGER: $logger_redirect" +puts "LOGGER: $logger_redirect_append" + +puts "string redirect start - quiet" +tee -quiet -variable puts_redirect {puts "PUTS REDIRECT"} +tee -quiet -variable logger_redirect {utl::info FLW 7 "LOGGER REDIRECT"} +tee -quiet -append -variable logger_redirect_append {utl::info FLW 8 "LOGGER REDIRECT"} +tee -quiet -append -variable logger_redirect_append {utl::info FLW 9 "LOGGER REDIRECT"} +puts "string redirect end - quiet" + +puts "PUTS: $puts_redirect" +puts "LOGGER: $logger_redirect" +puts "LOGGER: $logger_redirect_append" diff --git a/src/utl/test/tee_1.rptok b/src/utl/test/tee_1.rptok new file mode 100644 index 00000000000..c27ecacf4ad --- /dev/null +++ b/src/utl/test/tee_1.rptok @@ -0,0 +1 @@ +FILE TEE: FILE1 diff --git a/src/utl/test/tee_2.rptok b/src/utl/test/tee_2.rptok new file mode 100644 index 00000000000..3e2d6063c7f --- /dev/null +++ b/src/utl/test/tee_2.rptok @@ -0,0 +1,2 @@ +FILE TEE: FILE2 +FILE TEE: FILE3 diff --git a/src/utl/test/tee_3.rptok b/src/utl/test/tee_3.rptok new file mode 100644 index 00000000000..b8591d4f960 --- /dev/null +++ b/src/utl/test/tee_3.rptok @@ -0,0 +1 @@ +[INFO FLW-0003] LOGGER TEE: FILE1 diff --git a/src/utl/test/tee_4.rptok b/src/utl/test/tee_4.rptok new file mode 100644 index 00000000000..f8dd5ce590d --- /dev/null +++ b/src/utl/test/tee_4.rptok @@ -0,0 +1,2 @@ +[INFO FLW-0003] LOGGER TEE: FILE2 +[INFO FLW-0003] LOGGER TEE: FILE3 diff --git a/src/utl/test/tee_5.rptok b/src/utl/test/tee_5.rptok new file mode 100644 index 00000000000..c4e04aa3975 --- /dev/null +++ b/src/utl/test/tee_5.rptok @@ -0,0 +1 @@ +FILE TEE: FILE4 diff --git a/src/utl/test/tee_6.rptok b/src/utl/test/tee_6.rptok new file mode 100644 index 00000000000..c85d7cf1a4d --- /dev/null +++ b/src/utl/test/tee_6.rptok @@ -0,0 +1,2 @@ +FILE TEE: FILE5 +FILE TEE: FILE5 diff --git a/src/utl/test/tee_7.rptok b/src/utl/test/tee_7.rptok new file mode 100644 index 00000000000..c16ad546660 --- /dev/null +++ b/src/utl/test/tee_7.rptok @@ -0,0 +1 @@ +[INFO FLW-0003] LOGGER TEE: FILE4 diff --git a/src/utl/test/tee_8.rptok b/src/utl/test/tee_8.rptok new file mode 100644 index 00000000000..449b8819db4 --- /dev/null +++ b/src/utl/test/tee_8.rptok @@ -0,0 +1,2 @@ +[INFO FLW-0003] LOGGER TEE: FILE5 +[INFO FLW-0003] LOGGER TEE: FILE6 diff --git a/src/utl/test/tee_fails.ok b/src/utl/test/tee_fails.ok new file mode 100644 index 00000000000..872a905f26d --- /dev/null +++ b/src/utl/test/tee_fails.ok @@ -0,0 +1,4 @@ +[ERROR UTL-0102] Unable to start new log redirect while another is active. +UTL-0102 +[ERROR UTL-0101] -file or -variable is required +UTL-0101 diff --git a/src/utl/test/tee_fails.tcl b/src/utl/test/tee_fails.tcl new file mode 100644 index 00000000000..1e663d8a8d2 --- /dev/null +++ b/src/utl/test/tee_fails.tcl @@ -0,0 +1,14 @@ +source "helpers.tcl" + +proc newdirect { args } { + set rpt [make_result_file tee_fails_2.rpt] + tee -file $rpt { puts "HERE" } +} + +set rpt [make_result_file tee_fails_1.rpt] + +catch { tee -file $rpt { newdirect } } err +puts $err + +catch { tee { puts "HERE" } } err +puts $err diff --git a/test/ibex_sky130hd.metrics_limits b/test/ibex_sky130hd.metrics_limits index 185e5444f13..11585434c29 100644 --- a/test/ibex_sky130hd.metrics_limits +++ b/test/ibex_sky130hd.metrics_limits @@ -1,22 +1,22 @@ { "IFP::instance_count" : "18835.2" - ,"DPL::design_area" : "201139.19999999998" - ,"DPL::utilization" : "33.12" + ,"DPL::design_area" : "197145.6" + ,"DPL::utilization" : "32.52" ,"RSZ::repair_design_buffer_count" : "403" ,"RSZ::max_slew_slack" : "0" ,"RSZ::max_capacitance_slack" : "0" ,"RSZ::max_fanout_slack" : "0" - ,"RSZ::worst_slack_min" : "-1.514693533972104" - ,"RSZ::worst_slack_max" : "-3.4550099408817325" - ,"RSZ::tns_max" : "-2410.336839746874" - ,"RSZ::hold_buffer_count" : "387" + ,"RSZ::worst_slack_min" : "-1.0439922649094353" + ,"RSZ::worst_slack_max" : "-2.3114357842543503" + ,"RSZ::tns_max" : "-2381.8289966316056" + ,"RSZ::hold_buffer_count" : "0" ,"GRT::ANT::errors" : "0" ,"DRT::drv" : "0" - ,"DRT::worst_slack_min" : "-2.022091227750159" - ,"DRT::worst_slack_max" : "-4.526241963178564" - ,"DRT::tns_max" : "-2565.5963862496214" - ,"DRT::clock_skew" : "3.196192734278436" - ,"DRT::max_slew_slack" : "-4.185469746589661" + ,"DRT::worst_slack_min" : "-1.2937988918086523" + ,"DRT::worst_slack_max" : "-3.319472133845383" + ,"DRT::tns_max" : "-2397.881877888032" + ,"DRT::clock_skew" : "3.1835918802282483" + ,"DRT::max_slew_slack" : "-5.152180790901185" ,"DRT::max_capacitance_slack" : "0" ,"DRT::max_fanout_slack" : "0" ,"DRT::clock_period" : "15.155" diff --git a/test/ibex_sky130hs.metrics_limits b/test/ibex_sky130hs.metrics_limits index 3ccd598ac6d..ad127349cd9 100644 --- a/test/ibex_sky130hs.metrics_limits +++ b/test/ibex_sky130hs.metrics_limits @@ -1,23 +1,23 @@ { "IFP::instance_count" : "16722.0" - ,"DPL::design_area" : "303136.8" - ,"DPL::utilization" : "50.16" + ,"DPL::design_area" : "297738.0" + ,"DPL::utilization" : "49.199999999999996" ,"RSZ::repair_design_buffer_count" : "562" ,"RSZ::max_slew_slack" : "0" ,"RSZ::max_capacitance_slack" : "0" ,"RSZ::max_fanout_slack" : "0" - ,"RSZ::worst_slack_min" : "-1.1252660978179787" - ,"RSZ::worst_slack_max" : "-1.1080318832747007" + ,"RSZ::worst_slack_min" : "-0.8296003753220063" + ,"RSZ::worst_slack_max" : "-0.3892583777709363" ,"RSZ::tns_max" : "-1573.2615" - ,"RSZ::hold_buffer_count" : "409" - ,"GRT::ANT::errors" : "1" + ,"RSZ::hold_buffer_count" : "0" + ,"GRT::ANT::errors" : "0" ,"DRT::drv" : "0" - ,"DRT::worst_slack_min" : "-1.4389010025203173" - ,"DRT::worst_slack_max" : "-1.7248460560269625" - ,"DRT::tns_max" : "-1596.287934744031" - ,"DRT::clock_skew" : "2.3666093754562323" - ,"DRT::max_slew_slack" : "-35.96203565597534" - ,"DRT::max_capacitance_slack" : "-40.381100718502445" + ,"DRT::worst_slack_min" : "-0.8301188494891697" + ,"DRT::worst_slack_max" : "-1.0005294288490796" + ,"DRT::tns_max" : "-1573.2615" + ,"DRT::clock_skew" : "2.3486445453242455" + ,"DRT::max_slew_slack" : "-43.21588397026062" + ,"DRT::max_capacitance_slack" : "-48.642419470111655" ,"DRT::max_fanout_slack" : "0" ,"DRT::clock_period" : "11.29" ,"DRT::ANT::errors" : "0"