From b4b87347af426c206fdc24389175ea8ae3fc3bc0 Mon Sep 17 00:00:00 2001 From: Unai Sainz-Estebanez Date: Tue, 17 Sep 2024 20:47:12 +0200 Subject: [PATCH] Update TFM repo --- Anexos/Codigo.tex | 2 + Capitulos/Conclusiones.tex | 3 + Capitulos/Desarrollo.tex | 227 ++++++++++++++++++++++++++++++++- Capitulos/Memoria.tex | 29 ++++- Capitulos/Metodologia.tex | 6 +- Figuras/cutecom_cmd_upload.png | Bin 0 -> 67631 bytes Figuras/workflow.pdf | Bin 0 -> 73246 bytes main.tex | 18 ++- references.bib | 205 +++++++++++++++++++++++++++++ 9 files changed, 480 insertions(+), 10 deletions(-) create mode 100644 Figuras/cutecom_cmd_upload.png create mode 100644 Figuras/workflow.pdf diff --git a/Anexos/Codigo.tex b/Anexos/Codigo.tex index a825e47..3356353 100755 --- a/Anexos/Codigo.tex +++ b/Anexos/Codigo.tex @@ -4,3 +4,5 @@ \chapter{Código} % Título del Anexo \label{Codigo} % Etiqueta \ref{Planos} Aqui irá el código del diseño. + +%Enlace al repo de github diff --git a/Capitulos/Conclusiones.tex b/Capitulos/Conclusiones.tex index 2c8589b..f8e6b6f 100755 --- a/Capitulos/Conclusiones.tex +++ b/Capitulos/Conclusiones.tex @@ -10,3 +10,6 @@ \section{Conclusiones alcanzadas} \section{Líneas futuras} Aqui irán las líneas futuras. + +%Externalizar por completo los calculos referentes a las RNAs y tener un un modelo completo de RNA computado por un enfoque distribuido. Mejorar la gestion de memoria. Hasta ahora hardcodeada. Posibilidad de usar la DDR (controlador ddr de litex) de la Arty, jtag, debug Usb (issue 38). La unica aproximación se ha hecho utilizando la spi (issue 47) relaizada con exito pero no nos vale (comentario de umarcor) +%Añadir la compilación de software a CI diff --git a/Capitulos/Desarrollo.tex b/Capitulos/Desarrollo.tex index 0d3e7a2..92b6bed 100644 --- a/Capitulos/Desarrollo.tex +++ b/Capitulos/Desarrollo.tex @@ -29,10 +29,235 @@ \section{Selección del microcontrolador} En adición a todo lo mencionado, este microcontrolador cuenta con un \textit{datasheet} \cite{neorv32-ds} y una \textit{user guide} \cite{neorv32-ug} realizadas por el autor y actualizadas a la par que el código del proyecto, las cuales destacan por su calidad. Teniendo en cuenta todas estas consideraciones, el NEORV32 es el procesador seleccionado para este proyecto. -\section{Workflow} +\hspace{10 mm} + +\section{\textit{Workflow}} \label{Workf} +Las herramientas EDA FLOS y las propietarias/comerciales no son ecosistemas aislados. +Al contrario, en los últimos años se han visto colaboraciones de proyectos \textit{Open Source} con iniciativas privativas. +En este sentido, se puede destacar la integración de la herramienta RapidWright \cite{gh:rapid} a la \textit{Suite} de diseño Vivado. +En concreto, este proyeto \textit{Open Source} desarrollado por \textit{AMD Research and Advanced Development} tiene como objetivo permitir a los usuarios avanzados una mayor flexibilidad a la hora de personalizar sus soluciones mediante una metodología de diseño utilizando módulos pre-implementados. +En adición a esto, se han realizado concursos \cite{contest} patrocinados por AMD con el objetivo de promover y demostrar que el \textit{FPGA Interchange Format} (FPGAIF - Formato de Intercambio de FPGA) \cite{FPGAIF} es una representación intermediaria eficiente y robusta para trabajar en problemas de \textit{backends} de FPGAs, incluso a escala industrial. +Además, este tipo de iniciativas también tratan de fomentar la innovación de algoritmos de enrutamiento de FPGAs que den prioridad al tiempo de ejecución, con objeto de posibilitar su aplicación en la emulación de ASICs. +Cabe destacar que el FPGAIF es un estándar de formato de intercambio diseñado para proporcionar toda la información necesaria mediante la cual realizar el \textit{place and route} en un contexto \textit{Open Source}. +En la misma línea, Siemens ha observado un crecimiento saludable entorno a la \textit{Open Source VHDL Verification Methodology} (OSVVM - Metodología de Verificación VHDL de Código Abierto) \cite{osvvm} y la \textit{Universal VHDL Verification Methodology} (UVVM - Metodología de Verificación Universal VHDL) \cite{uvvm} desde 2018, lo que en sus propias palabras \say{es alentador} \cite{wilson-research}. +Por lo tanto, a la vista de estos ejemplos, podemos afirmar que los comerciales tradicionales de herramientas EDA están empezando a facilitar el uso de herramientas FLOS e incluso a integrar parte o la totalidad de las mismas en sus propuestas comerciales. +Este hecho refleja un futuro híbrido en lo referente al ecosistema de herramientas para FPGAs. + +\begin{figure}[h!] + \centering + \includegraphics[width=14cm]{Figuras/workflow.pdf} + \caption{\textit{Workflow} del \textit{Setup} personalizado.} + \label{fig:workf} +\end{figure} + +Atendiendo a este nuevo paradigma híbrido de herramientas, en el presente trabajo de investigación se propone el uso tanto de herramientas FLOS como privativas. +Como se observa en la figura \ref{fig:workf}, el flujo de trabajo propuesto es el siguiente: + +\begin{itemize} + \item Compilación: respecto a la compilación de software en C que posteriormente se cargará en la memoria de instrucciones del NEORV32, se emplea exclusivamente la herramienta FLOS GCC. + \item Implementación: respecto a las implementaciones en placa de los diseños, se efectúan todas ellas tanto para la Arty A7 35T como para la Arty A7 100T y mediante dos vías paralelas: + \begin{itemize} + \item Haciendo uso del conjunto de herramientas FLOS: GHDL \cite{gh:ghdl}, yosys \cite{gh:yosys}, GHDL yosys plugin \cite{gh:ghdl-plugin}, nextpnr-xilinx \cite{gh:nextpnr} y prjxray \cite{gh:prjxray} para realizar la elaboración, la síntesis y el \textit{place and route} y de la herramienta openFPGALoader \cite{gh:openFPGALoader} para cargar el \textit{bitstream} en la placa. + \item Haciendo uso de la \textit{Suite} de diseño privativa Vivado. + \end{itemize} + \item Simulación: respecto a las simulaciones realizadas a lo largo de las secciones \ref{Carac} y \ref{Integ} se emplea principalmente el \textit{framework} FLOS VUnit \cite{gh:vunit}, con el cual se realizan todas ellas. +No obstante, también se utiliza Vivado en ciertas ocasiones. +En concreto, en los ensayos en los que se hace uso de la funcionalidad ILA. +Esta funcionalidad se ha utilizado, por ejemplo, para testear la correcta operatividad de un \textit{wrapper} Wishbone. +\end{itemize} + +El conjunto de herramientas descritas en la explicación de este flujo de trabajo no solo se utilizan a nivel local, también se utilizan, todas o parte de ellas, en \textbf{integración continua (CI)} en repositorios \textit{online}, tanto en el GitLab del grupo de investigación como en el GitHub propio. +Para ello, se utilizan varios \textbf{contenedores}. +Para la generación de \textit{bitstream} mediante herramientas FLOS, se utiliza el contenedor mencionado en la sección \ref{ben}, el cual es generado a su vez en CI. +Este contenedor se utiliza en la integración continua tanto del repositorio ubicado en GitLab como del ubicado en GitHub. +Para la generación de \textit{bitstream} mediante Vivado, se utiliza una contenedor que solamente es accesible por los ordenadores del laboratorio del grupo de investigación, el cual está alojado en nuestro servidor Orion. +Esto es debido a que al ser un software privativo el contenedor no puede ser accesible sin licencia, es por ello que la generación de \textit{bitstream} mediante esta vía solo está disponible en la integración continua del repositorio del grupo (GitLab). +Para realizar los ensayos en simulación, se utilizan principalmente dos contenedores de VUnit. +El \href{https://console.cloud.google.com/gcr/images/hdl-containers/global/sim/osvb}{gcr.io/hdl-containers/sim/osvb:latest} con GHDL compilado con llvm como \textit{backend} y el \href{https://hub.docker.com/layers/ghdl/vunit/mcode-master/images/sha256-e32029c5be70a5fa0fc94bffd15d72fa8b84ad8aaf2dc7cfa8ab8324ef733ed0?context=explore}{docker.io/ghdl/vunit:mcode-master} con GHDL compilado con mcode como \textit{backend}. +Esto se debe a que la funcionalidad \href{https://github.com/stnolting/neorv32/discussions/886}{\textit{external names}}, para capturar señales de jerarquías inferiores, solo es soportada en GHDL si este está compilado con el \textit{backend} mcode. +En definitiva, haciendo uso de estos contenedores mediante la metodología de integración continua, se consigue generar de forma automatizada los resultados de todas las simulaciones, así como la generación de todos los \textit{bitstreams}, cada vez que se hace un \textit{push} al repositorio. +Cabe destacar que la compilación de software solo se realiza en local, aunque también se utiliza un contenedor \cite{gh:sim-conatiner}, no está automatizada en integración continua. + +\subsection{Cargar software en el NEORV32} + +Antes de entrar en los detalles del acoplamiento de periféricos \textit{custom}, se procede a realizar un repaso de como cargar un software en C al \textit{softcore} NEORV32. +Como se ha mencionado, el proyecto NEORV32 proporciona herramientas para realizar la compilación cruzada desde Linux a la arquitectura RISC-V. +Estas herramientas están acompañadas de archivos \textit{Makefiles} mediante los cuales se permiten añadir argumentos al comando \textit{Make}, con objeto de, entre otras cosas, proporcionar el programa compilado en diferentes formatos de salida. +A lo largo de esta sección, nos centraremos en tres de estos formatos: + +\begin{itemize} + \item Ejecutable, \textit{exe} (.bin) + \item app\_image (.vhd) + \item Hexadecimal (.hex) +\end{itemize} + +Cada una de estas salidas tiene la misma información, el programa compilado. +No obstante, cada una de ellas puede utilizarse para cargar el software en la IMEM (memoria de instrucciones) en diferentes puntos del \textit{Workflow}: + +\begin{itemize} + \item El \textit{exe} se puede cargar en el NEORV32 una vez que esté ejecutándose en la FPGA. Esta transferencia se realiza a través del \textit{bootloader}. + \item La app\_image remplaza el contenido por defecto de una de las fuentes RTL del diseño del NEORV32, de modo que su contenido se codifica cuando este se sintetiza. + \item El archivo .hex se lee durante la síntesis, por lo que es equivalente a la solución de la app\_image, pero no requiere modificar las fuentes RTL cada vez que se actualiza el software a cargar. +\end{itemize} + +Estas opciones se resumen en la siguiente tabla: + +\begin{table}[h!] +\centering +\caption{Tres formas de introducir software en la IMEM.} +\label{tab:2} +\begin{tabular}{|c|c|c|c|} +\hline +\textbf{Formato} & \textbf{Comando} & \textbf{Descripción} & \textbf{Bootloader} \\ \hline +.bin & make exe & \begin{tabular}[c]{@{}c@{}}Después de la implementación,\\ cargar el exe mediante la CMD\end{tabular} & Habilitado \\ \hline +.vhd & make image & \begin{tabular}[c]{@{}c@{}}Antes de la síntesis, \\ sustituir la app\_image por defecto\end{tabular} & Deshabilitado \\ \hline +.hex & make hex & Durante la síntesis, leer del .hex & Deshabilitado \\ \hline +\end{tabular} +\end{table} + +\subsubsection{\textit{Bootloader}} +\label{boot} + +El NEORV32 viene por defecto con un \textit{bootloader} que se encarga de establecer la comunicación serie vía UART y generar una CMD visible desde terminales como CuteCom \cite{gh:cutecom}, \href{https://man.openbsd.org/cu.1}{cu}, o \href{https://www.gnu.org/software/screen/}{screen} en GNU/Linux. +En este sentido, hay tres formas posibles de proceder: + +\begin{itemize} + \item Deshabilitar el \textit{bootloader} y cargar/iniciar un programa desde la app\_image o desde un archivo hexadecimal. + \begin{itemize} + \item No se utiliza el \textit{bootloader}. + \end{itemize} + \item Habilitar el \textit{bootloader} y cargar/iniciar un programa a través del \textit{Autoboot}. + \begin{itemize} + \item Después del \textit{reset}, cuando el \textit{bootloader} está habilitado, la primera secuencia que ocurre es el \textit{Autoboot}. + \item La secuencia de \textit{Autoboot} intenta obtener una imagen de arranque válida desde la flash SPI externa. + \item Si se encuentra una imagen de arranque válida que se pueda transferir correctamente a la IMEM (memoria de instrucciones), se inicia automáticamente la aplicación. + \item Si han pasado 8 segundos y no se ha detectado ninguna flash SPI o no se encuentra ninguna imagen de arranque válida, se mostrará el código de error \say{ERR EXE}, bloqueando la ejecución del \textit{bootloader}. + \item Durante esos 8 segundos, se puede detener la secuencia del \textit{Autoboot} pulsando cualquier tecla. +De esta manera, se pone a disposición una CMD lista para recibir comandos. + \end{itemize} + \item Habilitar el \textit{bootloader} y cargar/iniciar un programa a través de comandos en la CMD. +Los comandos soportados son los siguientes: + \begin{itemize} + \item \say{h} - Muestra el texto de ayuda. + \item \say{r} - Reiniciar el \textit{bootloader}. + \item \say{u} - Cargar un programa en formato ejecutable (\textit{neorv32\_exe.bin}) a la IMEM. + \item \say{s} - Almacenar un ejecutable en flash SPI. + \item \say{l} - Cargar un ejecutable desde flash SPI. + \item \say{x} - Arrancar un programa desde flash a través de XIP. + \item \say{e} - Iniciar un programa almacenado en la IMEM. + \end{itemize} +\end{itemize} + +Para elegir una de estas tres formas de proceder, se debe entender que el \textit{bootloader} es útil/necesario cuando: + + \begin{itemize} + \item La FPGA utilizada no permite inicializar la memoria en el \textit{bitstream}. +En consecuencia, no es posible cargar/arrancar programas a través de la app\_image. +Este es el caso de las FPGAs con SPRAM, como la Lattice ICE40 (UP3K, UP5K). + \item Múltiples programas deben ser cargados/arrancados durante el desarrollo, sin resintetizar el diseño. + \end{itemize} + +En la figura \ref{fig:boot} se muestra como cargar/iniciar un programa ejecutable (.exe) al NEORV32 mediante el \textit{bootloader}. +Concretamente, en ese caso se utiliza la terminal CuteCom \footnote {En CuteCom, el archivo que se carga a la terminal debe ser de tipo \textit{Plain} (como se muestra en la figura \ref{fig:boot}), de lo contrario se dará el error \say{ERR EXE}.}, empleando sucesivamente los comandos \say{u} (\textit{upload} - cargar) y \say{e} (\textit{execute} - ejecutar). + +\begin{figure}[h!] + \centering + \includegraphics[width=14cm]{Figuras/cutecom_cmd_upload.png} + \caption{Cargar un \textit{exe} a través del \textit{bootloader} de NEORV32 (terminal CuteCom).} + \label{fig:boot} +\end{figure} + + +\subsubsection{Habilitar/Deshabilitar el \textit{Bootloader}} + +Si el \textit{bootloader} no es útil/necesario para nuestra aplicación tendremos que considerar lo siguiente. +La IMEM se puede implementar de dos formas, como una RAM vacía o como una ROM inicializada a través del archivo que contiene el programa compilado, ya sea la \textit{neorv32\_application\_image.vhd} o el hexadecimal. +Con el genérico \mintinline[breaklines]{vhdl}{IMEM_AS_IROM} se selecciona la implementación de la IMEM mediante una de estas dos opciones. +Este genérico es + +\hspace{35mm} {\mintinline[breaklines]{vhdl}{IMEM_AS_IROM => imem_as_rom_c} } + +\noindent y se define como + +\hspace{17mm} \mintinline[breaklines]{vhdl}{imem_as_rom_c : boolean := not INT_BOOTLOADER_EN;} + +\noindent Por lo tanto, para cargar un programa desde la \textit{neorv32\_application\_image.vhd} (o desde el hexadecimal), la IMEM debe implementarse como una ROM inicializada mediante ese archivo, por lo que el \textit{bootloader} \textbf{debe estar deshabilitado}. +Se discutió con Stephan \href{https://github.com/stnolting/neorv32/discussions/824}{(\#824)} acerca de por qué la IMEM se inicializa como una RAM vacía cuando el \textit{bootloader} está activado. +Y según el diseñador del NEORV32, \say{si la IMEM se implementara como una RAM preinicializada, entonces la imagen podría corromperse durante el tiempo de ejecución (imagina algún puntero deshonesto escribiendo en la IMEM), lo que requeriría volver a cargar el programa original. +Por lo tanto, la carga del \textit{bootloader} se requeriría de todos modos.} + +El proceso para deshabilitar el \textit{bootloader} es sencillo, en el TOP del diseño del NEORV32, se debe cambiar la constante \mintinline[breaklines]{vhdl}{INT_BOOTLOADER_EN} de \textit{true} a \textit{false}, como se muestra en el extracto de código \ref{code:1}. + +\begin{listing}[h!] +\begin{minted}[frame=lines,framesep=2mm,baselinestretch=1.2,fontsize=\footnotesize]{vhdl} +neorv32_top_inst : neorv32_top +generic map( +---------------------------------- +INT_BOOTLOADER_EN => false, +---------------------------------- +) +\end{minted} +\caption{Constante para deshabilitar el \textit{bootloader}.} +\label{code:1} +\end{listing} + +\subsubsection{Cargar un programa compilado desde un archivo hexadecimal} + +Como se ha mencionado, en vez de cargar un programa compilado desde el archivo \textit{neorv32\_application\_image.vhd}, es posible cargar el programa compilado desde un archivo hexadecimal (.hex). +Para ello, se necesitan hacer unas pequeñas modificaciones en el código HDL del NEORV32. +En particular, se debe añadir una nueva función en el paquete \textit{neorve32\_package.vhd}. +Esta función se encargará de leer el archivo hexadecimal usando la librería \textit{std.textio.all}. \footnote{Esta librería está soportada desde la versión VHDL 2008.} +La función en cuestión es la descrita en el extracto de código \ref{code:2}. + +\begin{listing}[h!] +\begin{minted}[frame=lines,framesep=2mm,baselinestretch=1.2,fontsize=\footnotesize]{vhdl} +-- Initialize mem32_t from hex +-- MEMORY_SIZE is IMEM_SIZE/4, see neorv32_imem.default.vhd + +impure function mem32_init_hex(name : STRING; MEMORY_SIZE : natural) return mem32_t is + file rom_file : text open read_mode is name; + variable rom_line : line; + variable temp_word : std_ulogic_vector(31 downto 0); + variable temp_rom : mem32_t(0 to MEMORY_SIZE-1) := (others => (others => '0')); +begin + for i in 0 to MEMORY_SIZE - 1 loop + exit when endfile(rom_file); + readline(rom_file, rom_line); + hread(rom_line, temp_word); + temp_rom(i) := temp_word; + end loop; + + return temp_rom; +end function; +\end{minted} +\caption{Función a añadir al \textit{neorve32\_package.vhd} para leer un software compilado en formato hexadecimal.} +\label{code:2} +\end{listing} + +Además, el archivo \textit{neorv32\_imem.default.vhd} \footnote{En el archivo \textit{neorv32\_imem.default.vhd} el código relacionado con cargar la ROM desde la app\_image debe ser comentado.} se debe modificar para cargar el contenido del archivo hexadecimal a la memoria de instrucciones, usando la función definida en el extracto de código \ref{code:2}. +Para ello se debe añadir el extracto de código \ref{code:3}. + +\begin{listing}[h!] +\begin{minted}[frame=lines,framesep=2mm,baselinestretch=1.2,fontsize=\footnotesize]{vhdl} +constant ROM_INIT_FILE : string := "neorv32_raw_exe.hex"; +-- ROM - initialized with hex code -- +constant mem_rom_c : mem32_t(0 to IMEM_SIZE/4-1) := mem32_init_hex(ROM_INIT_FILE, IMEM_SIZE/4); +\end{minted} +\caption{Modificación del archivo \textit{neorv32\_imem.default.vhd} para cargar la IMEM mediante la función descrita en el extracto de código \ref{code:2}.} +\label{code:3} +\end{listing} + +En conclusión, este método propone leer desde un formato hexadecimal, el cual es una salida nativa del compilador, +a través de código VHDL, en lugar de autogenerar código HDL con el programa compilado. +Ambas opciones cargan la IMEM cuando se sintetiza el diseño, pero la opción de lectura del archivo .hex no modifica el código HDL del diseño. +Es decir, con esta opción conseguimos dos cosas, no autogenerar código en otro lenguaje y no modificar el código HDL cada vez que se actualiza el software a cargar. + +Por último, cabe destacar que a lo largo del desarrollo de este proyecto se ha cargado software compilado al NEORV32 mediante los tres formatos expuestos. +No obstante, mayoritariamente se ha utilizado el formato .vhd generando una \textit{neorv32 \_application\_image.vhd} para cada software empleado. + \section{Caracterización del rendimiento} \label{Carac} diff --git a/Capitulos/Memoria.tex b/Capitulos/Memoria.tex index 3a90599..7d24a32 100755 --- a/Capitulos/Memoria.tex +++ b/Capitulos/Memoria.tex @@ -372,7 +372,7 @@ \section{Beneficios que aporta el trabajo} Puesto que dicho artículo ha sido aceptado, se publicará en el IEEEXplore. Se considera un beneficio aportado por este trabajo la contribución en dicha base de datos. -También, se considera un beneficio aportado por este trabajo la publicación del contenedor \cite{gh:conatiner-implarty} desarrollado para realizar la síntesis, implementación y generación de bitstream para las FPGAs Arty A7 35t y 100t mediante las herramientas \textit{Open Source}: GHDL + yosys + GHDL yosys plugin + nextpnr-xilinx + prjxray. +También, se considera un beneficio aportado por este trabajo la publicación del contenedor \cite{gh:conatiner-implarty} desarrollado para realizar la síntesis, implementación y generación de \textit{bitstream} para las FPGAs Arty A7 35T y 100T mediante las herramientas \textit{Open Source}: GHDL + yosys + GHDL yosys plugin + nextpnr-xilinx + prjxray. En lo que respecta a los Objetivos de Desarrollo Sostenible (ODS), este trabajo de investigación pretende aportar beneficios en el marco del objetivo \textit{Industria, innovación e infraestructura} (ODS 9) y del objetivo \textit{Reducción de las desigualdades} (ODS 10). Respecto al ODS 9, se considera que la investigación entorno al enfoque distribuido para realizar IA en el borde supone fomentar la innovación en lo que respecta a este ámbito. @@ -380,7 +380,24 @@ \section{Beneficios que aporta el trabajo} \section{Análisis del estado del arte} -Aqui irá un análisis del estado del arte. +Haciendo un repaso por las principales bases de datos de ámbito electrónico, se observan varios proyectos con una filosofía similar a la presentada en este trabajo de investigación. +Un ejemplo muy interesante es el encontrado en una publicación titulada \textit{Tiny Neuron Network System based on RISC-V Processor: A Decentralized Approach for IoT Applications} \cite{9942990}. +En dicho \textit{paper}, se presenta una investigación sobre un pequeño acelerador de redes neuronales en un SoC basado en RISC-V para acelerar una IA empleada en aplicaciones de IoT. +Este coprocesador implementa una MAC (multiplicador y acumulador) de precisión variable en bits o una MAC estocástica para reducir el área de hardware y el consumo de energía. +Es curioso el hecho de que se emplea la misma tecnología FPGA que en el presente proyecto, una Arty A7 100T. +Los resultados presentados en este trabajo son destacables, consiguiendo realizar con precisión de 8 bits redes neuronales convolucionales (CNN) con una precisión del 98,55\%. +En su caso, el método optado para acoplar los aceleradores ha sido una interfaz AXI. + +Otro ejemplo muy interesante es el expuesto en una publicación titulada \textit{CNN Specific ISA Extensions Based on RISC-V Processors} \cite{9802445}. +En ella, se presenta una extensión de instrucciones basada en la ISA RISC-V destinada a aumentar la eficiencia computacional de las CNN en dispositivos en el borde. +En su caso emplean el core RISC-V \textit{Open Source} Zero-riscy \cite{8106976} \cite{gh:zero-riscy}. +Con objeto de evaluar el efecto de la extensión de instrucciones propuesta, se realiza una serie de cargas de trabajo en el núcleo de referencia y en el ampliado. +Se obtinen un ratio de aceleración de 1,5× cuando se ejecuta una CNN, y alcanza 2,48×-2,82× cuando sólo se realizan los cálculos de convolución. +Los resultados obtenidos en este \textit{paper} demuestran que las extensiones de ISA propuestas pueden mejorar eficazmente el rendimiento de las CNN. + +Los casos mencionados, así como otros de gran interés, se encuentran recogidos en una publicación titulada \textit{A Review of Edge Intelligence Applications Based on RISC-V} \cite{10336594}. +En ella se resume el uso de RISC-V en aplicaciones de IA en el borde desde un punto de vista hardware y software. +Además, a lo largo de la \textit{review} se analizan varios aceleradores, coprocesadores, compiladores y \textit{toolchains} basados en RISC-V, así como las principales aplicaciones software de la IA en el borde. \section{Análisis de alternativas} @@ -402,7 +419,11 @@ \section{Análisis de alternativas} Este hecho no impide integrar en él código en otros HDLs. De igual modo, es común generar diseños de LiteX en HDLs más tradicionales, como verilog. -%Quizá otra alternativa más +Por último, se encuentra la alternativa de comenzar la aproximación de IA a en el borde mediante la externalización a hardware específico de otro cálculo relativo a las RNAs. +Esta alternativa es bastante diversa y podría ir desde acelerar la activación mediante otra función disponible en el coprocesador configurable y programable basado en CRI hasta elegir otra operación para ser acelerada. +Un ejemplo de esta última propuesta es la detallada en una publicación titulada \textit{A Soft RISC-V Vector Processor for Edge-AI} \cite{9885953}. +En ella se presenta una unidad vectorial basada en un \textit{array} sistólico que está estrechamente integrada en el pipeline de un núcleo RISC-V de 32 bits. +Tras evaluar el rendimiento de este enfoque distribuido en una FPGA Xilinx Virtex 7, se concluye un aumento de velocidad de hasta 40,7 veces con respecto al núcleo escalar RISC-V en tareas de reconocimiento de imágenes, a costa de un aumento en el consumo energético y en los recursos hardaware de 1,2 y 1,8 veces respectivamente. \section{Descripción de la solución propuesta} @@ -412,7 +433,7 @@ \section{Descripción de la solución propuesta} Este hecho tiene como objetivo simplificar la gestión computacional en pos de implementar un primera aproximación de IA en el borde. En este sentido, se propone utilizar un coprocesador basado en la método CRI y acoplarlo a un procesador RISC-V. Mediante los argumentos descritos en la sección \ref{Selec} se elige el microcontrolador NEORV32. -Con objeto de generar un criterio de selección de modo de acoplamiento, se propone realizar una caracterización del rendimiento de los principales métodos de conexión con los que cuenta este microcontrolador. +Con objeto de generar un criterio de selección del modo de acoplamiento, se propone realizar una caracterización del rendimiento de los principales métodos de conexión con los que cuenta este microcontrolador. Esta caracterización se detalla en la sección \ref{Carac}. Por último, se acopla el coprocesador encargado de acelerar el cálculo de la FA sigmoide basado en CRI al NEORV32. Además, se verifica el beneficio de emplear este tipo de enfoque distribuido comparándolo con realizar los mismos cálculos utilizando únicamente el microcontrolador. diff --git a/Capitulos/Metodologia.tex b/Capitulos/Metodologia.tex index b2c1c68..6799491 100755 --- a/Capitulos/Metodologia.tex +++ b/Capitulos/Metodologia.tex @@ -11,12 +11,10 @@ \section{Diagrama de Gantt} Aqui irá el diagrama de Gantt. -\section{Algoritmos} - -Aqui irán los algoritmos. - \section{Análisis de los resultados} Aqui irá un análisis de los resultados. +%capturas resultados en CI de github + diff --git a/Figuras/cutecom_cmd_upload.png b/Figuras/cutecom_cmd_upload.png new file mode 100644 index 0000000000000000000000000000000000000000..ca10ca0b3622b9cd5734c233a45f4391d4742f3c GIT binary patch literal 67631 zcma&NbyQYe*ggnIOG`JRbc1vwDGd)T-QAr6(jcXDcZf)rNOzZXcXz{V-tYU(KQpt| zuoi2{6X)!>_jO-!3{#YsL`5b=^<>9K0!K^G zqPgv=RaP*H9|oklj0`#J=&qVY|B{O$l9RKlDCFU>pAhR^pPXJY^;b2IJo1RjEE)SN zyvs0ZG{CgO7_rvk-S$~yp_fKJo zxTtfY6H|POMz6QA%zkODOwF>)Md7JkUsqe&DSZoZO+yq$I`o^87y*t(=y*x2;al;0 zR`lmz>k4t7sMHA}iC-6q25;Q7J+HXcnHpG*%OQ9BAK}eE;lp{l2AD3^w#L{rdBEIc z2GExuR&e^yDva;jFgn6s*uBD=v5{MP#pLFnP5qheo#fdBHZt7GW8@!N1e66BA1hnC za-TFoD1tr9TX=46*zWLzmZQ_7rQxL~fr-6i1R`I@pi7)m6RUsWN*_~EVPBj=GQG6z=zz z9sXSBtY`U1ftg#feC6>RNif@3mxAV>tXT4dojfJwllPYtJO9={t$wr>u_&hEnvCO! z2ks&Gv#qph(w(V0yvQl-jbdiVWXj^FZxqxA{j!OX|jWdBM$p z#f9lllvYm@wacx~vF1Ht z3YU=`9$k?_-o1R$x2$W$J#kghhI@091bdB?ijclHd&o%|^Na|5??<}4>Bg9*k)gKd zDWpmh2{b&aSO(?&u((Gp ze($a28VFZb0jWKQjv*osN1&hY-`mm9qJ&knh9RJ3X4rW zDL#v8>l|zXHYx?2UR&~GEfvn1^g8Nq_XDWm>yUQ+jcXW$Q%VTU;D0yH@LPoF4rf?( z6~M*B$GtYXW8p#Nwd}d-U~nW#J=&XXWlo##!8)4!1!IN87x6*B1Ls}Ehm>4J`~>m~ zSHY@>ly{G3_Xvv>(VUYe!n#UUO}|2qHNzR+N^C7_D>=<1@`j@l)}V5r&NKRqL|bxq z>$=t1?4{}eRT@CWWxaYzUWAs&NpKtJNi?qTh8@r3e zR-ENvP7~W>*`$53%5{8Niw+4)^tE{nHP+T;}Xy z+7{`U5^t>`<(rXYNlEK)G+QLGZff&$E#Q~477yx9UO2|rO`dg>Us0+!JA=?vmwh&9 zTJPbt;b1T5?_!}**Ew@LLv*u`Cv*bFg04ntDdbIDb}{}65P$nM389!J%n;;twqEv6 zHgvdCO9{OxdcSSPD)I#RMt_~+g_cTKf?5Fg_*Oc);R@SRz=$HOJLmCDI>k=4h)`*E z7!|5$WI%B8d#=MDd#0d!Tof?m? z6c2c~;4QcK36rx&iGy%E8O=0t#&1O24i#s3=d*JD)WJx)qGDLGq zntxej!xpaAFK7=;G#je^P)QUnFkJA%`}eewlR!c+H{56?QD>;s9YWuR65ciIOmb@U-@m@DuEX0rb?A7&XIpqPoT)m=g}`pOS=; zSYLhH?p8i7acD|U*ilu@#Nk+P|D05f=Tvl~{s|vDPGs~qhz`oKTyZO1Iogb8csHv| zNk9$}moo~&TVtJ%B9yg1tpTMU$hPW}s$qnFhU-sm?4L-F=DU09GtUd|o{DF#W|AOre0_^-l;iJmT02Yut2)$CfP zG-xOte0Q5+Hh~Q*LrEVSkG4lkPoM%)k&5~aRg=!3o@9#(28hbaWJv zVNF!L$^^llx(21Whtp{kA0kdyq>maoW@9I5Dhjb`P_bc*u>0`8|R*y7T}K?*sp zsFkoz_x?dExU4_JGOQS+LW+U1j}m7UtkTKQ&y;~o(p#kKGZYrR68PorFn=NXrVFI5 zDcTr$qKQ8bE<~>!zVmN=q$FQOWSX*`9nu+lLwh^5`}Q4UbU>EWGL%KDjv67-2C~Wa ze4A8hth7-6nfh{WkJ28xT7kWO5>{-s;T?KX9gd-qr zzOl&&@47RLLr?oGF7YuD%nHZhJ-^{jOCa?AFjQ%{*4R1IO|?Qb77f3Gt$elRq%+DC z(L||tkqY^#Xnjoidn+TY*Kw~Ly?E!qLpJ?|(|vlZi>=ImRF|vOqq=mzeASQ%{qj^q+u>eRCF*`^`fyiF~qL^qA8; z7Ok^=Zgu}k9*Tn|1q$M2_60f?MNaRzVnU_5w`fF$f!mo~cE!T4`o~dJbKe>>ROq*V z8;XHlNH&KCnc?nyEwQv(k3(EtpY9a87&Z{K8Qx(XOIwByh^Z}-@gKx@&;4yHHh@w~ zwyOM-iE*cJ@C#$qCg4MxhgWXK{rkl2B6-kK;%D+*yt)F@t>Bdv=GM>NQ5!m3mt{o41o)&6xgS&cXTbUXnRW&lM*9c5-et z(f3JVQWkM?MT;T1H`4d9El*N{Dl$pdTAW;xo6~UUDoqR(eQQ24?}|j*kN-6KIf>2> z21mnD&@lHg{)HBpsvbeaTux&FR?FtG`bnv;2Q>afOG?Iu4- z>&5E#C74PP-CoUO2c=8A4Kd1Lp2@GJ@fhLhU`lfD8n-lcl_5-RlH4L??PL@IaPuOle)p(6`-0Fm$>@nYwh2aCA6mAKd~nh3rulE zhcM|JTIyu(@DEk(-6qeoaAnJ?mAcSb*3l2dza%S=C^1%k^*FK&_gtDx@ z-dzdDS0EZ{Dn7{?LTP)ta5GveuX9(5`&N+$F@OE1v~Y2G-|-aN8cPAWXCTVdxg;`|sjjgvYu{=JBO&23wvS^g)!9zylKrO0u%HKR?3cj(A&N0G#qoCVK(pZ<&B<|SofwLcj2B@6XYSc=;FzQ zwMldxxVdl=ksqY$pltu8$z^3RDf%yM{7Qv*ORY4K$I-#!l2-2|hMO@UahD*ZuL4e#ok%flavWcqSO&2I{SIUQ}LzZ2$| zSUM4s@sp^*+GlnN&7sPhG5w8j!bB5zCFNGUGhVIen`i0qYQO@@k!bU%?Y$ks5#Dbb zfy4&&M;E!MS9vl~_qF*x+i1N$RXdi1%4IK?R-ZOzBaLhIL6V+7bHRRP_4kD;?G$q~8X~S{rV;6Yq6EL~E zs~Esma>aLHex*Ej+_Uw}Cozd@Y>Y_ZEriUe>`_XJ{qrz1wo`bKgg%it=S}`Vg{52H)?|xs7A2Pw>i?P-0Wr6L4 z();jZ+dKW%*4X9HeXD7}ZjQbqj4k+Bb<;Pb$~WZq!m<6dLPBs0S%m2az2)^v>Xl)a zF}hl>wUeI{Qa{$Sofm}ZGNjhAmDDsW#eb0N(M!}{^1ROLINVw<5{taJi&NxAc+~qL zh(*3{RQ#d(QK6*ou|oI|Z)MB(`=@6pTYaG?H1fUJ1TyamV^UE{#4bE?fplh$bs9mX zd|K<-+xnwdwQo)F4(GPpp(}POwdwPx?-b=Z=;98J-Yd^$PHdF6@#70$ZSo))H1L|5 zZr3<6+_%%YAmS4zl5}1$|KR3|0c;;x-QN#BSmu9u{coZy3*vTo)n%v zU&?8EtUF*<_=|+0ve09XI()Xn5wZN$yuW<7j`8^=GvC~!(@0@R>_&q)=eGj zmTd?HaSvOs8|(w7PwaQjOsjU=soW7(|8(tI&g#~3i_BAVN-O1O<8o3SeVa;@!v@Ny z{M16u%<5br!5_CHI(K8#%37lxkibfKdBg(;`oB84d+y}#8Jyz3U$g4nXupqA5#{^# z%4P1_Q*GkvcaLS$Ywcy~M^5~?O>N;nglBk#P0QRu6luQq0>dkADjo~ZkAyQyIf3T0jp=A6&epk86LgF|lK5@1x6hL_tLNUCVOj#N zV4Fj14^whw|Ku%=NhFaS-GXQ!k$twiqv*3qkbBnXp=BG zQ)cI4e~>!WTk50x;;&L7CA3(MW8Ra#)_Wm8&tBgI`=8|PkW?rnAe9B~=6m(%SNj4c7Iu{I|JCi&2HILvA@qi=W$>o#mM-jL z-f6Nn-!kotK%bwql%KW1tHi8g?^u6F?z6O^4?;oa9ytLT;~L!J0$Tp;wVey&xuJ|U zJQwzDIlHs$uUvBS68)Vg3wy4qb>goIvA8_yvhR5EIn@kN9iGx6kEYM#<|bDW-fP_q;Iu{hocqSxXQhO_Yzav z^13k*U$0b4Yn^{%To5Wm{hLKLf(YO9c(C{BGpJ7!Y72sYZNE_s1>B9Mngs_EY6zWh50 zzFzJ7b#!IEFr5;9_*UNs*k~-)8{VW*rM$C-kRHxsYW;p&^YiRzS66O$(g zEvj5}C2dfWXs`&9&6=Y8CmMD_@V!%q()V-X&|HcmR^>`-N$a_tx)Y^xoB9sX+RF@o zjWX zW0;xVtV+OJx9M{&6EoZ7Z!Ao_Tn4ca%$h&5dv%n1T}HHH>17deU7JH{TXzo_q9;Dy z4aL*x#BH%U1B3O`@PWiizDdJdlJ7N2$_{d5?<6y{@^|+eG(Y`VAlqA38|QKR5KaZR z{O_V59$({03R@?v$m;^p?8^-dmLSHraLj-{eH!xDNN7WH1-_n8ABL=k9GMssW*rjS zq+xK8(~v`NLq%k0I59W^+P<+6yOVxuZ-egKmP!6;yx&pAkieqoYo7D!%_kTt3b_{S z-|tYf^|3z@-fb88?f13$)_ zS9jd?S{!w<@lTZ4Hoo2MVkoD<+b6)n8uqm~bN(3VH>S)d5Dhv+>CAi_!FM9pA)GM1bimJA3T79B8QUxD5C0~cCe_f zu49q1a{r8GcS0J30FBj4{*EG(_D6xtZsO0zLdW#vjO2*qrdwimvaF%qDWAsQmL+VZ z<7#xDmM9~FV6oc0(4j@#t472_G2Tf)!@z}Z*L|NBtz2x9YrYSgq4V!o3C~f~(UBDu zg%Qk*5hnL9DJima$Ds(q5JjZO_@so5EiO_zl{2$nIcv?q#WfO6kCOqP3%;YI6rfhx zwS6v5IRri#gt3N`KeLYn3&ZbyV=p0Gl6;q2Uym=Y^bP~(zqbd5x6iX_kj2Ht*#&2y zG|JT&a9;-qlhcL0`S0zDKj79=mR*)LUxg;1-%(SqU*G3`XZdd!m3N&zJ@E+%A9Zwy z;RB(eDrN>cJ7IHkb0cG7m_0LnTQfH{jET_@pc<3X#WfP~?PXZ#{u};ZV>TrX4Jm8u zgR}uzsy7xE7P7Lkqtnx0=MQx!p9*2Lp`y-xiMaF9i(V!h7ZE*-atX=~E+mRhErWjJ`?ePA;qacIkk~t?2m7W>Jj=_9zSU8?rL2$3 zeZkA=1+z^$VK|~?@2TR$#>bVbwQM@)cbs`;WMqmeDq`w`obR4o{9$uMLrn@57!182 zaN^0*Ngs5H*E=q11@o>%(4(b(6C3g7rz$&e%uEP0)bsBJ$FyK2xYzzU$84J5@DC@v zSkEBNZ*7UazfQ%!`k2CkDxG@$i;@{r#|Y(L^FIk2X57%>ZMdTV3_}|_28J|D8oF>c zyEJZ}Wck9}tSo=Y7*cWPwB7s37Gcj|3`6<3JjUkJ{Y7I!ij`#J@$!z81i9Fzz&V82 z1Ch2ky}k?*B>r7H4OQ}swH{pqOQ=E{Y)Rpm4}wt-3Bz2^wzidoQ(e?G*R8&;BE;>jO!59OdDt|j%qp_jTU2VO7xOAkyf-+|; z&cp9^Fh}M-o6p6D)#kn@+qOXWH|>BH^eRO$x_x=aG0eJyJYpOz4ZfO zs;1hlxGWd;1=o;Lbnk?N`JrxSdfBUS`$H@@Po0QX!IHr5^vh00DU^Ap+fXJ;m7$#20?f5AA|}Z>T-wNMRm#MK1djFRk`iSVl@2^T?*RG2^@rPY zC}M7V|K+EvI`7-fA5}7P@$vER@o_{yCex-q$dbU`yW5wd-cQ`E`#Xg~Q=crDN+C<+ ze)T8A4veY%$qD~i_m0FLt7nVSPC31pZF)>9kUI9uS~;=c&$EuYIs!KS?SC@-o07|U zykapUf}L42qLx>JHeqf zT#rm4e73`WhFtIy{HFJr6Y^TlCf9gQ5L@@t>5`8t} zciM&bGWXRL=f*BqW0+A3=l&cnEYZ+gbHlYT2)1eu4J9Uo?^)SDTe8k#nEBn}L3Z5{ z^31&$W+qil%+1?sE75Vh5h9PQJnC1-X^34>cgg>ye5h$=R=57VAm z4~@J$r6xkHIKtrhGMICzl_&c3?oXYDFF7<1S9Ps$E6dN1%Vv;yg=9fvl|1LK3)SLw z?}9MSm4%@-0-|H@f?y3fvZRYQItvp=aEs`u;$bqtY(7bmOXOnV?-})?uVlB{CFm>R zB88ofY)PU;j|pMy$=hZoeS8mpJPKtb@|V3WNE_ZkL<0sx|&J+}uBr=5>YkHFlRKH42IvUtC zQ5SCeA`B~Oa*FmiG4axfvLQv9@y=zp%n0<5f-Vga;tmnjq4u5(`h?Uw*-cFiB*A7u zoTNS4lC|sGcB^4LQ=;|p-offDD3N=X*aUR*cok`7VHY|5YyM|q4_r2(c}X>#5I4Kd zz1{4q1%XClBx`{`F<;=YFit<Z{4Vt(?87?%u=deV=tmW5k$dlVlx$%E^7VhBKIaz$JX)~ z|FLjWi6hds56}4?0LAwg2X>}N8C{!v)L1-M{s!>OhsxJbJemkw*3IMj{4Yk5te>V+ zClJ%WcQ{Y=)-pF6&(*Ki8gt257h;NJ;U~U$1bs|*8D~q-rWaTn!GVdP@Qy*oUadP3kDKB-(4?ECVE}EUvT-r`$=T1vB z@wrrgS!p9TrpayWxT^_}5l5_~Jdg8VXMHw3c(bp~5jeED_dzIgY)ol>grCb?ZE^Bdy*0oxc@uh;YF7yvS(8>GZQ2p+R9D>n0o3vi$elQ$RYn9 z*Qkv^zFviXDs6VD`9v#x*TY_qkr?DXSk!I#?4yOgt!5Nt zE=$D5o?W{mBTM|j;243n-19>H878{338|`^?g82_@+W_T4f!^5T67aLyeBjGsYXOZDW9uyk> z0~0nTCdR04zC&OQ0@-|i$b4qs&bt1=thd#lNYDOVPF9w|Q-d0@MqYVfVBklZ{eK+j z)RHAxR#jD%!ID=Is&%=wXjd3|Z(mF-sX5XS>VH@geEp&=AraB({UfFwU{4-r8+|!2 zW4rG_OL*aD2Chx%cXxN8B@5J4RDg--Dfi^lj+?5!yeB%i;@aeKv4iR0-~hPS8zLei z($S^;OT)T(0s;a}Wuh^?IQ{?qq(yOh9IjZ&Ztx3d`Zy`|zg#Ov;)~LMQ^AERJ%{~PXG%l|WQCCaw{;b);KkT;QZ z;qCXwts#BJ;jYTxy9(q$Mb_c@cXobU^4uRP%Fl0ZPFrkpYd!HrBjp#Cmmg-!qwy4c zeqbLob*hg4Z&-!Su|M&Nhz6Egyrkvj+xIWoI5;{t2h1Jk?zL%KpC8E(;R7)%j?Zs*4iDjk3n!E`H5(4v zXoP)yUR@t8c35+&KxzWPtQ}U{yG-VvS5`)UAAWjSbQoOBNG%53x%v51&ldNVC4%?1 z4Glc1YHEfo_&dkPg#}yifb?HnIJ+Lsh0R)bA74A&Uy(F6HqtOMnw)RR?Ob{SUj2jV zbGL2X`R#V*=@8fQnqvbC{sov+Dk{-$Fffh}AC%Oup&$@iX67D!mTw6OQjU(uwsjFH zDTMF{AuOpw2g|K7K|vpd^NWj<@2`)U_r+hs2Ufv2#P@ZAJ&=-<>$0t5=eS_7|0FGK zu>7ax;iMymSjZQpYIg8ttA|Xrr}Z7e2R7IG;;F8V7TK*dO-$ZH)zs7o7j@o)5v`O~ z{DCK@r40j1tk0QBfREp~f62nnFZ<~eJ)pYT`T2=gS64;Lfx%!tR|myZ?Cfu1dO8-H zYMh=%Z#Uv&8oaKVaBy&ToIO)gGJ-N$U-qS$7!x7)^XJd10DIQEQ4g-nAT^bhl?Ss` zArplo`c<>s_A5}@wW{{lwu}%6Ur~9Bq=JG%eR_s&Md5EpKEK-mE_Z%Xu$M_`X=yIa z>3nWEB_e;G?nb~4FS)O~bN9l~(9>^T?6RYvqpN6X$v_}DLXXbjL#bTsyuAI%>}F&8 zmq|m+g{XT!Kl3>>EqY&0&1f*-Mw1D3|NZ+n(&bAVIoOyj^;XYRyFa(C;^RPFnN}r_ zk7L2Z!{fCaW{V*dmwE|XS<&zA?h^C4CakWm^33SjT)`R1tUm^E3p`F4vaGCI0E8Oswa$QlI0fyMK1&ZU2V>wGKKjs+YV zu-*h@WYk<-AxlfT-QC?#=C-z`Ge6T8TC`sjT5vGjKWk1&7Il4&B9Fi>=HUkN=hIQ zzs1IiczNBIU6KjezQadZbLO?MwKeGYiuyme#;nxYX3m1Y(H0moIm4x>rPU7BzpZZB z7huv`KEBj=Y9Zu`{p)+^df%3>XJ?K}zW0otUS178_nf*FF)D?=reJE#&re?bz7I)v zcV7u0xxDFUObAd+Y=VM+ zf)aGoRM@Q+g~^3KeEOeT2@xfbor2y5^zd#CK!2_e3_yo0&{3q{h$^X1+15v z3BaFSh7>|VcEdI;y6B!Qq!C?MiGL?o?ZFiY<-L4;Mcik1cJP6)Q^dGqc>g}Qx_VNa zY~5rb@V2N##>oYhC8qoZjerZ$HHu7D9CkToliV`Ks7oSv@TE&w2(NGXZ-3ebcGhjr6VJWAzE zzbDO`<6;L1yX8Ma0LQsK&M1LqcR$-e3JVLXs=f5|d8r+}=R0+2C5X=eOo|$NC*#8OT-ld~VN7N=i#-D|6$Xo&rw3sYaZ#9kq$tE;f?*x(XW@ z8m7I*V;Y`yoieRD1?Z&Df)AkP`&G_MrG6@a0ub2*^hTu&iGXK9K>-ynA75tc8R35| z>qo0Mdue*v3tLfJD%Y-q8U-}!BM+_NhrYqVPJKj(MPYt^Y)T5-@u+;o7xTK+7rHdf z_Zc+s`8vB8SKdy#*z}fw$NTHtDNFmN#mUJ9jcHo(|L9MFO0D&5&YuH{P*8ASD0jF& z3;Z5#91`N+{`bN({gBL{A`occ&5!Ti5}N%FhYC$3|38$5kc51l;eYAUD72K_3)G61 zK>#2d8ykP*BiPsV^AjpAj>weI`Ts|`7+qhb4t*Wi6opJBN{yrxj+uiF4E!@mK3e9e zMcbbJODq)l+;r?pcu*^m5|pg0tYh-w;GW#&YM&reXx6n38T=kthZ|)w5y%oDAtBH# zgi-WyN$SBp>jviL=F$TF({wZi2;B?y8OuKC3`c6{V1C| z25JF}P*z@Ef{#Zu)P+evAU!S38jMFwEL)VTW$9$$@WNA|t4qAIvvc^S8Q5QwlL;r! z5J>)?KLNwTKR4xW*c2f+0H|~;GS4$dw!LUStYZF%q~N`}J#PC*kcs-#3A zE*{M9e)4T_P_|5sUad4S5CMI3YD!#P9q<0?u)~ySyDbm_8yg#R9(gCH*KlyR0IdLb zGPkf0fk3LYP~X3Q|L)y879O6kMO$iW>JUD+qcztiS~|M7JUn0z0tYs8ii>Z9egE+h zqcPwPl!@j8H+5oH=gb$6a&3*lg0hi2Jg520h9^N#L7E4NF^Sg z-8&v0kM7?G2dKbEW#{CS038(0ED^VCpDY0jey3xg*o zy=gs@yUy5nc&uz}UuO0x+1UdDJ%)00bOc{++jLxY_39lSMzi~omL>}p=FOWo0C-m~ zJx|^FnVp|XN`SgB^AY6Xxg9?<5Bu+sRNA7Vqfd;Dg^ii(1Nvz_`x`EuN!1}OB}IY- zKM#P>(vqNrq@=Wr%v%h^pwzXf7=_W9nV6Y~X0YH&*t z$wpb3hQ{Y)g+fkFZq-M$s2WR@-3)B*-agUEtv9nbstyyLZ>!LQAE(^4!(%JR(p*=A~toRq;;JnaKBcF8n`}UqRPNOw>sC?V1MyMr2nP6gd4X`qFDeS1oWvfV znAq9f{X9rJVzEb9R1!Y3@3Z>I_!X$G&=1))HHnjxsxK}h#C!y3NC)2Z>Y5rQMa5t3 zwB8Q?_m~QEazufB?|T0Z*zx6RZ*0+dSy+TcM4)G9X944L<|PTwVPQ2uN3aI!5%ez! z2?CIISDbkXNJ+I+J*_#3z+nK4cio;_>eHv!%%2n#s09U?yooiI1O-67 z4AfS1ed7c}gH+Vj{QyHV1gMOrMXnl-i-#wvtc>O3GeXABk}}=FBVV^PtX`&TWW*^< z@!pZ?Cgl}VpH4e>u*p{Q4Lt|r9XWV%N+xd zXkm9uj*k+TOn|J6SDKp}9~-N*wq_U>R>{W62_Gb*?ITF`GJ0HGAaHvB{)Kvcd^O<8 z2ooS&@9}rw-TRj@j+j;r(U52`lupSgD2$Z+ocoNv&AsT!r&sBDd3iZ>-ys2k@bWcn z5mTx{Af!UJX_p>uZd{9ti|m3;-nTM0$F;Sbpg*=hlMxZ6R`O#Yf{u*w^t?5c>aSSt z-?6Ii?99>5uFdx%jLSTITm6*s&06y&cE9HbJj-bP@4YDACZ@MQ=dw;}`<6sicdUA^ zQlzO>eRvZINR}4|2Y;N$XG;5%73jHnzMVZSpDFmy?^hp**|4YEnX&e-0bw_ z=EhwW0#xw$;$j!*nX@fkcp$l{ng%0V?;(5c|B9-4u+Z=(qU#HQo`!~GP~5rp@FUm5 zeAJ;45wAo^*uQ@LTJP5y4eT6nR;pG!hTVK}l=AB|sO2A0e*UyQT5csfC$ZsezzX#Sr`E+D-FT)xw9_Jkl zAnFYD^)Vv4e(#x;0H7!K@p(*=r9wtV=JtKSFI33XJmD`Kbn#KMS`l~;M6W)Jl!%C+ zi;D}U+tt5Dm)Bf&OIpq>yqj*V_gJ8%TUyeEpph7xn}-7Zw{2G+4w}-cW5YZA&jx{k zfndlId<4u>CCCy0PlRo4Nw-Hb&Ft+FBf3Pb4@!j~E8ff@`S5vxff4%+*KGND?{Z7p za9)4>K#0o2!vl0)gnXehzQu0-ZJ$1;PKjY*V-Pm4OBerc_DvuA0N@>s;I0CHmkN47cud!1*qH?X$_ci1OS+5 zVjfu_?(UEMgiEJ>S5H3)=b@|zOhmNSSk`?IoH)LcZfck=x zH!?K*Vm0$KBr~r}cGKN1qKYi$?X zZ*E>>GSII;&5lk^!u}o0mE76eE66jZ#EnR-tW*N#KOyNd8_?K5umaNc`1lA)=kH6H zDsz*>n;sn&Q*0~Q9FRM5LOiik@Il88#gc`|1e5*ilPwtwk(dxoQ>rNx4e0)$*pS{gAw zDLQZ`vuj*jaJ)vY9h2xg{?*#hmy|ee4}T_~95~wOi{bXULjf)bAT0SUEhK?$e*q!y z?dzk$Kz#8>z|Xm9D6k=3oS@^@X9G=Bmz(@AU)t?m0{e{DKn>*O<>>>jkuZheQcK?vz^~of+G6A9CmxG9sF~3fg9JVd zv_7-~dMlstfLJ&o{dw^f8VPT2jn#~Wom~$||Lb_%Psl-}?{`<|N5#k(b-nBtd>4zn?Wfg*!b9AIgqzi!nV@dbSCGtBc z^H(R!PxF51kdo38y62miXKY=wa$fHn77S!VOH13)v9ZdPJ7AOn)lD>CYqS1%y_{Q9 zV>1Mo43>X~N-JTXot@pRhLClLEx%Y+ zIy(GzEO)bJnK@(TC+_?@jm`ui9U_j7YyeHRwnl%60H&-8Is9wQ8K0gm=jP^C@9An; z(gzGXLL#z|WytmM%!>#QI(KSaRxnzBqY5!`cE&E82%aJg1U2*~HC4M^D50sTsc&H5 zm1r<=OH0dYa}{6-pPO7A0UUUEcmVH9Tyk13T3LV?+=PI6eij{>B%uE!$1~} z6;MzV^cW08SSfjVcu|7si|n`L&gI|?*1H`G3TC3$%}322Qqj^{sC`XJNC=&^HU-FC zs$L;*onMWeoJ;_O22l2nnZs5x#;NIFEV0QD85j(n}P^UI76=$hMC`s1R9C5DaKmLBUtM z)q1`7`uyDZgu+E7TO z#Cq8}T{sqL>-eGT^V&Ur8Y|`Kg-u`^c{rBmVX7<@u+};(W?TKp2%}8rSoNA|<1u2j zKD^H*jX|iIy;!&eN`N=rRzeb^X_0gZI6zK-_@3?-W{%Fz_H{+zDNT*)RFL^x7`PLa ztA(_&_(@>NP3WObut(CWwC(}f0t(2)*fBu3!=2!z=VSPH zY&>l2uk&_$m!8hNi;;gvHXRK(Q#&jM`}$TV6oisvCH!k^UC++X@kvR6K^y|e7Ci=X zua}n>9%OH9VWItWy{8_S|DYmQFM`>wXYHt?Bsx_8kfFdg0P>1}PO7Y?)~{{X(x>-y zCRacA1nhsnVzQ?!X&F%&pTQwee>duvT<~QWJh}2r^q2lksJz>=F9`zL0Z4}!*S7oC zPwm0qWZox&;W-TZWi<4~zkcbD1@$_m2zp4uQZSbOhlbXdgXb*Fc3ltfD`=$*FS5 z`tdL%7yyaru+eMa>FH^jz%38nhJ^_rATT%2JU0ua7cZTPi;o{VoX@V!09lhTIfiWn ztUe3>e(-@~gN2pV_FRos)z#GWba$nZ9Rzg&TR3GyfFZAZ-rUV^C%s$@}tlx2Q{ZJ{CXSBSRs!-tA z1Sk7El-9=P@ZewN`mPuFFKZ$q+&hCKnLIT`U|qqF&gZ4+X$cPx9zeN2mQI1>L{w$s zJcQ62tQBB6q!t#%KxF2Y&;fR~y7veY5g>#Cj+g?i0#a5G^AOsOEQ6VUXVl&~H~^tl zrN_A`FE6j|4Z;4w!3Ll$IW;xG+1Umco+P*tIKWm?SLXs5KwfDnAMu5}tnA9A;OnHB zadf82yYdvvyVzJvpdWc0)?mS1z$pf16A&v^(>B)Dc_k&tz{=_~HXQiQxOytd&c@cR zVj2}03H)zB0AJS4ZdnlUOWVf`D1bBr{&HWRwD8VmBTzsD z@8Jh1sHs!4v$F{TuUuVRRKP=o61i=Lm5LfS2PUJl1ay~j3JR$%OPr~w6*H3HSk}Ku z0kH}zYiveF#))9_|1r^hSA*ClK4Oa_`AvgU98JOpk{!gl%l%`rmuLQTb)(z#|C)3B zcG{K)VVFH{IzEag0CEta1LgsmA?W=e2B;eT40cUT?d!~bR6WvPE&Ic{OLaDkbjRl> zAMhL?9&t&ZIma)v5ncHV6v!)TAdz>+H-9AcckwxHAhzBPZNDUBNwTJZS4_rz{SvWp z_Yq8p;_#3?^#IW8ml&0SA*;L`HKu2X?>KFxylyG&k}?PIwvrMO@0(QwutkK0tBtkH}a!P1mQ(Q;GTA{YM1v z6M&Zp1@Kf{TbmEG2TlMd(C~p7^L59~CzqA;hdM2ui69z22dH~8%y;}PBLgic#rE>* z%3-au;wAi~rKf)-dMKl!qH=q)9uHjSW_!Y*q@4de^^7J%J3D5oiSyDiy&$zxlj9|U zgoFhBN)138UP3-VdreV1D<*c`4{K&j8U#GU4ZTdm>2MMGOqr#ih;z}!J+ac^#B4A zB%}fY0y<5u>DAS$FR~k`$>#-mSzxXMzeC)a@kQ)`q%U%C-kP(@?^zHSU&%>HSuHK; zz$-qH`qU%5Fo0>*CE znE9_+>&fY**9y?rz)rxz!^87!0LF#G{gn+oylFJabr)XirqI*6+rDes81PiUNHd#s z#l&8L>wU_o>^Z(Z-{^b8X}8pzcc}OE(mr~kyzU%_-pbru3T6Y6)!HhQ!|&7ol71-C z)mlS_3bvnr`w+D4lv2g%J2Ps_vNWb>GT?$+e|`cJET7L}(Rzz20s16}a*&?wwadWv zrO9uXWb}9oq^&#eA9{E7-))ZmU(CIEIF)VNKCF3?N|Gc>NF|9CLX=9$&}e2Uv&{2M z6N-?8jH?JCgpkEbB}qb(Rx*=TTCpYIIhe5o>A<#ekOjR=4Snz00~wa#BuB*IBkMd(TT0(AX8J*gMH3PNL|}S z)sRf2dDtKmzpbk?Y&!b<{=YAC--6rPKF)0gt+`q^1mSuyZGS$4(1{dRW3l77-0{PQ z9~m1$UVnY?nTyO8T$7cMh0foE*XtHdHRvY9!q8B41kdCI*4*V_b8@^3etAk)_I_@j zSh>xt!6G?fAyZpfo@;A)GG#{+li|I7gIx`q;c3IxKWfd+)!dene|c1nCZujaeO4&!5+ zLf+g6G+x&gz3|G5jD(YCAq`cpcgQ^oF=H*az5C=e#Yjj)1Jb2%5c_kpoQ!l!845Ay z?(Lu(kWz5?0pIW4yN6qcY;<=|#!CyZI7nR2^hH<5e}918qAjA5*kzk_Gc3#;*)OeO zXWjK1HxNlJ2zPGZUfcH&7pJ|1v(;;-y!>qB-huVOAXB#s>ND?Io4xnopZonk_Tg|d zUAat2>ywD*2QPf(sb8)osvae5sx_=a>)n?R3^KXxwL{p{T&zn$*?h3jbUSvZq4%{> zE;OxGx~nXC`1qoAmioI_X}a~}ubzPxu#b!N$H=4x-;JOi$kQ?L@wZ&XuWM@&WA20X6AHrSGLggZp2>&5ZGw{Q0d8s4FoNbcz& z=1i3A*02n(w;>8z%T{aH`wQuUHm>c)TqyI-9sei9cDZ7N& z3Vf2@+R?GKs;VmgO`E1hB+fJV95@arM38e(=3!+)Uc9H8Dx9LbeCMVOiX!*_@=)D1 z_8F|&p`G=42^E4)XRBMg$Ijk$neAmW&1HjjbE7%+>PkoPWq9zctgJBH+$!(X9yOj0 zn;TI09>1mqZldY&eY3Q=X_EJ7QyMd2fh1hSc;42v zpJYh+W`J zJ~lON>U;S302U)&+TkjgNq_~KKFZ3t+FDWAVwNFmR=fUcY0(GhF2_>?pgWvgewcf$$g^L4rN*rMf*8d7Kh+*=`Q;Mpi(74fxyrJb<$Kf`m$cdf3ocqTgN21C zd+7M_Wx83ewXruQKIU;U)A{V|0?^@14;099pmpJ{z7jH7Q_Lk(kI;Vj$#uzOlK0@g zBD?mBaJvBK;Qk(^7hlQ9U~-CyiM)6sPjs_}M@AfALMSEjfe^E9CHsRfWn<8j!{ooc zkI6@p2E0FsBD^70Jlik_x{g28oK1o@MlP9|Ud%0mVyt!|Qx-%gKmg2E(C1r9+(_U) zww@1xgHBDkN=bxsx3O`uezD_*=;|e)xY=N;z)_x@no7qNLGMkc72Uz}hQjGI{+rIR zVM9$xTd@-@djEP54O?V);m>S)_GER|$;u?o-2c$~lt+GACOthJtQW58qP_iEG|lV+ z#qgomnW+Jc{M--uxu>TG?3ALS98he$yiWk=_f3^(D@jU9S_ttQ+idyb#Dfwb?S8eE z1paAwc;?#2lu7eH8sY>*?b!g{A2F}kbA)@}K26tOUtW&2&#fggK0LUFjSZsh)$GjF z`0NxP=gc&K(!vj4xykBl_~c=pYQI3>Hou$tFg5io_*1xXpw6NE0?`o;iv8lnZJ^lT z)&bS5m|XwFjlm0e3`PK)w$tSBrt=yG=fA%G36Tv7e%C;^5(=tY)Aij0Pkg3a!EUd7 z0qfVJ96Mm_L7e6fA3wf>r1C`9n2Vd6xpIdd{X7W9+Gh%-@=ursUNA^As?kwVC?*zN zdxM+vp5I`w2E1Y&6)atza2 z>fSBAetmc6vXZAyuY>S|ej;suK>_II)926Zu#zSo^kOpLkSG={V|!w%vc!$?xi<3F ze){AHH_L1%-|VWOr1#pXVD_f#=Gckm#fTmk3v^NblHA}{;87>ZmxIj4QTo-QQvu7& zdKQpoEg)7jG!D4_5(bj)+^Fv{VFuZ(4k*riMjELDZ^={HF3 zGlqtz;3B})fo>;}d?zh6zrvU!?etv)(G5El|6rqWH#U0W#BAEU`AAwrIA}xgoy-l) z$_ny~(Q&R&nKv<~%l4 z-TVR$odw3wHBx@Lx>-=yag>^#<=$gFpW?k-)aDrhz^iopNV9eO^N=Ch-VVoN*x}ab z6G|!CQ1un%MmD2%=k+e{4 z_xIa&bsw2}TT}A@vNqDhd5sj%qrZOriuSbKTRlSGKRu){SAFZUiJ-)S@zn6W4<2kQ zRfkeO&+T%u;Iy4Mc``nq+YuGIe#)2TW)*8|){KmgZEd{$Pj3GaRj^HvnX-u#P6ye% zUT2xUTOajU$`mfEr;!S>sdQ}9B^nyNzkVG(!@-Mio{{0~;)1XR>zk_Tmz%-C+xPBW zv(-DHB2#ef^4~*se&t5FzYS-r{mekKgVkk`v=vD$EQ~@L0Bztt{VcqtrSx|puHS#C zqPA8YyYlksLrwMd>t@;14jS(5^03tD15$Wr=R0&DhvIqzB&+?NH_o`s@bC}&>3w~$ zK-cO0E;#*oD8sXfxqRGTEq|&kUdx&xVf$^^)l4*6&oebk0v`a?mFG2P3u%&Xw#9@!NPGfNGrQbQi4`}a9#F_e1RRo`ZblIg4Mq1qDt2yf2_?dBu#;=U!)K_Imo1a0u+$hp%5biEi1KMs}LzuL$hcspKnb538g3 zk>B5{w}^Ks8<}i6Y^bcfVq#(fo1Jy3kMtabfX*+u$;e${AX}EG_-^kRn)q%Gyp|#+9Yg>-gx&Gk(YAsotc#vt?%E)lFANrp4sGvC1?56ocU!AT4 z8vNw1ClzV%YClR(e}HEV#$kGrTGrK4JcQm$HXi90NF7%`giZFQ*fq$$Rjb>Wr3pia ziF-^tqmu5Eo2pW$QhflRRkSxpq>0Hjl&pog$9PFVpAOQbl%h z8T_C|R}4NLeg*x;5SJ5Bc66=h+q7jFITjMPT+(xM!(qK}bRuaiIRJ99Zt3;JH6=c? zmraBIrX?e1#2*Val#TC>S%FvsIDpFg$FKO3fm!dvKM~u&{I8a(hC9&l&7lAD2HPSp zB`>Del9;nN?(u->$Tfz1D!uGg)s64(8u2V|Tm#k)Qq8er6OKDhl`e)f z3r`2spYkj3$H%1sQlY3U1de+F--d+DyZ1~6#jA(h@aDGf#dkqo|| z`@$;$no##sPIrJHlFgzGOT*<-d&w`iu%e=3-FjnF@0I}gU;qbkDF|Bi^;H0YDD&{0 z0~6ls8ihwkM$YN$-%?M5By|^=veaH?5|{c;;MC#03~#! z43j>4<{%*_Ql$vUt(8MGMIQ$^CwOAr$Di=V*-Us1XB`g-0YtpY%zF3k&75jJJK_lb zaY<2Tj@<@G7!qH7OWU#pD8D9?{DbH7DRZ70{{{1b%GU>hg&)%Ym z-~f=0v9U2cFqXnN#M<)f;u^Jw0%Wx;p^AhSj)Y@sHmmzL;dI8OP0HI z6llL^)_piT*a1?uEhhH%V$+Rhj*+jvq1 zx%Ky>P3h@7fnGnhv?#*51#kg9?#aW@D|;i#J3C;KV5+bJ{p$HVj5FAO{SMz6s^Of6^4_X~s3PeE zbrDXLrxCgL3vMgjmUB!w=Ggab@;P^+8=qY(dCB8L`)728Gd3!ge#K^|f6jXP^lA3w zM{aN*jHpjnl;JJT#DT){R{#DD3(p?8H@PU;f1q>ayqOBS;!!%X)A z?FEqMot?VRy6oAdd7cGU_m7p=u635p*cnJ=13GTx{ZLr#;MtyU3u>ECzDQ`Oww)rIDA4PUrtu3`ARN}@s0)T(z#nmQLF73 z!TMZp)849G-paRCYJp?4`wq#%Q_5?1Jc$@Ls(8+|+3ItDVt=A-!igaEV?nfYx!QsH zk90Wo%+tL-QNB+sSg8Bhv&}Q}{Ov^Fu%aDh+Zo@}rZ=A#W1XFm*pu8o>S;}(=WXO` zx0zk)GwPSV@XF=K+uc`%cDw845dj-ON%&snz8O}L_jt3-ZsF_C4o+P3F13@6i>-QtW z`*UjRb@5M~v>r(XCtS0W+XTg#M4MVh;a%7Ky?yDz>D~dZ%X9nLJ99>-rYk51XXBhF zHG6Kxl~mVFy76!FZ$Dck2D?Q> z&Q=YI`+1r#yX^Oj{LXNubJ^_Zg%^BTIT9b9t}!rhI6kPSxqO@G)+3jF6K!SgDtnWC zbEw@_7DpQxv^nLJ(z^D5a_@~{>vL^p2fYl&yDrYuK1JK!>%h_C)eFQGuI1X3E^T3m z zeipx{&z8U7JD+)?A$AcUU(T z>og}F@$x*!taoO`V2R6#it=#gJ>ZK9_68n#-aFQ7#XU!BX(^x;P5aI^P0OwPUG&gp z_@(lE1LKXluZDg_@eOm53U$8&HfyNv5T8kjSZ@?HQvXvZdq>rLv!cA-is~)}OUVVL zx%Z`VH?ADWVPA1Iv|G)aea(@-Zmw|lW7P_B_wStbE8e~A$TFHEO0Ig56B;&9LJc8o z;HxeOZlm`2tzt%s@x_)e3=haG?C@K@IQzYhsLW{HWh%KgI<<<_+D_xEUb>O5<<*k7 zHKhzCS+S~7i}}xdnx3$YHmy!dqI;97!%L04>Z%=M%4pKl)D-r)o(nI^^oh@1g`Phv zMqhFA(8IX-@Iy*5RNGigB2^Z5%MZ1Esgx;5F%?tOmQ)bjHh zv%RaMoXGEwo*5_6XbZ;6-K-*mNKpdw9h~F8rhk50e0gqrWKy!_*e*I>ZJqI>U1h&q zbtG=BIdjlq)t&h^(XM=USDx9ylAie4*%Hl~sUH^HbA*JY9gMfH`$#PzLSnE`n+Ix( zD1E2wr?i!Nl*7pL;PeehuD_9g??2bOT-eUYr%-A@sN6_sk{X3V{l2aaWc`<~Ulk8X z^c#!E{2uI4k(83EcbR!Jl1Zh1y0o9CavOIDXo9PCZZmzcsmkf+jih~W0oEelJv#P6z;E2;iT zmu9Q1tOV<8-K^9=;N;{qGfOkzEGaAV>+I-+#V;x<%63`=wE2xwRfZOlH@`@hg&cL? zveM_a_*~nog=I1aIu)!WCm4sH3gj@$K7n7}p~n73%JJ)%iP%y`GqJJWJEq$7hQH^?XoC#a)$=`=@0Nvch=bBO`5 z)cwkcEj?$z{rwQF4hwcKwaQ*vAg%@~^@GzEsp=USd8MWAr)+6-SNTgbvX?(My4KbUb>nTHaE3s@4*R$=k(m^I0om(jS3-QiMOy_Itab_HgV^7 z`6mgp1|I1kY)TM1o_;<^J?+|1{}QecrF*HpS*ug&GW8bDshj8c11_$W%U^X3wFBTJ zcL;170ujpZdc;{$&fZiNIwH;UMvT?jU@Tw*3o%$24vJ@laId*obK|tCp(al{xnJ(>d&TMH`T$KKkU zu-`oTh)VzFctE7O>-X;uDrfB+TP>}!+ZpB_9!;C)JR4+AMNC^(XF*pQDAtKr9bY4} zh38mj7$Y~n!Bk&e@AzEvjL+;7B8~LEriy=D;fj}Fa*S#~@fb0nwbg*p4n7kpxU4;` zxzDq;dB8pXWQw93@9{HtWA@$ab^c2Cv@L3W{P=MTnHZazpz?;Na^Q}xh6eK(b#z>= z4C8B;?k8KRm(13^Q7@rtX=&|@uEw@wH=rsDu%nlBv*8$MrZ)~etmXH$MT~SfQA|i> z4-E`J7yABH)0DX}&W%vHSD&Z$kak3Tnw|}F4Dky;ak|mvGwU@4YTw{om0WOGKe2T< z1G6or%%8WNa!oZDDewGX)p$!OSyd<^=BDa7Y!crxM$N*q>hU-5?>Lwxh*jqS%N*L; z;p6A+{T_96XJE1WK zmg8L8jhCw#c~N-rna3-c;-9xVGqd_!zp28=-{7y{S!7hh_fGtj!p*SfwH6|r$*s2Q z*#aq_WsaS>QGrkq;#8H|&e*{n;^^*vLOdp3*>-B?xzy*OT@9kM1Mauz z1qQn!PY6Yb?Afz!rN6YCTzs)>fo_G10c-hhUCSH^n_lRIFM3}mRhc;2ekaq(ggCX> znp7#dj*Lm}fS2~t!{p)P$?LGcP6#+Ad-*qL)`KH)YMnqndrj+7ur5;8*zeH9Tx* zcvWvP5MsZ1yT+`kt?f;r4SzT`_5$gIaJyI`Gy^QW;NcMik65_7oVpxu+G*j_qR}3+ z(+6Tx!>fBl_22dSAemg8HZjz5fSfUhj<^gZoZnDfxr z4kKSoNq=;i_29o!=R!F)T2c9Te%AqX*;^X-X?l%(*Xxwv=~E2OmvftU?6?jP5T^7O zddZs|GF#v3Fs?NqowE6{?a(&L^1ul-0mYWW2^B`)+MV(|f8nmG$;}M%+qv0OExTm) z+iaOxr1QNudE!U@p8*p6KTlB8f6A0SFDG~Ny(+s^IU&X8u``_{`>#)z(CKSDeU6{u zEoCdC|AkT%<+v<2QLZH?Z&z1W2dfLK#Acg~f0X;$5-o8V9<~GW@}sWD2B&6_p!1(R zd4Wc>T#KgW_T>N$)%9Lh{-;kIBxr9N5%1HubB&KM&XR@#CGMut}Q(q2=gos4^MU zyeS-Ccse$<>hovLYYes2czD%O(j$cAmoI=}0EO$|2o2N(G3 z5htX+R{FeiF~F#V(wW9I62*JsPQ>P4t{-fRbl@UyAi$S^fNPe2lTI%6nZjE*ekSON zMmIG#FS_4&DO^2m-p-&X8l8+T&t}O&n?+zVkg2C)i425TWa#SXy?91ii3$`_dHE5f z?w7$E_XOEWlYH8}iD#H(P8t!<67j78GSYZ3`cTD#K!M9Nu0dzO=H6J=fn_g3%! zBz{SU6J2qN5A1V-84L#4HXU8vLHTnW{uTt!K512Ekrx49n-Awoe=*iY$Ib|ItSt2y z3UHSTWrBv*nC#InF@pTKA0!Qo_;87s`(3^U%e{5&*68YW!4(K$(4)aW8~RQN+Q$-z zuJVTpbWO#$o)j0~OcDl9e>zLEiZ%p}1#GSVm^oKK^fwZvOf%D^=Uz;gloY{}DeM56!W^ah9)xzkf30S`rSdS<1s+f| zCO*qn$!vuCDhwSz#Cux}-F)+#Opq$+K_obg?$p!!8F?~9HwL4Usqrg%XY}7KP>mp4 zp7q>_$`l_0r(*j_aPGOKBQ3sZ_h$zlj$;FNa)C)~nlVZtCh9Z*O;>7egZPYZnN}^x z3!rLZj+>=Hwv3;63?d)JcJgG3WB=Fa)^<~u(yG61j1ABK=g;4%O&FQyuLnm);L7 z*tU`15)QUA#_$--?sUd6Jv6BY8a^JNl*P4_Fij?}X&tpS7RCCZx>;|KZX>D|S2}Qo zRidD0#9I~(3|G6fI`te)K7$Q|pxkD1A8k#$_4w01_7VzoS2q{tiUfO7`UT_5J8wrs ztY7KB&|mS&$&{|fJqhX!){>jTD)FQXbOkwsA)aut1p?;e^pALMX&~IJ?B4jflRVVW zOK7~*xLNO#&gYOfu9GvqN%g{wmo`vc_OX`7xx2Lf^i5sa-TG9)7*&LY z%iYo(!N&$CN~N~#jHo&-!rAoY%WpG=ot>R=vt;;**PGLR0&8{280cEc5ITLR38We` zoGYBk&zr@8)XjwW3{wA@yGm(}|2jV$FML2#rR+ceyHoY;l-73U_PMdlTf?T)qp*A|$ota`d)@uepMp*C#5{V*i^za-way=j!STKY*Oi zF~( zsQ@awHed`xXg9X#TiQ^Yt+G~ydXCoLwO&HzsiNi-ZIL`EIqTgTytc3fGDDr>gG0L_ z$&LB*p0V+J{W#j!%P*qQ8&)+5k`#AH%;No|I%A!vq75iZe)aW**U^w%z7*D65CcO@ z+P#DQroE%%E3}`5{B(A9zHS+d!m^VriXMqi^btLqNFCAWI-5CI@F-dGtN&f4p+N&} zSmRy6dp{6DuzN?x#wf1`GrrVYyq=w%O=%|a^74M9kC@#VZf?o!mcDy3W%G`q`4F#= z;^oq?BSQIWpCmXn(x!lyCgR18b{^Gv_B??I0vQ>wX5Oh1KygDu&2&NRf~l#$W~M)# z+?ER;8A7$Wd>qD$iNLU685=zfkkdiPZEkBDt+gr8Wg_F|LP{O##X_5i69Q&G#d+AO z%<|FgF3iCndD5dtCiGf1-6D4BAz_ZepRNXvGcrW>?v+qb@axQn?aJEPI$k9-r-Yh* z?b@QV>7V=u<}Z3pAF=8Ya3;q}W-C-39xzb0)|+0kx-<_^oDtU>e;R~cl!s`!0@^QW zO}rVVlq^xTJ6_0tzCNeaDL%OE7NRd2Xw!@hjtK>kC;b~6HTK@*39AH1UFrWyHOY!= zDLFeiO|dY!s(GOYpE(&5Z%oY@$=iR!-Y*coj@!yP=Zv^!p9xrW9_Hf?A^TU+}+PK#)Cy-VxvG4huZazAlL#0rdPKpJhIO^+BQhBop5+TtSD4^G zfa+)i-Lm}C}sGKsad{`-PvvaIxNtiyIOWJ?J@Xkvd}U z>zjyL)3KNbxkNLwXHUtnufe4P?oN9ys!KYR-u7fcbKy?TVC-~sM!U~U?nfnKBHmkb zf|SvC=}V!SJgJg4v@S#m(He#Do9=yZUi`Pz;yAV#E1z;DOI=69NxgGF@iCeY)i#zqG+s3z;g**e_dncrL^W zWz*Ra;d&fV{2_>T8YHbx{zZp-jO$?>S7rJt;T!L_0M^ZT6KyWOMFEV;DI4F(>TIxCSuA~y( zw;ra%4a`f zn9EE)M!9e2gL8gf9%dQ9aO&Xhe&Zq+m?2D>I4yjiPS&zbIs2g1Hou_2rBhvfqk}=T zW3ehk+R@@V+K^OG)<1~EuD)j`u|nW$I_+=N(U=@ka}d$32EaY#>`Q_g>UHFbwonyn z1yLi-x2z7}GSw0+8?YLJRgy3k1SJFrEQC;L9y55xzQeZ61a_AIxMX0a%BBNWEJ|CU z39i!8az$Bt-Q=Wxt^XL zb5bgP&Cij^0tc8s@l5aqXqViNP2pJlYs+5FU}QD;t2V=5@#y?6uo6}|mO7kZ1hHFv z2Z9a76cp+ZKtj9qH+vZ*AQ{EP#dTwIVk*Nm^|T|IjSEpEJwaiE!lVYwp?^Drgh|NE z<>qKDj(dJun3)CPx)>k7hY3>1slWCAOG5glN;fZQMY*z1N~#j#ysCGu_CswEqPA;E ztC@qtI<*F1V-xXB$ULANNBi_L8Z+E%i}lUy?ABb?%seKOfSzLr$-s-)=r+y&-~(@* z-*qg%sdO-wrPVeX@r*y5Wov?ZGV^+q-RZx#@hivg9g zZFz&^@#OkQYU!hvsn>~F>ko-W8zWQTabsfv`pzw|H`rJ%_DC}mzt3R&@qA#D-{8hA zArq-8lzx8KYomR3`rXGMTl)AUV9`+sld~gg%x+c~y|83Aixs+gbRhtgPz16WFf_}m zRjW2e$@Wdk#}VK!qm$?Bq6?OmH^B+oG~<$U68|n%ibua_P^YzX8)&VU3b13eqbe}7 z^Zi7DZpfvApl<1h4VHhG@hHl5)6WB@q0k2FikS7$Nkc<0t|srBD7t1?R`}ct5QwcM0 zQ&VerB0|@HoK2SsqWmHk+6-ZT*zq@75RCl%_^R_tA4)`20U#sq;a)K}?l=;do!lnX z`GA_{O0%7`EvmjCwv|+uUs|eKtS=vZ!oWo48uTlGtkRn*bUK|GI&jMJPzb{IRMKiy zjcg@hFo$}S$}k~o6FFYP3D!Tk>0`p3Ge{@V)nF~%RapJYFYbzb=T8f(G@b!v)|li9cHckMq{Ya;$YHw*o|xgwZKdR&Avu4#3z5W*0)N~HsONbo2+ zh7A0?kRnc|T(yh^7>bIHrX)n^Q&aRs(d&$82uom}yR(6He$zu@js$O5Js|`o7e-Hl z+a`+wauag`yQNX}ql(>V_0PPh7tlr{{)tli-QHcWZbs-1^Oip)lK?^%^Mv3qg4k7! zz&hcb2vo7)17i$o7BUNtE(^GDNPlbD0zJ@LfQHX16I^0Z#;F`?vSw!P^XH*RlRP|N zFLdu*X8JSlEH4rWK2GKxtxO?m^>Kk>T^_rTR zI8)kDJr%HOGZ8E6Qg2Kdw}^dHRkZ^A0_wH}D9I^(a{p1Lk%uUMa&=JuCp9}A&d~1L zCsQy}4DIpaF)&GXI5Q@#C99!aq5&NbT|CAF)z*B7d||mUua0JHV-s>(7)DAwGNW8B z``Ei1f-9`(4VMZKG4V4{lhM_viJsWR;n9FKdm^%m$*BF&yr6?AK3Iz|&a7opEm1dj zIB(9x#500gIc+Gs$^`vMEYvr+An z-vpKHWJ)QW=#pa}d#?=DG&&Gb({#5M&F{UmAL><)vgv>dK^0K0-t**(tb(ILpzkqq zB%KWYq=Vof(>yc%++O;ZptIIK)@w{I4%2JF62Z#WH6UGOa>ucl^-%hG{)j3pWnINf zOCxFS5PKv?Uj;8~&oZrw#2#t3R$HnHe<#6VKkGG!IcW_KAZV7B{))_`qXr;q_iK#F z#Hhl-3bZu{E%s6;%_=#^yV~&jjwnZuk_c5vq)0C}&rghc+-sXvFIT^c}tfSBqj(Hfk+gxud10nkIbSD~I`+Wwt1A2QH09=}oAos`pdW)IA@X|~v=ByrIaE5F=78lkha&Xi z>sRiw@ru3flfyd^&(EeWj#oc)sX!OZb6!EgF=?LfXci$(YxEFZTJl5~6Q?M1e}uu411-&6;ijxp8U zZHx;+Vke4^`SLK${%?$zF1!-c-C#_uNZh~2+}5@h@61K%rLB#LG#<*7ZfUIlU*c4} zmciIB%A~hETsiMYOyB?UMVPvsRI2RWH{;tL_ZHj-&KL1cOdSnL2RYfgd6ay+x+hO+ z+j8}i>eb~S$}Vy(4ef>-sB|EF#52K@;%nmrX0OI9;y-n#g?j(goffNCJ_)Tf-m7d| zpbPZXHSkQ!HcPX-&_<*>iJ0TnEuRwvTVkxx-H58|pjC2q`^G*#6*e~IW8Ex-iLYZ* zH%iv7#HRNn2NFi`9`Tcp3 zO;PiF0mmeW_4aq3}c=~It25D-yNVH4dZU%tE|6<&>yP~B51;66|CqTWPo zLo3hwe9A9kTHOrtc9jWA5FyUseLQRw!9pfGNQ!9po;%1=Hr7|PMjsunt|dM_(EQul%+GWa}=C6yx!k`@Q>9*@kYs= zKen6ysOu=06*OQz`<`^s(y~|6;ctG?Yd6QrA7tNT!2@-Ff2O6mDXZGZfeZal zpPnmeO+A|qa|YDEf`a|XehBm^mU>$jrlndA!UclEYUn+%ghf_XwvE_#4pjp1rCzkl zh6ch;Jg~qc5Cxj7M_WWs|Et~uABhb&;oT;Sf#^63_fnOSP4S?c*+$4#pXn}O0Kg}P zD4~UEpiuOnm77~MLNm@eh3;%HKX>%?k!R<`V^xJ5cmlE8P+gVQm3NATD0S;EY_cFg zf~38Jnjk?_FKQ}P(TN6y03d@YoL4V$S=-rxv(Dbr4anO-Xu@Cq_gjY_ zoL)|KLE+hEo=yK~ExEV#?D;bs47#XkaW=-!?*$$|pjG(aB$+rhaBJWK#&pdMs68We zD%iG;rz39vEYa-Ab6y|>!%wi>R!;*+fWFYPe}QfmCy?j7X&MIryj!7-*N7zRHBU+{ zb0f~Q6sS`x{uEkw*T|a@Ko(gLN*U0vUtU;iKvg)Y1BoF-33?N)Yjyb{s>}J2_Exib zPfI7XARQefif(B(aP%mW5vM_b8nl{sLxg^J(Ce3Vv6jJ5k~XG2LUjl&$yUkL#%g+) zvHbS=`LFew5U#Q)X@%V1@w8+jZ(l4(Ht)Hau{P=jv1jLWpde_Axb{0$I+)#2#N>0z zAkZm-D20NyfPf;7m&4;t+8P=T1{*6KvPH(oRz$byc|7de@7u4JmTB|{;lEpUN(mBi z_=)STB{e4E7o~ZKUymU-O^i0%QF0)G)AB&Rel0esMtXNl9U9!yq{e9NpUG@12cFA(+liBR@;4%qjUkl^bPxQHK*i%aeith{^10 zO5F#p0zs=be1p>p&Inm*FL`Pm6Xd!J^9gQJW7;K*fvA|&Z6ckVJ?28q!xpUFvwlh1 zo=iL?;2t^|1fSa0g^!rDSPf_-fTbU0@pl>|c`uU8ADz?MOqH4&w)i>e^U)5(S6^1cnVbGygR#wuXXqn1(pB^;8#+snI>qB4x{fgo}!n9r~LSE9HELR-;4z z&Ei%fS@=Il^Dwc1^pxgNI>Ui{c;ygFxmb1x;q3QL5$ZZil^1F@Ho3 z#uNxDF|MQ35k151R8uuQws!MB8p>S%=d?YcnA#=Gfsz>c6XF`UH9!>@tjOfLH);`z z30xX&&Iu z0b8K4g9c17CX}>_w)@Rd#(Y44IXDb_HZ}O%_zOZP|H`yIC86CcQ1rBQb(4S?n4W$b7^DU^LH&DuqN>q5To7T`@0aJ z*l)u10D=rRgyU;Q9!dl9f^PEs>2&j57m$#(f*vTKEMv!f{$`_4Ne^|kDNva@JBBtB z)P~qU=lA>sX8A(@`Hd`EVYCjFpJ;SR{Y=Zh_eHD=-~^&NZx~5d@;?H#UAMd`8go<| z;*~ov;e`5ed0PHtTKlQW(|D7cgyzu9vkPMG*}-0((}nX9I?AmD&W*7#)v~BcaSp7T-{gtFp|nvd>s(Ru67?ONYC`Mx?kn3R`9DbOkR4HJ z170zq=*B5R%=2BN@-BY}qn$08^Mn!nJm)o-=6@!KJ==pck2)G>oF>#5-`M9m(P!sJ z_faq~A1*XCAWWEN{8Qvc-Tp6nXnm4+3~Pl^IQqu$2cUfP*x<-jnS9(FhYnbrS|$rs zRu+~`XX;x9ZCVvd{Odq}Ha9mze-U6G&^;uZ5Gu6|W0|sgV>7fW{&kp_EU_mY^m6T8 zfdOP61@FyopX)5+J$wCnXQrrSvk-lz-YI1>!SU_BL-U#b^`gH)>;JZZ@qes*{D1$! z3F|v&8VD1!Qw#@#vBTNzwnfm@JZ@VBpF>`_R51CW@mU0fO^mNOqQ!hA(D=9Ue!W9~ zYb>zJN`>Z&1i!p+h(G+9-3Ix4X8Fo83D1ASy!?_@(%cRFj5R}p6AKm^5?gz*-2BV$ z+R8AR8L+}zKCGQl<`kpgM(UFFNXTr{VKM-xlgjycI-2? zRPB}5=75VJF1>plz|^2u$Elfgg^D+CP>Y`4 z71?j_y{^fiy((_<1Eb%C!35I-2cBtF12RIn2!~dA-~cd-S0#`-DoNxpM!v#Cgu+E* z<3;6Su!8`^BT#|{@s?*4Y#p8Q0qw#YmXGf8RLd8=d_$-b=BtW$S$Qs2)Eq!m5F{Z# zg4AJ5&I?)rraHJIXp!K3VDV~hE+?oFpL^egp%&d*--&TGLil^w|6rA2`nHyY$)%#u z1_9q+vGY}wGPu5@{6ukh+TpMO*$JW<0201>6^T{AV$8Bk+Lq%>_2PnRvMOTh== zilA0O>WHEJv0U+U1~0I8c0QWl^xA<3S`!p5=(#}maQuKoND{`4gFmQke4q*3M|ffb z4>dycU|ZnX^rKMO+8GjDtQfHgY7F)xl*L>DFX5=v`i!K^oO&L{XXsfMWtt0f|DS4F zf)NVh=b)XgZ)PL;cS31@f=p&}A0ic4Wfs&!?@Q+jZJ6@A1>qH{T<}5Wh`hk=plRT) z;aHiAiWx62O1vn*j@jRc@$f9b-MYK|@4aI36vK6p9^`mw^M$ZuvTfJ-U6?%bs?Y}1 zU}@dh=qR=y1_o=fz-Nah0p?q?>?fBs6VxCkp!7!#0Lzayk%-uc2)pP*|KE-lb^5_07UozChnmKglqOp`uQ*Dg|4T>rK01AR=u}u_$Lu{oUX16 zCjNKvgMcK8gKwc6eMHPz2KbZ_&sG(Y1*oO4M0?Ao*-@0xn9`-l(_=WSP8?IGoT9n_TEo5p3rn1o9b~5;{+4;4-^f%l- zCiR@j(nK7&$mDGg_PxO2;g7D)#Yq8KdhMkiB_EjOb=r^G*5QDQP!n((G&Gc%VpfQ( z7K#N4_)>ZtG3P!b0}dm&VNh$*_FycVa1eE}(YEMH!?)dQmm|$wZg_nG774(pc%g+T zPwk~y4~cLhF@uEd*Qde8+3521m1j6)LlMqy(D9%ocSR1e-v!qLM^|%S7dcz2EY2MW z&2Mmr-zy~BD~B!!bP!r=E)W`+mYqqZaop=~#oPZ@b3@}(x%g*dE$8W>gxS-&QSjIhqh&h;EZ2GbhY02kjvi!w7%a~3a_N=*WI?bua1)A&pJg?q zc+DfvvOXO?8X(JebSC8+D` z8XA%;cQ&`Rr8f70ZmFHgEwb@S4IZ26CTANr7fO9!t6uUdZI6NZoW-e}12L**y1!Gi z9-d3J^rQg zRcE6yn&*0Xr%L69rPmJQ1&9c72slpO-l~#u2qdV0P&30Y0}>vxq(m+>SFi{WtDujg zDvx>nTP9bV6(thuQfHY2ryf$|2?02We&6XnA#k;war_Ji)}>UmujH;@y{t#0tjlNY zVtrAsa(Nbp!liSJ9cg$yo7JAg=cI-&nb|HnK0q=z>gp57y-1)hFMLp0oNCDItH_|b z_@o98%!qocHK6<<((eI2!a)*of~OKf(;ZbI^VuJ~e0(5e3T<%tzxI^l`nLUPt=$b# z1@L(4<(##T=@q+bmfu@I3{~3BQwg61c+tz$4R+0v*>w0{?G31#ligk4zWxLb0jj0J z`)C(qLp*nobEw`?&+OJ?0Rw|@1UD|=GniKR5tMH^Ua0vX{zo} z10m-ZW)Ea9DL^+r$kA?Q_XY*a+4fCFdW*_q%de4hJBxoLpr&n;Hu(cT(xU1y$!1jv zrk}w^3Z4f08a}1HEnZ7Io z-Wq?@F}USnPHRZcZ4VzK{K9jdO^3OUdUE9l`iSlRfZ<&T`|u;#ySu+d=%2S=Lox+z zj!hP2ig8!C3?LA@fTD|B2&vh0z%bJ8sb6)v4Fq@$Y;c$z0H=Chr;ODx#-?zaNE`2= zSU?fP&=^RAAg%NAx(Y|hRb{rN=d<5jjDnE~&%wGM<)^(#`9ALi1tW>rfoDO9 znot#jWeMjU6+iMM`YguZeXa{`YwGozXQ%7@|7|boSMyxgWxOeD&Tq88rzqs$%QZ7a z8QK+6nJ0oC3Y@(&lDDUcHZE{uhsBG7%45BW4HT{mn)#GqfneE8>%1yH`@QxXb<85H z&7AgS9+q6>d}>Ttra|3|WzEvov@lbhJOLGscK*yDyS%p>c86#k4nmsZru1W)n65{qrd$WN#6e=m$~S&1B1VsBv?ov zT<;IJ?!R<}ax(a*jl2B1b?XdT3yKyAl0yLtZSRRmNDNgS#OH5h2VT4@bP2{;YyID8 zqu%`d{A6C&hV}SBJFsZPxI^7W3L(uEgl1Er!*U|pm@x!60K*53xe9+YEvlT zFX$t$#8?q{CGIjGb1S=6e=5VZo<}iu|MIsN zK!gQYgv;vK5|(3UT83C!M5l&sW%~?t`Fp*0;Bgbie+2O%t+5ohvaXd)Yh`lsO_kld zcN=&1!=M2I$5v__pD(G)6M^c_Qu*LXI|D6=CA6uTS^tXzeJjr7i5ywG7;i%*HF^FV zaDVfvPsfG1NJ&-2XQe0?xsZEc)xK@oG=rwVH{_paOetwy%7P~Xmr7BiIA<`Z`I62j zXVVYe5xB1Sz^NLy6J=UFh8LEx{a+p8|G)jbg)BA+6%8krx+o4h)6PKkx(J-48>4Lr3(hZQ;~rYOc==WAXlOpDt?j-|ZD;mgj#wys4B&%q5!nT;W29%9ToZm}AgkVD}P z_iF4Wf+4c%{mb0$mpcDel#%VT6MQYrO=O6$RKG zDxZFLJYW$UfB8$c$BJCEqD)&b)A_3n8R~1i}A08-VV;wskoodynHLbPI)czi^0G ziFAvZQZM8RN?NXb=Yr1X^lZ=SJoK7|*O;{$n};;=ENREc=UE?Kov3xJOJQulvf)Tz zH(lgE&msL?;X$e-?tBcXep9PNN7na zD?2k4QXv`Hk&KK__FgSAqDcrLWT%YmtW-i0%9iYv%*^|E>pZXPyzc9K{Ow02s=yG;-60KcZDE-T3FP!+`Na~-afRMmsR5kirT zhOF44sIHr+<>$5Qd~v`|t*YDF+2qb6x2>8Yj#t@AqCG5@}ft9OI962+Hnsh7?{KWfLBg^8) z$hLyC{GrwGpZRGILyU>9du;^$2K0Dv57{U`Lo~?gZ`*e&8q6KIKF7%|>u(AaOkVGa zPXLbsyMV)D)e68>wpwbaD46D+2 ztffG)F~HtWrg!1EAdI~eONBMexe+vw9|TkfHcR0F=+%CnL+TmLuw1-CL63WxCO?x8pd<=SNhCm657=Wm z$4`bBqumAdxRoID9EkL)pzk@OJ}6m;;q+f{p|{<2cYSRAKvKU;k^U^kB%Z`MHe{lS zBknQx)7{%DvMTSSsBe&}YO>^l@(z?kOH-i2CdUTeJKlVoqH6!LgG-9 zc{YzalxUZ>Aj!4` zdQ5&}nHNlZ0&bTZ8+Dm!=nAwG3? zX@$0&tV#vrCHL!f(km=Ll+hs(Ql@5ClRRCy+}5Hdf&BKd>|q(=r$g=bbI0NE!+!za zhh83%1AxqETmpWFZf$p)+d3KVy8fHV$wo4-G*TcJMw1r|4h49Lsw)Hh9eqL?%}aBv zV91C04+@0Ad2@CKjT`q<(xB zO&2J%LNfF7UAJE%;=rwu-S6@Tsc%!7n3zENve$nfy!wfl&$nghMLXn+n}=~Blsu6T zIUPq;5Q-JSj3EjoU;&H?h`o?R672}qr(27-8L12a5}Fu{R0&YpdHNE!5#v* zZRGad^tBco2O?-BQn*hX%Ixgyh)_e40oRr?*Qod&Vo{%wAH=%@-`>+eUTP*ac{c@3C)$pUl&xO;R)GS{waS zlolo*J`5JTN3=Ozgm`1^PyNOfdHwehZ>+8#V8bbuTU^zr*|#A$IyM;v)Czwb3+{qN zbS~-;@Lh|=K@|l66TBeE7U1xHl+71fXj1(Bv@OCB#osNl#QH-mW`divLfW-P@Y;|{ z;+VX(3H2m06U0#P^CWawz0IxwrjZ1b-8M{m(>~8uXVaJ7Xg;1Az^?F;tD5^J@h+vF zZfW9g8K14U6z&$Sjo01n0YV*M4F zg?H+rRjc<`wzwTuM2- z?3>ViUWG®`@yF&jx02hBRx6Fx;`c?32FPFKQx?w4G2L>AZLH@;un8!FIKsa+_? zlC;9KsoO%a-0PzHBjZ1E)r9HFSA?sx%VPnJjVk_c6M!N}$I*u6RJPQeb0xueoJ-*x zQf{vs50PlrQmoL?_B1E^cfL4mUsvJ9K-@y`jimlHIwOt~xK60gb+^bMw?bb3xz1~p z1D#2Sna95+5XN($U_h>H0AL$nyaykiyNyI#D=r-xI*dpkh$972Z*$QVbzD_5Gs4&; zI2h<4g*HfHky3UUM7=I_{a__z=#=!(ZsA{(j)D>o1WAB{0l{!p6LGluMT)mYN*gW} z58fzg7|ri*iM`V)w~u(mCHuB!$&$TS-|@BG@y3GIYb-7S(T7kUVkK07;#kpdx-C#J z0fq}G3H-$x(%a$Y4A?iD{#sVjap*tbE30~BPQFoDQ|kZ=KGP@ zvb5(uL@1mN^X!ytzQ?{Or#_LL{A0Y_aBAmqBC62ceIO7GSa!K6@rT`lt0cgTO)%k! zWoCF3DDDuzR)~~dLw<$?oqQJM&-NJ?)pz9S))ryT8j6(H-PrTCX9D#uZ~E)dB>C5d zTS{Cfye>G4Rzx?M&oyX|ie)J6ek<*BV$P{e4B?hpEV=TDN>yIlgVBQpKX=HM8t{oa zV1T?gymvZl1Ol+>BBK+PeD)jJ1 zvv%3qtm2oF)POY97G7)+gwX=KAws2*q7L_PDz09&&W2?}c{d$AADSDvMsZbxqXesf z^aLrcCKo&z)s3$8+I11V56ute*I`Npb{{}pVCHxoxg7_;la>!LRjfpQ=t|te*0rLm z|IRC*^7B1*E{B4-fXiD~Mk}coOMu|6aE2bWyL|2tOlNld0$35kelDJI|<&C7Lppv8AuyK#QUiaGwwO51_ zN3Rhjhib3G?m2gNHx197X`U$G?p7P@s5@^g=%1m!gM+c~Al5;L;-CMRy%y#p6#$27d zn;ctt`xkcqfOwFhJ*D=8TP1##zeF;bzLt_;VT!*vEC!ftR~~YZNNTQUT)QZ|t&}%T z1z%)BhaUoUtati+a4SlvOA!?v9W-~ROQ^b85>z$OJR$lcLbwIKPhANx2@|45t=i4_D8nBpq68{7Rp&;Nfn}geT5y8tm%N4~iZZ zV}5WeqjPP8X$+kYO#+U<)J7wwqIiG*8ztvBCPF{3Gq_4F`la{u`&_RiGkR&Hbk~^+ z4X|zTjK4aEx7E4s=9{*U>BQN|-B3Po(4cd;(km?LomXVkyEC}~()A9D=bG;i&QA2p z%g;^5?O#;oyyaD%#!b8vbmN@gXhX7GaQ_w+R_cZSX&2m$-nrg0dH7A6Px9(#QkM^G z25nC0DN8!#1(_>xe1WSVx%e$0A}mYfNZ{rJIT4yn(Ch(Z z852ewadWJs+8dJ5?TCFxZ9M#2Z%9}@Y(pFAvv zycRW0Yh{k35C~vbwzh1NS{Wt`Bw0ytS0g>T(O)wYiqQS74PAR{t6eVXvGGp$Gn`yR z^7-lIHY`At5!;EpC0kk=7#dPQ+J^WAC=AK_pV;|8(=dCL4begbfI7fVsvF)w1OTx^ zX=|GoSZYAh3OOnuutr^7xxFMe*BAK)eib<@+KrEY6@vLi_>90AF9^;El_oGH-z}RY z(R1MCn>PcVylAn3y8#6HifjMF4{thm5XV1pti{ltK5ML_h#Jr;fQ=l!!~QqUbK3WL z{ti#r8(nvjzB2RZeTe=B?IEG1MNB?6aU#YWAsl-B6Q&XIIA8^QpM3TTycowrkksCs z{%BX^18Ny?RQpS`2xyN1x=lq1V={pvpRTd?0wj$j6kMRK&r9e4kjbEBF=q3Y@1rL{H;8?8O63&X8)nj@v$Br7y1HR_Uwp^eW(Ppa2-;`$(1*-kujK2} zHGr0MvRjdAZ}WQw3|y4$NUM1^o)w>_({Y-M!LC!QK@c4bj;!YK_Pg3oi!5Qfo66zG zFQH*Y$GCGGJvn^(?5n97##DBrknv_I2_%H6zWC5#Y0QE}ZJQ^X!}m4T!6nWUWs-fO zwVEjdvg>b_CK=2*x`Y~QF<{REmLefR${*i$R}Kg#f)XSrh)#Y?%xQ-0hYPlObBD-f zJ)GK3GXcYg=;ldOlpInS)a_|>7*WjNUVtq&2p*M`^f1XSj^)JLGt&FHrJ`ymmd+dX4pZlg=G3EgoNBjKL!jdY*c2U|mT)SZ~@NwdBzFTUeIp%HcVZK{D z>vTnn0d5m%iB+qSOjg6-oAIC1u6q?8=v*Sq?0EjJu(yxu`cWZj)xT1EX%8C z_p!!#%_KEIfzYM`pF>?9KyecCDp1O(+&TdO5)&gXaOOhqiSku+TK+NDwS3QRuM{9>`I(nqmvXoM;?CYLJw-lggq{n z^O0D5>k-=FEg`YdxUhPoYbd-Y(d6Ea7w^EdmJVKS-#%s+D5w`^p`r&CnRGx%*%kSO z_eLJqRlKNz?{8jF{vUp|+uwSfjfLfSh_O@se5(OYd2%ww7!Q5TgYAI`A8iPb$vWL% z4jvp8LjndHhXREo(ssc9k;Ef<5z$m7?sZE)VrOGWGyqz3O#%{lQW*t(G@x)~)rPGz zX)nrQtxpXRcz~cnXEk{q0j&Wa6^X9$gZsMN7+S)AJap{gCMd+ezyRaeu9cj6w%LC% zu1>sjC~vr&2@Mp91fmf#(IQB!iJF@ntCQ-DcGUo+PUf~&!Z<*90Tbklhy^=bBV}DV zAKcFd#Ri_#I&kI)Z&u-ZVwGWX2rEV4{m46ULPC?vTbmIrp6zPqMq=t}hTOz~>!D?ob{FZ-S6{X<@c| z!Wl)WM4=;sdBBjeDv;2k($p6{5a1BdheuWg;wT(rh}gQOU4cEr6e{1oAVWu((EqZQ znZHf{fmi$xA6`R36B%v+G&aKe!O9Wd4`~W&f?}>RW3F2KkoE6yG}lJL508UY1>uUy zh&W&uBxDDBsc!7LC4a5~oors|^uys`oXtv+CpEFG!M*Pmd{F`gwOHqZlwLD}yEX$M zNl8>7qfD2ABo$p}^%%zy<`!my1c5rZ9~|&cHmA z)X>_50BpziuOuALsnF-@=r=ongTVsL&Oe+jX8lMn>yq(XUS{^yGzDMNzC%=$>H4f#aFqbVw(AowT^pwVd?vsH&P`Z8JPk?(?e(1M0;O*zS$MGiB_AuAy6KwCF`2Sln zwJm(~_`&$|oLw*jH})7fIP5_ATCBqpG{=VE0Chz6z5R{mLI4Pf{!0c#G<%2KajJX( z(mzlrYh{3e!z^R4DvL7V{C3bE&3oM zN;V zFvFljb-uGy$w)<`?G0jK^aQ|dA(TYluJfF5gs2q;sR$T3SE8H`&KosqAn?((3xB|Y z_N?b5LAiv83;ifjHFuNK|1TRsY29|9gPeu|rcQa=`&AN~{y4y^yOUTty2zy0wNQf7;26 z_ycB$)OI`^*a& zVgK-)@Dvm*xs*ZLl=6C?5f);%;kJR#!pIU)H@m`^HKkrNf%m}eJW23FREpq7qSDM(mh90jzh>e*VIA8{Xp{Dk4u%-+^>4Zl;DExEc2K7IQ1hT8}GCF4>Fwm!tckm!guzDnlwZ#9-2 z%9kErRF9-F4LxK;?;|f4GxF0E1*K|?dPG!#fVX`)DGuyXEJK(=}G49XzQ5>Y>a@lGW+??xANJ6Y4f& zoJYLP+#QN4k5pe*%ctE4J?5oPa@#$AxaTCg44{7T35*Id4jU+EGVdKx*k)pCinbfH z*tRy|<0l_HSCz@sRb zRqZeW(NI^V+}7Jy!{IbYXp~2|r9pc{udgv!%~87Q@=+(-h8J82Vm~N@=4%+#%~Rh- zLf(Yz+FoyiwS?g?%yg#a$j7=uuIg7f;H|b=8O`ks-|LxL>wjT}bbn4%F(hK|eCUu& zz^muFd77B4A9ZZ?SV@`F?2aTnn`ARNeP}heC&Xo|6ed*JXcUQpC-OasI-Z~vuJAiK#}N;gPQ4hpDQ?D=D!(`8*L>~{Wsv%%{4Io1`!})k1g9?Lb(gc&Pxmo z&2&&BY)`q{zeN4Fw|_@r)A}w3E?4D;BFK?1B-zK4mz|)W$Ht3Oez6`@*FKHwBMAUfBd#!xIsv-nLA%^D`IUDV*v<0ir#fun(*8{P-qtg7K4 z{`tM&R>@4YhVBEo6YTis@U=em%8#0JCT_~Dkv^)pn0a-d`{ly^nAk2L_RZlw{h@8E z#JSlvCOPT76s_+by0TtkUhk=yQSNn_cU~`gT+}=HaL%Hqu7|PuYINmmI295=;|t=V zLK#HDi{_Z8ns2=t#;fpYAQJSWGfO1!rs}P#_*|(g*RR1HO&FEGEwL2d8%g!7bM4JJ zpw?by%(Qu{vM!Gw9L#b$X$C_euS9%07^>pOmV^$Wj_ORc1Sw`cUu zrdTxMypjqh^PjMDXxaN9!C~H1@yf#d2+LJmvaN6pAakAHf-P4M!BEWkfwn!aQDI>_p|y;G46s2E_6OW6 z0%gz-cGVcq0pMB_4W}X4g5!q3v!GonmkWssv`^@9w;sZ<+lvWD3Xzo~H33q9umJE9 zVL@S+c$e5Hz1<>di`^U6c_p?w)Md+V#h?v>v+|PNXJ%J@4jW`X3fc&8>rfT6jg3O& zB7#C1LqPj>R~`yY*;rw%u;CLK)VPps%D@N^|`=U3qy z9&1}*HFYo9BSQx_gh3*~kZ1$YM=d_YR!D}qc$x2ldPRj;>G&+M=^n7dXCtB^=K^g( zUrl7Ai=HJq37r0rkdaeNxfsfU9KoKWKLPl!+*S|M>fw_45drsl^X?;hRmz)@gwXbjp6!vHKjvaqNtOXKZz zaSn1@wrtr->kE3BM4+jNfUu^FA&JR{A$)>y#I3b`pYo4|D4%Eoc4H6dTdcOd(kC;7 z(+&m87d141{y_xvm-vUBR#W#dWx|nH<-6mxY5l~?^9JWO%OAMs&;-ADpyeuDKY0CR z3x6$4RGZOzrCjB-rf?%RA=|cp%7E%E9rLBW+x&w4BN(A8;YM!NEB}kgxco2D((9-8 z*^Eu2r{)@KYKEc}sm!$$UW|TS;kR*KjPq_+H^9AyLukTTbv& zBFX*?jAaBJGS1{vuk-AH!d!$Vjo0=78CIHK@mGn5Ein_Gc&=Xs)9fMh_S*ifi~P)$ z_+pxAMHHon<>NZc< z>B*mb@M}84V4jP<>|QBxvZVHcxxNz{+r|4(VbPf1@3#IHuh`+aJVS%b*2?Hc^SRgB zoAaw~UP4*P&bvRHO81O7Nrw=TP%K=F%z{4ea`lwp^6-?PM!p_FctD&2Dp*=ttSovr zYj^aCy^FiZR)lIXmjyh>CyJM&lZI|4>sMS%)?17UdzvuKC8d|U^ta@fb1s$>5^zIv^G#6W ziK#DkSd%RO!D)23Cla5U+y1!R3>B9kl<{dGEPymY67x+6npt36C_EZ*CWNl%DTs`f zDDLm3LoS2l4`C(((!}(%MspzO-PUu-8ARH6YP(}^!Y3DJW2jf%%a7W} zs-V%aF-TboXWdp^SPh|K{HJD=QU72yKj74J4fet6De4GN&OY8wvmPp~UBb#fdaG*f z0|*o0f6!7PPCw&PuLBn9j@gNH7P;#^FaPlT6?2fFnzGmPGQC5n8Ua~T68YLTzlv`z z_z28h0`P*4;LN?Yf4YB3cXP&@}5rhe5j@$9%P58x=z7=S4{ zIoaEx#QwC$^)MCk0n@;amz45<`2;?OfWEdK=!zEb$ueRzeE0v z`%F#-{Bi>N>O5T^t5&Qz5)?J2&1)%P(b2N(lg7vUtEw!}u0}G-KFvyrJGQo=S$BX@DOE@a*C{j#yGPMDZs7;2@w&InLilFIL>v=({owU z!r9Y>*i|T9&^INHQqqpv6JTq|NRclC5kQ=exrA;t0CbRq6CePp zBf1baL2EH~6t5)T1;r_Vh?^aN%*(}1)Oz%HhVG#g(_ClEc|U6QY6x;Phm{o)hTsd=}Qmq7V+1(f=5(EtpIdU!N$JGGDE zzhpEj^bq1IH(onMw-!~{s766`8!}!9>`p%roN3kX%PrVc{R&D8G-V(1WPHGm#^tCC z0$GZ1IBH%WAwpXdK~2oROmCF`ziI2ednAW-(n7@bKMN`%OCkz^n1;y=t3u(~@y@E7 z8@BAJ=J&7sk@O0N8*7ID2U1>VrG6x|8ZmE$X|%_Bb#+27Zijdl4UWpef@Y#w>X~@k z?uEg8TEsP&U`<5z;F}Q@lHf}Ke-ZW2$SY_Nq2c$|TenpruptT{h;IV7BVU|H8+3W# zYv|EQ&=;sA_R%LnY)uIG<>JPUt}Ypams3R!A-&y$-IjBL{Tcfd;&) z2R{$ynu!L8Go>hb2YeJX$Rwb6ez->UE5xFO`Wd^}cgV^der&6=_j=DWVZf^y&D1Em zK-~m6x814Pfi*d~tmhcHkSDZyt{f3KVqMHp5h#Dr16{c&pc{w?i+-U~E;9@T0acUb ziTQwV0t9)4<_myQy?ead%(L6Z&#TbGI&?U7jDsa<^*6_0gXXB|R=q|LlqDs(cY}Zx z&uZrBI_eA%X?o8aTahK$CSV;=(q6P(&QBxR6@W(JxsawHCJ!-&_5gKjd%z#?F=0wT zzD&(4E+<=B5)wC&d%Be$AceKoDTc%ziNSrG5EEo`4_=? z^g3UmzjD22%t&3G0GYta5R7&|lxwX;x@j5{DE~pnlsqGT)~ZrO?1(-PH1N1*s4Tty zKB76?^I;+q$q>X_Mie$`D=1cZ(|jz*u3OU(&`pW47EmrxC!Zykl6Lh&{`?HWL=|D; z5W;UDb_ALo7Z;}^y)h6EUC?#L&|c8yDh4pI?%zlP(s80l-#0lKmcDS(e`|O3N8XUQ&54 z8O*LgrXE`O?OHUq(o!&Jx|#1C&S9e-LfzFPBTj4J&vxfsWp@<8wpy4tjb>SF`IdB!Ykh(UnZRZgJ5adml6c z{f-@1V`};*ua+K@Y{P63{;;egB@MY}&Tu?z@!HiWEhH50K#hZ`&3Fr^6#*NDjjO4t z@rj8MeHwoLycZEs{qyJZrdKHW&USH@h}to1@9G+kIlO2+;p(d0%9vw*`SJ}Q>Cf|B zxUSXfNm=GiQQT}8WJfQDa~zo51J@2@GAT7x*Fj4o<*~p2rl(4YGslQ_JQMboDaQ5) zvl^uWs@DX4xPp%K;QO<>!lcwo79Ecb9{GrV2uK9!QRMJF1GvM}%*>;s^fMY6S?L)W zrta=fJ392aB@)+s)9CH*S5;T9#E^9${*g+)H75H-L>PbIvPv~3U=A%4Ga&XBnHC+9T~5qx-rmL zlZ%0iff~-{;QiBP7aOk0@1gsweJHM;6Z;D%n*M;%x47iwWOP|*iQF8LQ8GOf{puAz zN|1K$+7%ZQW2hrUOgOo0wCNdMQ2H?tBraFSd(kD-CQo;yqe#3po@lUpqVTiQQ_+~E zh2Oo^5Mw*=GL+c#tpBw(>h09NjhNt6V*;K8O*pZ*sTvkJ)vo4Wl-XR>)YTi$F6FJR z!4K#7vH{TDIPWckV>fQx5L(z=OmpVUnVrw5E zGHRubLzjT=Zo@NY&!V3Plq9LnStevM59A7J7cZI?N{fi(?t4Z#(w6tItxXqO8uI}@ zd{98#Nb74<`399&xP{-7ler69S3mMph?RI?zAle{K!CuFA8~aXc)7T)n3(u{{CLJb zUoF@hqXf7ZbVN=KO}qO0`(wRmXlTw~xNxK1?25fTy?1`T7}lAb8v*p{@ZrP4H1W6s z&MI)6_cb+*QHeaOS9xWs<002GA&RD3-$rK~sxVy?JH%ZodZq)lHb9vow3YPr**G5h z5of84EcQ#Ai-CF9uB7yI6SNz;ew_lPo5_=CwRuiS>C3lor`_DdO%Sdvl=yfcnKtI%10p~CN#O4Twfa-RrK|P8V2#0CY2K4 z{&DAJmcnb!YiP)!ODIEtRX#1TzEl%Gt%e1QO7Depu?1VK=$|z-+{+UR6#Wc-3R*wa zy~5nv{8IC1)YZY#5SepQ!>n+GRi!hmIodasmED+$QcB!^|FmTi!`~0Qf|m_84wXb2 zMQ_Z&$#CLrqlXQ;xhN=nNC@qj{DW3BDKi`N(Ee}K(I{IRi>y6;c{b>kse6s&` zVl=gAW#mH@1qRxKU@K@3($8pCa_j&3^GcvaZtG5FX0)kRIe-4;m^=2mHh*G5!i&_@ zuiA1b+PNpDca1;n8^+Z8aK9exMe*{5^XHG_<)c*tCPV(XapdoqL7E1`|3(Y*kFiBt*fJ!Ph9zK)IKEz;aZK(VId(Q<3chTI6Ld9sy>{^kBc)Z?`>>q zB4?Ie+OcEDhs{%~t;?bWev6)8y|I6H#PlRTuV^-fNLEqF%oNJ`@wMa7x~HYaAPwbpsMfMq|K2*9tjG{l1rMO86a zW>_UW8%}~E_oPjNUIvG{`p(_EF_Z^2#Eu1#A3uIn^k5^NwosSt$ai%OjW6}}GT8Dc zmBRixWU$;D;mW^V+u(`oG22`GK za1g>74QMj>%jMzWfmvk0d+--g7FtAd2!kGeIOX7w z&A}Eo}eSH`7^zOEfqQ5qrEl!2*bmnscTOW0_wY4Q#i?Toh z7kp}8DUxoq8DSN7H0#N4Cx=Hwpb-vimZk765|#)ah4MOyA>cMnF7|EHM_o0w3PjfM zh{HSrIPq>R)8?eZN{BG#0~kDouEmmB`3U7nJh{8Ez(=cvA=CEp}q0D`^En>T0W<{G{J&aXWU z17PwxOww)UrBM}aTJNaJ;IECJ+uBl>b{QZ(K)BJ;+Ip1vF4oyVkol^GMO=3FV`qut z*lAlbS;>N1P5m*_rVAG?#AdUhziLLyW(bijWLPh>Z^H|RwZ?+Le6j^2Q}e0rjZIId z#VF9rVx)jdOp)7$sQ=-INA=lzB`xEOrN*)>8XukG$Zi;~_KnvmZH`!Ng3lXWwJ8n` z0<*d7;Mjb8eGv^+T;ZokYAX2s+cs^Bp{(p}25k`mcLDe`+$#zD8B?0Q)l$sFDh~PI zC^dMNm1SQYo$=-kQ%WO-SECdR>LIKYlE$>h4a3vugOqg@ZWZlg@U2aoHsOtFAJ6@6 zsU7Mqf8gPV9ZQA|Vys99WK~|Iq!c$1@ZWcY zx>jv9+8rktgR77Pwj}l17ghUG>||t#zxnHT^V?+ZIM*Ui*)AgXbgwZHfOSM}&dkir zpWr6ghWdqb91T8`y4T*sa<)b}#)Y#*UKFz)w$FD+B;tsQ>V7}HaI$d3Ax=(=M_H5f zi~&O}-{Ej-zxZZ0*Q0yt3Lg`S)OH$P&2D<120yKR>0_3eU~;=cmrYnrUm$f3aMcNC z-QkDuh!|1!{N>AgXlN>wxCY?dzJmuhy#9Vpkhw;4%b?*d7^OtL6b@OH_xK5>;tu!S ztb`jU_AGTA);zi{vEBcWN4J95TG!Q@H;ohr)pE@Fz^HSbY{bn5nVN*oMO{6uq_+pH z5`Xsh_c#`0tA2zCY1LY#jE_2sBT@k5frf(S=KcuTxitx2q^AcMY%`)nq`l9TmY^*g z-2;XMjjrWnWGLj~wx%>Dr})Y6hjj}V?_Nj->AzjUb%adlBq5dx9L}j0lsU9BWPx3aQQN2VuK%iOH7Q+ zaO`;;DGFsO>xGd1iG2^a+WbyjD!yyu67hrfD_)8wxuV zW+nceq+BtnT(&x5;nsWRjd80Vwa?-oj{i>TeZIfbkzaurT)oc!|3m-ZzNe~?)9n1q zC0hT;ODc>|A+VqMF2AsFPW&K^a?)y;K{c@ndDR=;bZ+H?-2YrN;YO6kx<)&)uCC#a z9a)A<7>eM*;P7|FFW!5ausTedPV3))Qb}G(iB=;;=%1Mz zpYRSjbWDW{MV?|WcJ-W|B7YbP27qV0{BHT3N1nLMkJ~Q|U(u{)a!4-M);m1ck>h5d zMzvUL@9J$7(g~jnMZ6GKKgVV6atK}K(B(g;dUb0#@3mx-d-&mb)*bS7rNoDx*{cr{ z!G1_}^;iETX~W$Gd`z533c9T&dOzwbzLF%YpQ(JAS;*!sTU52R z&s@EFXnlE~u)G8thJ+sF=Ra}sWVvekuf~0?L`PZYoS%Xo42nuh7x1GXBNA?Id9M9~ z@Jp?ukai_%cBjbZ_!6gfM1iShUq*94<>l`)Q|SH=CALJVzrNK!t~h?1Dh@CPZ|~>> zR6K-ecd=m_q;PwNisguL8yi}rJxfU7_POL9x0TQM*3D>FMb@_q@6XEE1_1X=!%>2AdYp?5)^7qpSNC9v|+maJFL{etj(( zRoV2&iA+w~woDoWJy1fq5(2fl#zK7#0Rd&AUN6(sfc&&Kw=oikN z3(3|R3@_9l-yV4lH{t2kmD#U)>5{UZ9=G)3%c5xw6_xAF1;<6Xlpk(CA>mOc%Y;eY zC5ydv67HgJQSmK<*@@4eKdG$z>~DiS6G<;nXZ?&S1m|c>1Hd1#G6^A#aKvWJR%QLwpzoS?FTmn!+3fe7QizPtV1l{Xp{;;{Oo6>xI zd~c_wXjoa@5J(~i-5wx2IG6{>W-g?^v9)#fQ*#gI%LLjxvLz%ZKfn<3w{J;MuF9&b z)5~_hy}m|J@GInc1EZtJka$<-n-7uzy}ZPYVAhp=&<|@h>xmx+0H7ShdSN$;Mk>`l zJytU}x3<7>lwCvw9Ha}+rD9_Cug|1{g_+s2`1mkfWvrchajr)Q37*-tYo<_K;#qJI znlqd*g6$A5Z$BEuK9yVub4)Ng;vX=|{?P9r+dNxUL+YRcs|4{VsNYsGT`V2lIWoMKsNus95*IJe@3Q)qq?-65BLj1& z0U*tF`v$btT(? zzNXzR_31V>HJ4yzEJG95IVcNbtds9R#vvpfCr9#6HEJ z4aGG1%K#b0y}u>T&##x0d)i_Vk>-tQZoW5eQ16PUXfcB|xjurN!DK!O=g)N@qP)LeAGyC@58*rp`#K)a(pE%Fv7xIeO{ZnXc{okv z&s>ieqBc>_MW)-gWxYSXeY+?3TIahf{5B)sj$++8{e!Mw_iZbV)Smf$(-+D;j5Bg{ z+ge$9;8yur-2%tEVLP|D&E_63_f@{~4FQy+7mC_Q zLkx3UkGW1!!DS2%?u@Ocf|d)(&^7Z;m;`Z{1S_)_{U0Bv>~$G!PksT z?#nG%;_h^x+>FL1K&r+%6tg*sCf*Ih7+p=Y5*Ob>XpN$sfNG(n+Y5lI zW=5`JdL4bR>F{;wZGL9bgrFUgHC14#0|Nsg{nN1+U58{9_QVd!6*?t%bVxald@C*Y zUGw$p*Dsw!6YzCtl(K>4nC)8c@Ni}h4i17TLgh+yky~rCZc|s+J%$c^7wJrElY0oL zwYZX%>rG{@3p1=Rsgg)}(V2)e`3yq1{OPCesUwd$;&@UN{ zIEtIrK7H3S0Rwt5?J9R#S{mwYCfl9*5$bDlne1tl_B80)%gC!_C>-H3UeqbT&;M#@ z@DK2}(Jo?iF!;ycy`h)z&h;Snqkg;VTH$@0v*=nPhDSmY^UYE?KR z$^&Ey3a*{k()uvlx$NwimS{APiO8i1~o_42!abDftE(%l)5@hAZCDI>@-XZydW6ORE-n{;qmri zI2Ne#A#(;UlB(69jiU*8>Cq#4%#6-@nUs8(rbEx06H^1ej%cc4gTsYZlF77)*j$ z2IqUvsI3dA{DhTgajsL1er?Ll5MXlac5fn(AS$JP&gOJIouiTZ8K&bMMR?j*UMo@% z8Wil1@kBH22M-s-#k^{5aEH)dUf$5b!6Yl#dL;B9paFwn>Ka8B z1IOtlkDq3Q4BE{G3pvLBH2btEj1Md-{%uiGf4& zYLO*ub8raQ1GDc;X#GQGz0M&cpN{W~hh76`qwr7PwQNJNNPX(2l*X*KSM93Q7op)j zu+7hU$yEeRZ;=JhjI}SEeW`W`UF5%I2uNS+D?K@XeCve`6tLGlZF!R|o$|P)zxIU10%Hu=6ZDxYDU2iF1f&YvZ*kQ|S>o{3RtNps{ zi`oq&#ZDbA5hy*Bh|&s_Ta%aP0$;p(yVO$-o|6#*;2iev?@CPA4TR1SMgkEif|iHp zIMBcUVA#1=Sy`tLHvyM!*g6Ym4tkVobiosac!w zfhjpdQb~l13yn#iJIA3~yIm)T?#BmLo-*9+?rTNU95J7_0UBC1@Pwi8&%%KE&ijDEJPutAWvSQHPWnrh(AxzCWaxvX{?w4Vz#u1*RX&eU3S~I1#)XeZiQRnN6HUcVul?zy1*C%L%l$MzqPq#8g4fT>}l@;NW2Q)Sw7v zGN7t<2lt7H%*TIls%;U3FHa=~zj6Pw$;hZjGwn~|WUV+1C@j;!STV(OZ5!4ge<7Xa zCcsFQDAi5Sx!5eu+2a2-a_!TR&XVY{F)~mv;RsA~mc=VOVy>-AQ~&w%Gml~fSXP=U zDqHcc&;WgLv2f=2y4T-7EiSSoCtpxgds4rzNDJoi+o&v}<=~y;B7#?DN}TdI%&e^9 z-@JJO`VQ$GjOlRG=A`0Q0;&0|XAudnACs)$IM()|t7{Lu&Z&J96*(J@1Ib4TpKSE> z-4OT+z`5Am;M7iNX&u_X-*(~wU0q!NzQEeLIy=OUGo!;@zq*yM+DK~b`fDu=1>1i( zbeF9o0$&7+XebDJH(h_}esS>vi?nATqP=ajzTgv@l?1}iCG`K+P#QcqV>z279T7G& z^1V)@u-{(HMl7<$BGkgRv4C;0XZOn2Wmc-SbBF;`^J&oCY{+)c^v$EfIls#ep3bI% z)oNOR?cq zb0;vlcxJ|Nbi9u+T+Y=io58HV8yNVO(Dxc;#yS(npqU#O)^QO}3)>m&NS&N!W@h&O zo4m-YdYO8Swl_Aa;(R2OfL37_zBE_dzkk1)hL|$~3b-h1G5MtAGwnx?dB}7fG1f2)z`OEt<}Yk73KZlK2h%^cn#!G;TA$oD(1$urZKnj} zD3{ywDO}y$j@b{;5vnG-teIXgBgrrL6mo;~DglpbxH^tS*vv zdTk`%5YpWJFg%#9E=9n!7J22KE$`@l9JB3_8{%rQtLL9jPEJj|a^=ceb@dMf5f`8< z!Db3Kh+P@FJaMP)%VfSJQek+WQuFNC@7fx?|yDPb?DoWm|a0T;&aRSv|oZzWc>0+~;xdA3xI_Ugx09Fa@UTK(mJ3w-?_p5Xr^68Nvj{5L-&@sQ8;_s@;sk)kSN zo76!xwO%X9?soQ%{Z+cb>n)gT>%LD{hlYovZ5@W)?pt_835ksVK>qNZ=j4^r$=^ln zx9Bp&%HysPAPsgP(RvqE&2KywXyKw;^-r$?E(Qzg`r%V5y%4G6x;< zEzfQP<`y9)fMx+c&&byH!mh;FSjr5i>(-*#k7xOnbZp_TA1A}e2Bo(&f0 zkais5)XxYmE|x;FuBYbTW+#dO`h%y-G=^QPVP4$G;dJ?Px0#(bUvVLY`@Z+)7P7{>6)X9t$%B2#7%k z8RJr57LN~&|0WO`At6NBMBVh#(iRF(FtEl?U%k43Job%eky~R)QdZ5#>uRku4P-Z* zTv-S?-#BH2Vz`rfl?P$fHr*C=8Y`TM0#<@_Z2QWe=#^{}MJubck=EScmHCVnj{B!m zk44e_sLb6LNcmLSi!Ik}6L=f2wk1oW*U)BkJcsqPsfk3e`cIA2i*8&Dty96nK$y0t zrNqWQg?3VC4Qt`I?2JSpfItq3bdc}Oof?yBs_LR5k3|$=Vfg?@{K#(B?-}=65l>D| zf71Nh=ExCN2$e1iM+}aScWPK&fBSIre)%94C~$f7@;`wHBq3@Ca#cpowF4wWn)wR{ zF$9K(hl5^f;R__o!5qNonAUm9VHOZzfs}PkmpTiaazB6lT7lBRgwTs1Lg3Hdz>$C? zj#N^IA+n9?% z0HF*6T2cmAoy1##`E~#Op#(5U;dE03ys?0|c=h!)8>ntG;NAX-LYFtCD2WdTquozP zuw7DVHktk23-P_zEpNLb=%0&M};3*lUHbkU0!chE9P(Zd&;6F&y>B|<9=wAkBZ zLqTp9T07KOf9hia2=m6eo05cLIK&*gF}?%}D&!}LaYh+Uhc_g}%>+x$-@8__6okwO z@L6EPAn4Hg@81;^6@`#uM@8viw?Qo-3an$@x^;+T;oY}lG?Mglf5I52?!LbH&nia<$T64SYgd+M6I+DQ<0j$Ns|Qh0QJb4{D=)*MJw4C4_HD&2i-uuw%!)!| zr{66WiNdFe(a#b zc3r613bJKDw%Vtc{`9x9pr-*)K{koG={?Xrqra0f5KTbI;o;`<3%ijqf%L@&Y507; zZe)ZDyA1H`&g5i%A)&i<{qe89lz!9fyFP@iEMJ#3VDFk{gDPl9B=|3b5+vIe&|JbL0U7TWSJR+DMI%8FJHa;4r|#1DSwfg1CfOR3oD}Vcv)u zxr-MWG39d&5JqsMuwN<%zk-$Z~)y$ZfoZlolfR0lF zqw`Azn%s!j+s*jaCpHgd~S4jayFD_O_D|_ zG&clv39;)$Uqp$q{Z|D+W*w(!OEWV~yNJ{oRslftmm{V9#J2mftEx7jzI1bQqxP^y zMl(t$;j#_0wJ)+}ynK1yLK2z@m>a7%&BMkpfKO_YR7b0~fToLX+H96rGxj=|?eo<1 z^q0oQ$~2wa7inpRmoM*&3y}w0uL4L4c?}%Dww=W3+x0OqF%DH*Az%`Apio_Hm4{BW z|5s7x9uIZC#_=Pat=MdBh*GMxrFJuE8B7~RXmblImobPWLozPuIG7^!iX2H%QAEdO zFeu|PL{cUs>pBe9LF6{M4jDB2nR=ab{55}!*K6iC-^=rSKJVvw#Q)*vcN^w9Tz_BR zTiEtzJw8D3b5Mn)?sn#kikmKwBDgV4dVQGujtWVrS3q-cqenz!6H=kBPR#EDafn<9 zIW1GsrPyl8Pg66Sa1td63cjuXed#G+zlYI*^cx-uIv>xfbR$0P#TrHDHii)7 zh;;s}j+Ryv&f&r?Z$w|;#_zoj?nts~{`Bv%k;q@nQ^F!}^ZHopO`%^xp&gPia2a`J z$}#^Ubyd;zaA>u0x%~ko+qVtg@9OOGJ@K&+?iB`2I_(hDjQP12%kGUz6VND*rh@3m z->1x!PeMQaqr1Dj-y^&&(uP8z;J^U3QTOU-?e+@g zc)zEOhbTdIf}Ry7TIxft3oRw%iOQ_0G4!m9<%b7%r6RnpPUSjn{nlt#oGNmnh@G=J zy)FlsBTb9iOB1lDkb|pYnTYMZwrp$1(y7Cmn(aJdS=e*(xSvF}j}+qWL1exTiG<^V zA&S?Qov(6ETc0jMckz<$^-sb=U=c7W<;AWQad8{*OeGT=3=b$cP7lF&fh=F86e9{g zu}4V;5$&h<@pQd+9$f|VLkS5lW#xHATs--M_d6civOmM}vKo(F$ZA((|Mt8pGL1f+ z)>c`nu!}&j7~dpyE5*5hT7do8Qzg2LtCz`M9v$@zuQo&$N|eJ28pB?O200hJ@?gnQ z445l4)Yq2=3&x^o_~O_}ITgzN)e)aH^X1LCOjRkEKWn}diY+9p0U{ztS@{g+<*J~3 z+wx1p%|{kTNT+P>#%LiHQ^-z()DGV6sI*++w)pME z37ouHS{CDkMbrM^V5`i0_=M<;nZCXcb|qS-BeYjbf2|QZ7V4wc9@KjF8M?e+C>gBf zpXH%E+|!5#>!h^Mp)?n-YDmq~R`WfR%)UKQ8Wgses5HZU zsf0Jd#HX@=d|&347mRu2XJw^kWo^h0T5-99;tVF`kWNTxJgm>W@oI-9cpo=oVhZV^ zFwpkDggZWH(7aM(3Di$LD(&2O(4|XPk;^&gRrd7s*euO8zI}Vr))swShpsED&9vJ( z1LSy_N7kFgOOKxLec#_WX=jHIjq5~1=(WK-e-Lp6&aGd<&m#DR(#&M4;VOb)yzS5f zJW{T(09GM5Snv>t`m(dK>;&ctWcxlZoX2QM^hbNUA<$sL(JsK=>u!;bQ2vZXtK=#g{sC0IAzQL9&y)?ax@qoQny*JP$Am9$f zXBe?KqcHa8ys|&ZxC^i^ZcryDbCeB4>Aovqg%V+vpxBV6ZAA$I*8rc3^MTy8dBGCn zKzn-|!9A4p3Qnw@p0Q`S&&`dt9vN%s=06(1)YBH9E1TA?F7+Fd0wh{XWl>OW$W9bXrdg5sQzUhEp8MdP_d?M_pq{>E4 zFpKcvfAVfoWqy(lD`>FR3?b<&Wzi>zRA|3q+mBe$(#L<~%4Hhr3P%jK|2l#NeTZPj zhv1x!!Hj&CHEayX40>5Uw>Iq=!sj?k9^LzJH^maR(E+y4>ib0wj!nb zyp}q!2*AuPbLh*KTj{895@)B)&FWE66U+VIO-lMAv&}OUfEGyBrX`E98wBM{$Fiqm zHWTD7k5;(YiE5MqXdw3*hn*`Ut`S40yCJElCH^!xa1@t^nM4~rTW@Y$AVez+Cy%7B zjK}X^&}t~&TsEH=)0J#M?%uv{Uw!lVdX@Nf*u0RFGtaZWLFD{T&;|VaeVeQ+NRQ@p z!A>UyLg-ATTZbAs8)5IYv{(UoBrF8=G(tv@-Z<>^p67hA-}h=tm~iyQ+nhpm!I^FA z(gr+(Z!cj&wm0Xetwz>bWq%q#?MLkd9>Gw9?NdreM#URebd3Ga^8EU-$tuy#uYj4w s-TzW4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58Pz00mFyRIeHkFUrdShM1xXV)DA z2Hdc#d2t(sEZ@2?ayF`};oo;~j6sT#Yx@Bk2WQVUn2HFAk|>HI#pAW#|NC>?|GE0{ zbG5UK@p}Jm|8+ZF_P_u3Uta&=Kkcvo^53`pXWz%`YW?Rf`TBhkm)A%CI)3`>`0r(u z<9uB|b2k0^{VH|*?0sw^d|svPKWFd4rJX-}JM|hz#U9kZ{nH<(Y&U8C)E;j_6KeHO z1%9h4jz6lV<7gY+_H5|;_3wW*{oiiN-Qbt~_hwN2Y4+>=&i%T71#InR=5>FGsQeu5 z)qhGmUU%KEUSIR4wc_CYI!gOFtx2NXRV*WyKkD7a()6l8{LDKDUXAUONO$Y^GhTQ# ztJCmL27aqfjz6sM76i4EZ+kS_nDoVke-q&>Kck+`ma|?zrA+6`S&yHyiZN%I_h09X zqxbeIcSZX(f^-jnyD2kK%H7m{UjDuX`L(xGwy4i13SDRa+3OMSyw346t`=W)9PA<9 zoIg=O?d97ZjbH2uZrBjAxzRYsj(Z@M`}f*@_REHt2(|v~rwt>==g+u%^7=Wh>vcDF zzvkV~Ki72%Z5Rv3-4g%V210q3uRrsMcRAvh-cHz}GM^}O-Sg_aO1$v8=ba5KeoyG_ z6%w|Qcs+`HTlKPM(~VbuapT{dWF35uq*{pqcFl?07R-o0L8 z4JxPj0P$BYj8CRP<+b`eM&5OIbPUv+gI1py%EG}c5o}bc>Ru#T&NqHBBC%`nhVqFr z$_CdxByX8<-E}iz@#}=2KXEAafVLyPYS=IRwRIHW;} z%G}Huv&I|m2TV5WgF0WQ&dE4i^d2%WW_w}vraKOI_9LL5;l&VKV@%DFn-;gyH6*Sd&Rou;qME` z?``iz@k)IX>E?YkG3@U=@2_3=6pgPs;eL_owrHPKdnYXp=*@qzWW&7vn^FJq^}qaI zF#B&l-zw%$yOpj#SGm{8dj{R3+DYdL?g(!EOAFg9%zt)8g$W|dU4NqOgnlk~~V zA!)HsFWwU6k_sOruj40^CWf}Z__~)-b^|`XEy_LIy^c=$L_3_4*n#(cvuES5|C?#X zbCaiYjPt54RAVyy%fH&jEb}x>sP+;eKC184%f@MW{c+c4j6K&~_+TkO;5M z;acOJvKD!#_>%2!To|9+)xVr`KNC2Bt5AU@v0zqR1yzpOk2w-ulfD zaQrVO{SP<8$zI#TP3|DC>!(8H3_|^>^WLc4{V{IYdG&kyg?(GU>*Vll+cMK4wkTj~ zUBlyj91Grq>@*H1f{mI4%PlBxc<%R5ag6eZX+W!hLp^?vO1yoaER7xO)u8cjwr9H; zX4rI$yY{190pCWz%ILq}8^_rJ>z?4vn{ru?1m%`1x29~5mlM#~Z?CTl-o-EM5B%q1 z`;P0nr|WsIV^&v#C-}^|mkR`2i88DM4Id?7Vk8LL1M_i*6(b=C_ZX@+HJorxlXDI? z;1d{YCJ{U0Ud_|brgJB_H@@8%k8x4EsUbI|cN09efu9qEaunlD%kR^`THm_|UO$|$ zt#NtJq+8eg8n-sm`@ID}4vvOmdPfi%wx)gOzScWiy7n3j6FZVE3Jf;!hBh+;miw(3 znoiiUN&I9&HS3Du&hcQ1V>6Ya1jGlA5%`m^?SJsTaf;Baiw8$L;Oo}vtR4>EM$qdI z8e;0q=)B_H7|M&HueYDluT1DPqj>c@)I>FoX!FLu7GY_@Z7Ipw^hGgsc&vVg&AfQ;Oy4pJFFyn`#WKFMT}(C4{(d2 z7eC*kWpHoY?=jpsKaBtPWT+eu_dK)N*l)%);&ya{bx<0a0WlyZ8yMh}9kb?s+i|i& z*6te`8y()o@6}zy55IS;2AjQb+;i;45@t4uQJj+Vgb>QmdZ+))+5_Ij?}>n&v=;y- zyeD9S&|U(&=yQTZ-Ml%I{fE*z8Tacy@kxi;-zz}v;$+MOLp%NFn8DV zzC^3>Ze&S&v-)P%whGuHHW%w1-mHX;HwbrE_GXV4Nyf6{^=I7xZO8I9f+++>=*Pt8 zP0rrXjCptE%x-XD^K66Y;%d8hG~?3ZY-)(Lb6-n28g63=60);@=j~lQ3Us!TX(ZvVqDM#Zh}5%L(kAowdQVwL9Aw0)lpfR zeRRvOfw#Gy(J&ogRwqgv=dTO(n$a!Lwp_Ytod`llixPzByFZ5haoK2fVHZXLYXV?N zExX@#sVPCYIpBO~reR|q+b-I$8F5j3^y-X+oYfQ!FJjRc?c=)5XSxex+KdjxF*dHB7BT+jsxSpl!JtN_9vlPFJj8rpq@XH zUDmX5{9FsY4VT&S&%Krm2N||Y(-r!vmNXAOY17&_8J8;!{7hT1l6F>0J#Wf zjBd06@XASlF^6l?M zF!MyU$4@NooPm0~PrrA3UB5@&Di-Xox?_gT-0637ZUT10J}5dhb5q^!)&;!RlN0qL z0UR|+d_V4LucGe^d!A?9mPNH(p%3FJ8i#M9Axx1%@ z-fatu!LqcgX=gem;QhgZ?b)`JJ5iSYHOrT7JS)y{oM5nq=D%f3?KlV(%EM<78o9Ed z&7xH$7X?uz&P-IPc0MuDsC`ZQ8&POGleU}ElbA4;X|0`L5JkGr`b6L)zaOtx5Vnj} zdqTGEy$GDNe1W982&U6rloO_g+?2I7n`23_yFxcSYL-ej!MUa1Pbf_G_+9TCUnhSy zN&bEv`>y?l_a7)@{v)MvxAi3136#OMC_} z>!rcg^;zHZuBt7@FAArh@#JpE_C;!GPCu}ngBae4O~=L6h6fFOvzl|T^;-Q(T=5)yV@mae`T1<6gODH+so}4?^I;h;o5-N z!WAFG<1B&iCytwkV>o34!8d}YxC2u$;yw!m=}xxMdSE*mI?UYH*UriwajnFQBy0y} z31b(q2-t36A~{JLt_MC@&$Pp0Jx6)`es8k2*mR-ZHFjOji=AKPuFELLamA28r|s8T zhrv)|`1-7!-W;nUb{0AMOkLvG_g4cLZy zQYGQ2xNF^F4`z*`p^bYm!`YrnM%bzS=G!nMw7QHZ85bW$P4blo?|T@&h8;3n9WE)R z+>04yKbIvHjq1W+RL8N_+Kn0Iz&g+U81S_=WWZ&3qnz(z*wriwnO)Yp)t&j{}t$W6C)M1;pp!R8tz>ONl#JP5Al6YH)Jo;bQZh{Zl zQMx&&TpAZA4n7TYhj-FP;_$sGv3_C@m4?Sh~fpHD6C7j_#97y8aDLW9l8-f(n|7dE%t zeOb03uv)cTsfbszipdM}Y#>wanZ1#)Yfk~OQK2b0<|9;YRtYk+Mz{29&0juo^|?mn z;fAM$UF9%LZjJTC27&|)nRP9tm`*~`(P+pkcf2_^3UAS?935PD&1PsLWB%wI#R#8F zXmE)0@)_;6GH~hYVGbC_>6Aj_XoI-ynvFhy8+`Mi3us({vOOx%AsGLOQn3bQ3bgdQ zV%l?bI>;EIYA@&`W#RyQjg%>?ZG*9FVSeN7lr7{i`Qt};e^clnxhM_0Hp9M!RL>5a z1LV#hX=tpikpH{uyz)3pDF0?LxO*@t-Mx{{D)AO-b)rDO-SAkK$b)`sc;|Sut#HPa zXp3^*yA%kK^OE&6h6gCQ-%dYcBn7oSDFJNzoN>jm?xNhHZ$JeO3fpY&_ovg8`8FKGbjQTQA$leGd{2oUUE(Em0?YNrSSQT-ULO`g2jx zV*8jpprXl56aJzjSi;)W1Z6;F`8Z_*N$+S_^acH^fbR!k(694sn*GDhl2|S3|HFFJ z8n=(r;hU|kXa0RaGr{vW9$36K|HL~;s>KJ-aNn+4cC3v50Pj|A`X))u9n6iAMEVWq zex{xt(lu9CPwKr<1eT#0^K#+P41;oEr)t{z-n^SPd<1Up>UXx(58JQGc4}bE@%kP) zKAPCF3f=2Bwe|X;XWr>2uvCc_J5PKb)!;E532dyd6)0l+m%@#tiKKwJsiah!ZX4d< z_IA$u5nFv14PzaopoAT^Ei(lg-z7Ter;xjmBRt`~yuO+7&kQo}+qoZRU;g_a*`?=i zPo9hN@6S2=Jv=DQ>_G94d9U1c|8M`>m)8ol(#zD`ir9mLfezZbe2iex1NRKV4|S(P zWP7W7C<|_vZN7%}OccO&t?I{d%+2-WVt6H*Anj zMyUL}jhGDYW>osnIDBtL!4_~82zXa3!3t-KAauz&5jLZk2u=m8A@;%11L6hP;HBSp zHt#ynywgl5hOuM3n~x*Q8`~Q2F@kB6+CJaN7IlBwiB)cWY^yw`jsmpXI_n)xXglv9 z*v|LG3SD~)j$J*&-+4-Lzs|hhu7lRxN-5y*ZG`lz z9Yk$_9FiwKd?{$fT=Hy1u?++UxS2id%T>?>o>jAni&Zt-@2;vm3`x*@)*U9M$L|iU zIfQ)M1$ht!3XU5-nP3VI(`mDIZ2z{X zpxAXc$MmrTp*w+}*i%!2{JsKrs6KZEhr}Ivq~To6A-Lrd3VN`G-*n0+vjPUh+us>O zVEEGm=a=zzz>Vekc@W<0dGw-a4HN@fD2qvU9r?efaxt_jJD`RzL!s<*N*sZz_mud?~+un2P9;T^l{ zwqvESb^f054MK4X{5A@domBwm-S%{E3my>q??t%i7V%jW)-T={5KC7~l|%q@+d*gN z;Lk}%FK6C~6?JjV6X?L!d~FyX^tHM9Pp{d)5N9X=K+}@S>(0_{5GAev>eII$>KBJd#TA zOI;zO27B@EJA`*wbBSWRGQ*YH1{^aN#9|lt!14bl6An6~5!%Tg-iF0V4237`)R3d! zto6s;UuG)k3}+RB)9PWfi-gU*QhoHA{*WL#Coqo^x_p(SSNA>Sy8F}~u-!y~gARQM zL!%w-SU%pFVDITVui$AH>IOGV!ji-926a;Y?8_4^s?hS_oh zdR%=X(0jo+S=m}M7kB=_uEGpf*4B&a`HmgrU0)c-O^Y-iPs&q+W~2+~fjnDKpu$Gu z3f6-TY3_)v8GZeHt~KoBpvZFw(`vP6!s*WOiPN&rB3xwu$sMjun*+UpGfYBt?&XsC zz+k{}IL?Ev3@_9m2#C$@^w6`)jNBQh9I9J+{vIk)5PYEtf@UGZ&==Z|&`D6;MZr2_ z-lc76yyhg^)-v5&bOx6hcu7jDQ32B&_*~lC2R^rR=@`B7Ea{0;cLhO< zcwjE#=BKN@gVl0_hw@U1;FM{xG)}l7Tz<8P2lPce2%#edMHTe-2|o4tcP5az!?(#| z&%oJDFmJ^EOx&IH=kniYNKAWr>>Sm>UJ^2YXY5x{ze)F*1j`w#`1W2DU&e#LRXjmk z#k=lLh*i7~ot0`CH~zF4%OV`eYg%Tl!`fPg@9u;Pqn26g-OwSL_x~}{G8?1U*V#ni zLTl6Es7}~kX#&~0@HL;{CFl#)Wv2Px*H{ZzfkZIR$)xx$NT!bh!55o2!#hW@9a<)6 z7QA;5ev)owXw1(ArPJ7K;R4K=paY2pbnS#$xO^ zd4LohH4d5`NZ4$o)x|YOCk}MqfiF0c-p{&4!}vE&7f6L(;{I*_9c%~lMteOwFPX7dW+c0+_P z5UQc@^!EangfC~W^JUlBZLn>RG37che0iVU0C&`#dyFvdj49Q?XJ;aCcFz5w1{-uW z*sMFmuVvl|g0FT#V0GsHvo8T`4!`AG^6&jh1kT;beSjqD)U862w>PY3Y+*Nkf3&r! zoiwe+;|C(dLLMhf(J;ZwEmwC)$2IYqpzJ$S+JW150@J=T<-a6@&J9gzTK1hu%W>Fu z?&d-tl6@zjEHDYfx7VMh`6k{T_MP~A6!6NLedk$sv_@Vtp}Yhky7LSRB=~dEgL=Uu z5GoJ^^yWOnl#1@S;CK|Bb;S;vtLwb5n1_9579Tu#x=5+_&RkfXd4)9zmAh;$-@b5C zUVjiSYZccE%wx49! znewi4uJyIq*E1vvKaBSr>8Qjo&cn5uW^7vHJ5d-6^l{1t(u5=Xp(Wa}f0y{3C=J^S4<8Vv zob-;zIr72q3%Jii*b%cPG-G&)lg^KP1%2h=_M@-7ofI{pLp_y}Rd>wozhIekNOH;r zrH9R*(p^pCKs993g1eXb#Geb>E9?aM+)@#RnM%Q5_zc(C8pdWgg6;AbbnV){l8l>d zYDtp<4eiw3a5vI(1o@y+(@g36U2WxNirn(;GAru*x)* z1={}%58o8QE!goTuL)7u;bvtw0-UvcfE@CXTSExe#F9#!z9VhrptG_YA#MB5CwROX;xedl`OZ@!acG!g|FLbb^F%t-~?9aaa6> z7oqQlVV+{0aQVP13rEjBi#|ei2iE0{p6M6&$Zg8+UVbZfe!-@kGV8fxI`_SFgb}8g zqmS1rBFT8@ej8hT%f-fPC+;or^EgmguOS&vSM@^AJsSDn4+1@u{RAxGi6c-F$S&%# zUSwg@&NJqod{K5gZ`%|d0Fo^w>L$llVf29%C`I~MP1=!46f^s655mxK$?VRKu0x8Q zbG!|&1>rKoZhAl~9^WXjkuYs!t5O=mQ6gp|H|=X89WW%lAC#ClZcRpn(TVsWTH7~0 z!RtG@R~_5S=liw}hu0^F=r$046*Apalx=7i+E=?($dN9!2`Kj8hBm5z4kGP~of* zqpVXkH2gRoz1n2g^j>W=L8#&jhkii{rAk5gMu#f0#L$Qhjti>g=;1E>Zg#=lhzWC# zm?^jl&01F46HCd_b!vxg%jWhd;#Nk4L0Tnd`TEG^nn5GG+!x8L;NaHs<$Av&K!b!e z%v|0+2O?cp51k4#4Dt6Bl(p3`Pxv$2)H4NJjSY`w!yT~3v?){>bDOg$p$d_*EG9-s z*1PrD(1a3&n$Wq28^mQHz3{J37Cgh;XoMzo9%0&Gi8DM)9K-m!R3TEL9v@J$`GjpD zvsY7m#K7X0303ajPC0eS-J%={pg6;W4v~T<3|iUg2c%gZMGkpB1eP^~mj{C)FFoj+ z=NTu$XYUW}F64^>^(%eDCM!Y~NGlt{Q3N~zLKkK#{CnN#dV@~>zS`@d{SO~@JX-xHHh?@0*WvdBF z*lI{gwiodAV+7>ELj7%BFb@pYO!!_}#q|Pi)i^fx8^*)4?@-S%)s(CgR4S zOY!|~910x9AySfY=zha=4bX<8ePh4!fW3Mwt1O}W6v8@W@nfunj6)WDIjBm*IFzwj zek{Oj*^2l;rHyix;4y}5LkY_^6qK+HB?@griNL;Zu|x3D(d6y2O7tucap6OG*oKUB zw#1Evu{FGU7^Gwyy1MqsZX3FKc)If|i{)Og$q3CTF{QU_uR{E=4Mh>zhOQ}{QnsOM z%Ay&zA-m_f(xO7!&|QxvX$RYo)r*@SnT9Ui%IBt`OE+z}X^8k?8j2zk!Z-GvI7~wp zBI*Y<(U3Tyf@#PIa@2rn=+Y%4VH!#V*m-WO1I~a{xWh7J%#n@Di-aqiS%wmpWhf}i zGUOz;3?+=s_@$G>wOzN0&haR5A;uvQJRVS%A){#N+d08wzaqSkhu4a6G~0ecXe4}m zRZBxSO2llG1tum^*oG1%CeES5deaOq-G8!dLsyrmmTl-_L}b{8zGT}!%e$|H8vkzs zMcc(|D*hh=Ma!w&5oQni&Cs@(1}%Zipl(sPdv*9Wf_+2;8y!J8uqV9<9c%Z4U~}Z` z>@N^(6@L(LRA#R=P4ULIoJK9Uw53`U%poUyETxQ{Kt#0+S60(hvjm}5Ip#ICmMsHw zcHs*DD&ozcE#X#QlZ^o_$jIJSp-c*Y7H-O~q6fCWDE0vp66t$p`$^T|Md+!%M%X@! zQgsDr5O{Z~GsNItgJFWGGgNV>SSB^hiFktU5vT6PEgePxYe9#*1CkY7PT1IqrqtUD zY8n@RLw!#}YY{N?R+W5Y+P%!@xnRYxvT0K$R-#jvBj1Brgt6M)BO#A`7*-$3CQP8q_OgQ#B#ezJK7LQw0W?56?y$CUoCmp;~jm>M~ zA7EuFJrT@dm}y9y;E{Vf6ePnI*~VjiA%uaBl76&ZJ03j~g!a3!G$_H|M6lZFZ3`U< zzD3v)cM(*6E%uz)d<64O=)Mja3*4F$HVY)RH6b3qpc^LcI>EVX(Zw~@@I;X8_JtRB zoex&4M>0 z6MK~#4G7J0l4FqeVDSCCG3cC-NoEkSz;sA7LI$==X5sf!a=OD!w!(J1g*ZX?Tllh- zw>93P&#$r`KOno)>$#^E0aMQuPbv(6-a(?h)lFY#@E~Jtu6jPEerjb1fW8 z0wT@RQy?l3*lg6`A#$Arp(s@pvfoe;Go@l8SnS+K;l*1}D!ua|sBIQFth#8@32PvB z^*jihC}$Fk9eTDwyF}mOpF2mo;*q;~Q)4;5)uU>f4GLd&Tb+V^gW zo;LP6?9?#dPS~7njkhC4KQrVm^)o{D;^&TtP;|l7#NI6!?tM@>Q_c3yK9B-rYUXDV z=rEGu;3t|Y$V;J&0}?!Dl)0gWu(_a__{AC>> z70Oybac|2Mz782Y2Q4CP*HCbazI|Rx#z4-JDhZAoX00pB<(LgMk9a32Q6waa#i8rX z43l15Mk2tBkMs4_3Dz$bTYSwBKfeezb_vrN>|4kh+uF5)b2f(zrG3VAIbkr6vEin#m{$dxyqJez2v;Z-P~8SkkP>8Fyy_F;uGtNJL?$B|ZmD(5 zmyd;=abtJZ56yAf-HiEFBgxXcSoWQII5t>n4;W$9@jJa*G)yfB{9?^ z(1d~U@2b=(k+c|@eWb({@WYPVT%cKvYY4y>QYiTjM8Cs}0goTn-m%XlPFs(iEyCkF zGtXIkUp+EBaHr)Klz|nt@pd!FdgM>yc!{N8XxrF1{h6|e3ki}h`F>$n@}_H#&4o2v zTMg6J#20A4L$T3E30-A-;9g%}{h$z6b4E*3$O$opddw+Jp&p&1DP%kFw7=>tObeJo z3u+395L2l0vfMO<5=B#}N)6Q%;?0sVg&f8ds=O0zO`%?c&o+hZ17qo(oq6BZ9O}GJ zC-hE>M80AQIr14KtO;b#?pLtTnpyJv@o)R@xa}dP&$B~vAFb)L%4qs50;bP`mvXK2 zENE@J-&#zcj*M|WJ34ReTC=BX#U2s*uO;6$uyzqbDQWU_-Yw#j$6!5T@+8Q~Bf{pB zr}L6KO&)uD>uK`X$NPxM)3v~GcJ#v2%a}aYuo6ldQWTXndB~MDcbv=Jhg5SRjJfmd z1oX>@iB46gOR>Llo@j(y;v>evu^s5;|#lrWf7 z9l@mPL;Nr8Fj(v5hI+iZysp{AWORA37O`|$N z)FrA;b;05{ld7Y<%S+X{NZ z*g7RSohX#k1Nq+^j~eDin^OT@Op}ns^x$pJE~ZCeW@E|aQDQSsF>SHh%Y3j|9B$sM z2XsO01W9UyA1_HfXxqsnQs4Iim71Mo^;f6lehOKg%1~A(0!HTeu7_3BG`fF4Y?^lJmF9qxg#c%H^lD;9ErgBdoM7T!K7E^ zSCRxU0lSc$ILw2?R1k&9_W0c)qGA&s9vcmU)dknqCxT+B19m`LowsHEnM@ax3Yaf( zg1%`z)byk<0B!N{dC2;}h(^Q}?^k*mZ;wjQ`HHH5@pj>C6eu|0MYr5_a@ctz>pK(d zdW=avVuLj=+4ZMx37))_6QlbwWH$%FQeDu)G*vQaQ~}W>6mxT$N9+SkyanvKFFz3w zoOs~YRahn`Vin!cickd9CjWkgW!0p$)qU6b8Pc?5!t8*vIz(G1^k4oAzF_8i`2=f5 zoIOG4+&g_1g~=;2WH|U-dIW1XuKY8NagDABsj1A)bxD(GSgkF3+ih{RGL}5fUr_p( zM|#`&P+JmbRhc7Jp=Q8gGJeamZN%)DRQnow(T0^FUh!+)I%=r6HoBX(i!;{^ zLI`5X7X2vy`*N&X2L|rdh{__`EVrfV$j{qeLdIg4ICO>E3AVm4OIcdq?CnbdL)-Ov zFTlDo^}pxOy)P%bJ}{U;acH)E|-jA-}>zb;eu@7Nyc3%3jfQVlLb- zoCH*lmD$}c=tZtLIXNDfO?^KoQLmw@TgR&Pff@7aNB=CbQ(hHi8QPJx1Ptcw=w852 zo#qTh_KV=BQ1J)|TcX6#a3a9d-?EXN%a}Rmcr9qV|~8VXZo zoL?G+ii-}25#ig8^vH?r!8*cRlt=V)a)IFpq*mZNC74Lc zFXyUi1(|-GD(Q4=h&x zM#mMI;S#Qsw`eR9>peoJ5#-rWa6BkWxDBRETpaJ~G<#=qxT`(}x>3$tqQPA|b}L3G zvcx#cow8Wo5LmnF+T~2bm*Xl0HwP(Sm{tBni|aY3fb6x_{$f^B5O*ru2C)*eON zq3mMrMBh*zKE9|84Va%fM3Ef_WptUqzRZ_9hx)vdfHim59Z1E$Q~ylY%APLa$|tLWCu25}0-4Kukq&ls@28`hbjGbP8J3DO$RSo zgtJ#HM;Uc6PllUU)ebF#aQVg!1a)nK zcuPbL%xeus>!}2?Jh)AdaN}gzoxL)m*q%%|5>K6E?7TYRJj2X_X7AibBH~uet0Y-% z4n;Fz{LN$G!8@Ygi6SL}4c*dwtPc~G8dhs6(YW~-xM})1^ERK1YMJfze^*nd?(KHM z*wr1p5Y@#OS}uiL*^ZAQw=6GF*5RqHUvYdLK1z5fhO9jtSP*A+Tu_eJS1nobiTYsR zw5fcBq`0G;&HD1#qAZFeIK%bb4~h%?4Chw_+a*kIxuC3F3@J(XKX^a;!uoD(WELeh zGKZh3KxS?c(I4BAW4S?TCv!~KiBaI(!;Qf)mGiZcAt`TL&OOZaq8xsVwHo8@{iCX4 zPN=E|Ej9yc-zQNz^Mvg&YY{Yj@IL-9ckw=eM*-LN95#vo-Ed))Q->-Ca=h)d&UNV5 z3rR>ULhp~)GZffu2c4Ib@&m3Jc@f&cq#Gry8#EF{5&XpkuF?wbZXax2bxQt&=*uQu z%u=mA2RQTJAartD-9)p5HI;&nz1KT$n-2rO1(hMJnR4+!&a#pVH#HjUVPQ zE%NTwb)xrCX!t5)7)}FEyrmbfB0Q@_Ky_QD!BzWMwNBo~6UIS{vGfEOoiqF9g5CMSRhWID4S+hV7z zguq1}Jqi)Eewk_8Y0>=ic;Ik?!+L_(BDi0aE>bA6zQ`mN{mczZ#&!-SyhW!n zEa{-%0SEFgVt(oW6>>Kyp*dfBco9MDT4eQ6mcywC-m&jRSYkI(AgHcA{GKpn@Y&?p z^G=iyyB46VgKyX!?4`3UA$qqCf$d4`T7#1yHtdQrUvY-YDzO`s2#B(WvY)8Tk62)TUrtCJ9R#xK3cm^B(@uuAM?at8!sq>b(XbJnbh+yW z`_Ka9mQ9cm$*1%fFA;GOcmbP`d)X46nQcw0dwQ6#avJv5ehXW&N=$EN0!w0PclwjM0w#k`B9+HZg}S0)^#hU!^xNPRFmb4x{r%%r-6%&{#c z$YhWyrle-fi0ndMxUUAkGLoli#(c$!DxI{yl+K$Du>ZIv)lnD*FJp*&pQ`k`0L|kl^9zjJsP6kCb0JAtf z@MOFFq>w@(n5hB@;`y2gR3j;BzHAC4se3NG;7viYf$M>f6Jf+UJxNH6^&;T|w$$4PIYO~#;E-t6VJAreHi9JDtPDnr4}!n3w|C`7HC9=yj? zT}GH=Ato%ZxKY7TVr2YW5NHt(^H=&qq~bfyi$0lfzc(SJsWq<_LFQgDmxZsi#T3yixH)76`8U1tB*z`1m3=fD`M4TLBmv3B32SQ zyuc8v#*XSRQYAve-1sQr=N1&pj(Tz^SWhRYctIyH`R|93spLMc=5c(1RrsB4CINw} zYzFdmF37bc2$h-9K8wOu)~dnDORy}C^y&eb-PzU}|DBhUZ1%VrL4`3*ah?){Xb@Ad zc#9Ukm>mrHq7lUCO-eAKBHz#;Fx0>wC(Dul*9)-MYJsb(5IBO(lK)&ORjqwjRhMtU z9;IMq5&GjJ1uM2>g+XwQx$O+vjx%86I83;iUekOKi=&eV_lx6jSc;$N_hnC4L^~e( zGLC~$nu3Z3jtm(*IRzLcn11qqcY+OwE^z*9=dBJ4niuav;Gb5<#oxg@>Y~7aTuBgC zg%F)aIO?Ol4$&M*qvomEbqeIKn~d^X(3#2FG?yVDAju`d9IZ2$5tgt@A58=VS?wDA z%+1-D0K*0&yw~x57-DXU>06cVK?cncrRpfs;I6(!#B5>oM%A)`4DegP3!9{O!X_*# z9U3u_EC6)!+)j}BIZeGH0pkq1w=Fv-@^!-U9up}M%*@}I8zeyl;1jB;F!rwk4$TS@ z@RAKB2v|ox!dj;GntwMfurbGyLsoB${5z~@3*zeCwdghO@<5mj#x);we!fvHPOF|T zseIj<WbevmIq5^Uc z{+m#>rwu}fQ$)LbrgQ2x-ld*qa-j#O|6X9}uz73~Q>e;R0=&gECPVh}&xBbXgofGI z3eTZT4%zOdT_Jbq(-k-A@SG0PeRm=n#x!0F$%qgWWcYz6G0))k*1ZQVb^LE zfb48?32aPUxPeEtJ4P3=JYkWiLLykh64cjlvj(Imt_-v9U*s>sNqcCr_*$2?c*?1< zDZZWrgm^YhGRA-6Y_!nD8SFYV$4zfYurUJEA#CTVyjr)Q>GJk^tQ$?arw3z$wS#Db zVF)o8pRC95l5@W_zu#975cbKKYA2Xxik!K}f;+Bn-WU{`e{R&)2^f!FB0=%8|*dcuKMR3zkc1dtFs*yVCHwCRvrow@dXz zu21GqUyDMQbG-jvctTeg5FfDEv7RDCNeLD&0&3{*6VxHi;xGtXW-%S?okfM#U1wln zV1gjN-@Ul8+(IYET#wh7^5U=)g2N?-o$Bjb16be^7Kfb>94@inFx|w0HS5}Hc4koD z5{J1BN(Iy(V6afp~;EWWwbEz@5iY zT9r5B&n1=S=3|#nzu?;gn*C=*I~NX81shYEXsj;T8I6U(`tuD z?9iPz264w-B4DYGvjMhi?n;oC}XGyTeX>XLPz7@$D?`1l|xh z0SfrRe9LHh0fJgZ9w9z&YNf$#ddy;a@c|o8OsqRzZiY$d zI0Nn2*Sr(P_2T!t5UHicAawA^yj_F~eQm*pS7PP`>*$s+_4r`!2?aHNKeZ--yNDy* zYhvz}DB>=ChrNQQjFf_IN;q`zj>yunCOtD9yIMLBC+afV%$gS7sNFHGoTJKg5Wm}j zG3<(Fh8c2k(^ea?I#rX4-SPVh0%HO!x!)Nzw$Pc8Ijppi(IcNsAO7<+==XCORZW9;R=d{X*gqeg6$I~064cdOwC@P5M+4Xim#6Ps1L}*= z*ntlU-svM&pVWwUmc@{dDuR$Ty_hv(LecI>Q0J(NXSz=?nB;R-<$X>E)0_}BXb*M& zL%FfEsgNpa;DTftf(8*yta1ALN(7C{&g1n(7<4N1VLFgZD8}i)bu4R~3dcANj2ij7 zR9}2+%S`v`L<^nZJVzK+tq%&XsE@IXY>rrME8UURM#-H5 zlM$$09x>)d2~S8mcPV0Kb{65mEvJ;=7KI153JgNy#8MnDqR^ztGj!m1Og1!SWKG{Z zXZJ9wHTn_RW!gsz3PVXI4Qse8+9E-Edh4+B&OD$j(1EsUA5qM9nXA%xqmlY8z?>?A0?I_Upj{hpZ%z!Tsn5K@X2FRP(gTb!rbAW`wS!5?y;qp8qUd!%I4mkcj2z zJcX%BJJ=Z>#515511S*dr86Kn_QO9;r$C;eZyf_!&8vkX9ao6GuXsaw;t&k3AB;#w(o)fju}RUqu|- z_+Ho#h89I9Ln5rBpQSgmk^nzDC~jFq$igL&cx(@f8yAg2#pzP+F2!!jx|p&2 zHA&fGY5t@_Y_nl%6k6&|Kb;GGz%unMzYpd<^nJIDpNy5SCMc_Y7cWnA)2RsCqkV4Q z^Y$g2VJr$ksWh|XchKc#VV}2^ce13J?Q+RWG&&@?)QB_A@my&+fA2A2& zXu?AQ9wHQ|?iMs5@7j}aUI7_cV3vn13MlP@$(+3=>>jh3av*nH%?b-s>CtT_G!}~i zIF}A%{e>W3ReIqXS;;`ze7(aPW|C_5jfXFxH)NG)azZj`H@64DCxBDxkCNWePbnZS zQ6Xe;T$_^$P>GVFay3c(^?@3Px`;Ej?1)PnBCGP6%>hD_s#ftBVA>^p4M7geP6u|K zR{k<-MN=1X@Yi72f-5hU*Q_pVF71AWx)(9u-@*L{_?d8<>BDmMw!gn9)qG2a>urpZ z%K&QxCcLE=uOhtD?tsx_`Qzl;`vB|Nhm1ivyPujOV8(Iv`#FNrl#^~SBqzqtWR{RL z#LO6zTpCwBd0>~Z20&0MlpMoDx-3IC_HbWS9&#KlK1(#2%Dh{eJZx>5=M&etyq}N< z?`#izUD-ngqhUS4OoU}Q$umJB7bGd=(b3i8l^i1efq08fWx&HFr8{gnI4#$9-fTHG zw6vdxEhn(sa!5$FoT4*LscsnF*+u%e2LLW(h!Uq93uMYMFFKi%WXdVNRLGW-F;L6K zoMHziu3tKQxiKd}8FPZ-#+*brF;WwOgX;KsINPB@$9xo!X~y9^hLnzYVkTQof*l+= zdcSPg{;HU`;zF z)2gf*?(z#;PH_!8Y&kalcbb1-%dy_SWHT{a4)Nxzrykk0fMZ)*l8?MuYHXD&%hi|l zI+JfN@RVkZprzuT#8TQ90alsf5E%%aT)=rZp@%XtVZoggh9zn5&(UQ#7#qD_uw|?CkbhY4m!5 zn1Ir_V*Z3BCn#l2m_x4LL(y6C{6>tw#D<*9Vah3Ic>2PW^CipvS-!1ona!fG{=ZE!GRa7+}2nizolKMflslys+Be zOgD|V)_=Xp|9Shr35xq>?4NJW{&}+Y&x5yH;9%~bzqtG7i@kro`1>arhn@5{hyQ%D z_|F%Q18>3QAO)BIe6jh@7oY$91EUj@{$mal{15+Ww;@`2Yu9-&Kj$y};_a@H_kd(~KNCl96$rlIue3T|j{^A{AP<-_rXKfdz-DaLjXG<1z$!yJHAKfsjW>aBYru%IhHRTWHw%Kr41f)| z$B-p(VZfn_4MD*fp^YnxGnv$CycV4c;;MywSXU+N1n}C{6BTFrC!?|!qRT$v(LE(t zXv`0GPFjl z+Tt?VEeHie{FCs-_z$0Brk^^e4i6vqY*~i_%)xtv3i=b1j;RN41hMR4XbuydGMATK zL@ENFFW9SUP_H5JH-Vq{#JI|Yp;Z9YigC)&`2-`)Qdh-zrOxjw2o>%kcBk*;LSbsT zx#W*Pa5l!ao&@CHg42s#`8NB4eEWM5c<*RGe_Zq$9ZNj_rtLkV? z;c2qu_AjzhU4c7e?(GFg^y9E&Z}eV-Leo6*JdNmq)p$dw9s&~l=f-QhHKYRK?P!iu zc+)0sFQ}_>&$`3UTE=Qs@b?vjqG;VjKx&r-ZJqUN{MJG|6g^AHryMwr6s+lDdM)pk zG3L;0utTH3)g6zWfMh#xBkrr-Ls9I(=YKcHVU8Q-I{k$!a^)kommCF`OWO<0ToW)p zNCH}BYooaq?;~WsWl1V|39!p0kCK+0AaG6CUdne7i-hi}7w(E+G(KDGz=BjegMbBL z)ALt}6~SM3Mp7tzEo8CRCuA`RTV4Qkp{tJ<-qgF0uQirgD!{`0 z{5Yr}E&{J&6k&^@ruN0io0=SH?Be$Bp>v0X?T~d7VaUi62x0Vx?tYeA`GMO`6Oxm< zBnl4t-icrtaR|;Gd(xq;>6tPCx#njIpAD;hE)g`eot6NC!}&2nM!|u-@^>U|64V5L zJ7Gg*#-o6xG185oM0`0Wr~m56t|%Dps&IIIn<4RIjVY)*lp=NMkZoY~@D&39m)nes zqS!88v_ObUI_HG(1m$u1WNe-aTqx`lWtqY$$ARp`NJ5ooG~6_I#-tv+#;=#CQW+!IdexUgn(iNd^PmGZ|% zXoeOxk|2(Z62UsZ>83nECU;(v-LXrURrKmO}@Gh#!zv3AP{pJI!*N4{+gBokcL`YlBiT%&T@5p2C=4*|67J z*HIlzv29;SDqAl=-_O{$$edpa>eyt?LJDMN7++qN(- z#9qnAPAV5R0@relW~RlNVIF(qHX8-#0*@`MrQW05kK zGz-!w+`ZWa0|~CEj>3JYx$sOS%}%gi4mZ6%7Z@6Myy-C@jic{Ouqim`q;ynzY})Hv zV7?tDMPfs>J>W%YM?aL=HRTIa5h^}dTc0_2JC7iOJlu|RDb4}s1GY7_lV0I1v)+rq zwrMYrN@`2doD!!42PUYGD}T0i**?Kt8Ok!WX|-UF?}59qxTW#Ht8F-f;DGR?9Gn*C zWJ_p1qcVYnN!n(5E{L6so{AdTlkNUML-!-#TM2}Uj7x)VpowCJWmXnv(EmuWr|zZR zUNv}ReDK=9Uq*sx6q?9p5C`1zwShDYmm}O(KOJ*1v~Rsr5nhUk2n|;|JiSLf0>7F3 z#5F+p-B_{{eZ_2Tz_QZlnBB6U>>U3GQk5iZr+7Q8bXySkNLY4MB=9*Cu72HQP%gSr zd>$m5kq^8R<6^Ne#Gq!aJxcJ9%?L+TW*2H{+&>_L%(Z3+(~L-m#r2yzeG1&T1D0O% z@zo{{`d6zq4c;k^nV9tL6mrbeZF|Kb%iS>;^n(y5le)a~bMLV?tgOUIQ(m)+BajP5 zB9)iwFp!IFw;1P*&I+p^IA`4Hb74HYdW+Ql~{S=Hx z2!Se>6V#AZ!XP(q8ofnJ^6sgtxH+(gzC-&eeQO=#mnV$y<7ept_G<(WZAImZ*<9L>EyE8jTZ9SO};{BxTb+N}p zCkGLx_PO&WZVpWt!-K;;lpuao7f_^X&3Fk)Am;7#gVw_d92C5XG$>tdpLD7?bY<#O z_UY=}!;de9E}Sw7TwBeUeKPkI^f#L$%ybp2Fyo6Z<+$?#^0PQrLX;TbM_pg_;c+r9 zt8mPT!Z4*=CW4HfcuOx{MVMCMkd3q{@@CciRA|&8G2M7eo3ae?1v-x2ypyhW#a zju$C@rVsui+T>_K_+&z17O(Y4CeY?!h8X3Aag)iS^rh4xzNV#tUUf2PUY+zdNhGfc z-RAy@!utvng$JM;1C&}#1ESO8E)AK%(1j>5!r;kJpurSr{+0JCB9TlkA@S`$P$GLE zDIBin&ZxPrb2tuzPE%SM2F>?tEFjkN_&7oOP%%cSbL>v`rwD2sV-9>EN%u??ntUzX zq3&ay2O=B9+2TYnu+7Zs*&#@2*tL8RgM9JB8gr zx4bCBMjJleUVikIuSJ*vL0$8YssuK~VJ&ue@XF@*B1Gek8Kcu@fk$?w;mH&{-nImh z2uct)_rKnYp!|NHOu@zKf<91Bm6CjpN)Ir=+I8T_SEG=@mx_vp)i`73x~QG6lD`Ql|zvIy)i~i%FA_lYjx&+A%T$+ zK3Lbb^YuN3Vq7&YN5;$F)!Z<48Kvm7k9BRKHNZ>s?8-+Z0^92g2a61;6-->Y@M^A8 zJcHOd;)sj#f#=9sKIoG5D8Y{{aoFf>3$2|l>8ZIK?FlQ~Ewh6z_-EM2c-K2}!N@FT z?x@$r#85H;EIfT5M#>haIS9U3qU{y=XIA4ZtVw5EEV0uTbRrclLzcLKo)HPP^ExJq z+y^`ve?sJgk_zM{5P-nLx(7bFb#uzOyULI#Fwc@eInqSlhCfTc4{(!_GF*CqMlC9YVJ5Uh16&D6mFyZ0*L zn?BD_b7Mp?UYAa4hUe6r%R3$u?8jz@;O*L%kjjxpHu`sa%z+BMI6KL zGvWFuZ}%8dFG-|J{o@$B8T)E)c{gJeNxUfG)(sL?+iAiErAi+<890SdIo5;tAU~_F zaIrp2yQn2F950r8y)=BIM9f5H2U#;O^4}#&Oq!xY_nn!ucz!w<(7~YtmHEJx%ZSjE zI^0UG=7^*Kf6F>cp)vQv_Sb*^Bj?okmw&zK|LjLxcx$ZoM=2YKQgbKt40Lt?Z1f=nU4$@a9g}{y`AMtpy@%4}9;o66~1!L8!~e z&kj>Dz#t5q-ZxoRI*$n&Oo@GZCaOD^)!dL-WcI;{<{)T!HEXr5!+3B8?z5JZnn+kM>j)P*3FcOCNXYRtzsnl$sBs@ z^2kO{1IJCWQgAVT{qE3o#su$8%a+TZFcy3=p|y-UYKM3bB0P*#xxgFXAZySM-n7%N znO+25at%K4#<8ZY=75CKpuo<5G1Ba*UK0tqsL71SZ`>KWZ9K*)UKK*6!m>}Zro2-55aGk|v5zCW43RQI^<`3OQkY2XIF$0RYnzeA&T0&C0{kZ|I> ztSjz;aKi`V$M=G~G15FKO*B}i-ebD+S~9?ncUgZ3Zu~l;YrOy$-gx}N_QzlU&IDYu zisun$ziO-JP5L@I6w*h2nlVh$i;zvYYP*!_2$gl&WQH`mnERysKj>BJ z@M`iZb%M>?cslOg=F6M!2mRaeyH~6|A>aP*c2Bi!bkUXAVL4%Sr4z&)dLbofP77P= zOy$H{-KkuV-XF}n6}?p%)-Jg5YHSx#9hW8Jas_{!PMxVc7eU>LBwVRGE3XashKH0t zCs^t^dQUpRj&JOeWC<}_<4;^pjTkXO-WF{;tMxlh8SHU-8V^lYUH0ab#bPI@hI-$E zSiBa8LD({jr8YQ^=-3n-q|?8{@E46K)W=VrFcTL6ECvS_e@9F}RBtd8D(1H1;I9aa zzfJ&u?ft&kf_-#R+!lY8KGq4!bT9Tgntls0aqt#+4F-RgS6(~q#oI+7YljpQG6$}! zt%mq9=+l9`RTe-0nWr_*+QDE`yl(CP;`3mI0SF@zJAs&(*EAv*L4agLGpfW=&o30 z><0I--qz_Fm0k`X#`u}%+gNZcU{~2*I|KjUfm!+!LT4>$i=ZVK6M)Mk`@}5FgAPLu?MCp%Xh<*6V*Hw)YQU*m_7eeft;Gmz=7l#}$aT68 zj2>VxaR45@H^&LW6)EAp@n(3qUziuY-Q3OqAwc@XwivD^hewYE!wwM!PVR*^^2N3l z@%xAc!$eEKi8zFJCfL#ChYrBD02rmMb)Z_&}e*Vk*d{Tr)cZKz02 zh&3h%oQLLno~GsGogYa>5@jti=9|9vSSIEHrw3m|=HWepB?hjI$X)EepS-ripT1}x zjRiarxW-JHgrY`v?e|)99C@D!$MWr%tGB(}B($&2@J?OI5ZqI74B@{Q*g$>x4yg5e z3(DfI6I4CD&*4*>e>-7I+`Y6%7Jra$c5$!7&WtO#UHE-0b;)l1cd?1bEBrSSdly0M zt+8Q|C+I%Y=Ev}8X%P>?&W8cCh6m&tZj~K}ui+cn+g$YQaNfO+wamg-ccf)@GIwzN zenQ4z6Tw#Q3@vPM6sD-uu4-n;1taP zGsF)0CHvxSm6Kk3k4`umTflM>hZ8pJ5QkJiTqzf8@OoGx#kwted-49DM|bWDdf)1< zq_FpxzZ(u|W6owumGiLfmlDO^!Ylq{^ARB#eS#8_dQp4=R-9sm=rh9EmMniiC_b?( zKPY(%AJ22GhPXYwX-h|m4fox>ry{!gDqcaSm?g6_1vS%M@a0UEZ?SiS6+ZB?1jRF9 z3d$7IKj51=o7;cf*D%aM@I{0I<^`&Z2{Z_0Oq)%nz)rI3rZ6`Gt#7RR*u4_zu6$Lp zePK5Y!6j8%C)J*yr2ZZc!-e5&x!^_q+oQY3&wf67dpt}R?g_iPlIvhH_rV!?Spb2P z`2zU|>Z7w0#g__*;Jj4KY{f{}wVPQk6LQ&3~?Fhr<#_wq+1* z$(rE>7R#NWdio0k@7bWSsr65jhCOs#J#p-K*fii5_%Ldi=io86RN{QtHQ+_L3>&Za zgCd?E+)*rHaKKSvRb4PRF2Z8C6R3H@KeQ#))4s`l(BgODp_3OVI-+uZI}Y1ikP^q8 z;`J;)XXf|?56v{jN!cD8XE@{HSD_O~@Uz6kagG;SYkb@v3KLwfs0h5sEMF97IRhS6 zU8{t8CTMZg{ewZ-^#ZO|nuURf{1)K(8Vac@?uH&FY$&nW<)!^=gFyYp`jH0PD8g(f zZdyXWs}@uljpAxCPFoKy?x7>upnn>#Fgg3O86he{<}4h3?3{B;^%Z5+>l5NDEu=y4 zb(C7(T1VIB9{1~f%T;>N_OLkH((?Pt6n*+=v5(TX6QwdX7M`ke)dyGYy9q_!p}X{Z zzgu4vi`e!I06Rx-p_H(9LgXyW4OmfhW2Tt@6sra$7CGdY1b|Xpd%2@agctXS!Obpb zDlynycmp7=eD-g=ofP$8)v0HCO*^%zzwm`SG~bCriKlmH5+RMwF~Y_Mwb-0#`oi?< z#1%SGdS-;R1q{ksRDz=R*gMy9xvkHxWhGIxs+`EmyOsp=%+*-Ms&d)_IUkzxdC3;& zMXsee;}wO@32RkJ6xWo`E6$Htd9m>?yv%-ov-;oc9v7^_X6_mX?{NVGCl7!JZ{9v= z{|ZFaaS{A5C}84Y}#rhh`7`0ESxep(#RVX&B@Q?Pi$xiMJ7*bCq4N zkxLF4H{t{yz98-CqFiT2X5B9|_YtwjT8&>X8TSo6+Q?ntP)eFX+sEL&n))dP9>P^6;BkLN{HYUYScu%>0MHE%5!#f+RW7{mSU7oC=uGfBht4>hf+@?pK`~?oZzcgd2yrNb#dH1MVeX&2Pe2&&r|c48#Nik`#nm;; zJGDBlx`P>it~9lMXx^ozE4&Jz+eelV=;EI`nXiKot;NH}$+Y!GZgN9tH? zQ3(BGMDL9Iq2#lW7nAYZbB{Ht;esV@f(h|)#KG!kIF2s>uVKCUoe9`aaE;g!VGr)I z;v5I`{0ev~NInP$_7#>Phns zU9Ac@V z7jFbPxM}y_cix(M$hF`(Vs+;cEr5LB_r)GrLv~?~*h1$i4cH>*8VhilTVM^P_aelI zbpkuSgfuC*TE_K{a_A}(v9#o95b}zOHC~G}3~mFze-XH@c4KhFNs{MTq*^f%X;!E}8v0 zq7Ys;BS9t!u@?5Hg+1vyn>y!#c#;=y%&LzBzzvpZcG&3GiWj{S?lQ> zJU#Z+cCu%L?L-wrV4g~b3%sSpd==T__83}uB`<~y$01KfOz_LlcU=4QTa|p~cV2EXujntTC>X)3vAC4&*>)boTyVPM1mI0Nbxn{3bqT{N z{vbdqaG#0H(UKgA0>yvO_O^5LkGJ4}MgHMbXEzN5C#fQ*{CK|!(_Qly#qWE$lyAhx zpDe>ZJgsp!8}i?IH!emy?$dG1FKq8bI+THKmpSoo0$0LJxu^{7MMgMja#pW?EzRy7 zTuudcDw;51E(rHHc4MdcBWxYKist3E4xEFX+}`32BZqG75JgklgpTE%P8JSNk-f$x zPD;f;mS~#2?z3Y@hh*R}{Z1D|n#$<$z(>>BV?aJ|@4dE132w$@p-=ei*Lvi&EFYQD zRV~MU4^VA+TvXmlw0@_e9}s6PG=Svn+KUkjBO{0%G0WybBM2^lDe0ZCtEZv5c&#c8 znTPcIkfKCW$inixdM6U~KfCNj1`WiJ+6xBI_rSUd9sM0PE>MfLfy4z zl}Ut$^V%l%>w#_DZ|_BtXvImg8vtwu>^&4+nsjh~Qfa9Kwz}N8U%c1|(cWPi$&{`q z%JGC(*he-!;VXa_aon7B!n#|-DcYZZoU*xpKH^M>U#=Y=p5?Lr5Z(%c8(`b)ra>$;x zYo0(makB~J#ZE&CFO0ES;5NE)yuPK_KT9^I!Ra22S#mC%$z$VcxA0lU7UDvG;!j|@ zbXt;?yIA4<4M?#fz??mF|JBcy@rW+yA{>=5WO`Y^>4_Cd*t|BMC~{O@LEtYuDwBu$ z7ahM| zwST^N`{!@w{`rf$f459$%{k(lmn;p%4@3wuA9Cw znp&7qzBAz&pxw`3xEmBi+!fsSN!S=wMoLFf5a2163Cw^gh%kp(&8h1VE*&w_kERue8luD4ofL z>Up*Mg$UXBT}<`I3(r>hatjez1P;}H;ef$wClnPIV#I{7wVD?n_KQ}d!d%k^3lYNr z;a5~TOfra~nyR}AWP~N{IArnK>S&a;#ldS`b?;jE;#Ws?3h_-m&-C9*mkWO)Ag*sg z424O16d_{9oWcQz7hpB;@u_7KS^av{RuHXaE@3Yq^QAxD=xwsbvlC*lcJ39uN(rL@ z3r-y9JE2aI@5^!#JVUL=6xYtqFk#n7SBE8VP!u)gbIx zG(So);CRDD`8X#LWoS&-odFgO516EJ90WH75k4Ypkgmf9ia+uf<{7+yui#!NRfoW< zyE)+#^|x6xpZMe{QYqbkwkgvT!q6HFQr ztNWnhXjHEu@i!qpsd1GF$kz~+(6B^q%bB4v|LJ;fbP@fZMJSxbw)N?(L!)&XT7w|Y zAxiv=I3;Fw9kAg#)Z5>Sa5DUH@ZhZSjM3jtD3dQf?*+WJCj$hYmDf20f(#|Jf6cIu zAOaDFHky@pqy^lepuU~3VN@^{&Wy6^g4BE&tqz`0`tPUmu3|_LZRzd)yM5g(S_eb3 zRJX6Xqd6^<6nn$(%L%I9Clf5^XM(n}-mq$n(uD50XDJG&qN^NsrR402N;8vNAM5vt zK?UX@8id$-n;W7aOkQn2qWk5|E_p$2;M(va_Mb2bxeIySY0Tdh6Jdn_a z^n^b?w(1i8Wet$Bk zED`=s%HAbeavoP2oNELc&yH=wR0stk=zid) zoZ^LmY!VK;`ye!RfD%{m-WWSGREwrT-MIuA9to*FgtLN+uCA}Q7)u$3|lE#Jk6(Rbr90ffd*hE?||H3@q80KU~~MNB@cd}zA#o5jtv z&KxruU(c(Fhqe>8Pcx9^L)!p!(tg4(=XGjDdEayIa#i6NM03Taf= z%p%0SoN|=83{Qxr9dpqa;K|h+pICMIrdBc5Z>sB-KQig{0o`ycAW8A%0h*@!%9>93 zu~V9NLhibi09OS?a0fF^HXUXP12&+CtBP7eg;2KdRTwNIzQ7k{oaFcQPm$~yz<(cw-KT~{vippI;_Q~e zY1H%LlI1Hn^!ZxAd4+8?cTslwO#&26w|+QfOB8c>$b6tobLHJGMPMQj>%`#Lvu`{e zYWifN=No3V3UCd>zVU?JKLv<~Q#kN;?JX(nI7mC!<^6(5b}2NbFm1h2GcR!}h`Egv zYUiA=Jr-M`Fh>67$o$|(2Ig2Fg!z#!(cDYG+Qqi!{RR8>T9LBkx5Eew!;N202JX<$ zYKBDap)c&`ik+99Fe5O{;*rKBi!dQ-Oo_lzt3tu1WbP!AU~u}nTr=;-703|wkt!)z%Zp$jm#IDSfCKLkE$cX#1y24Z<$_WB#cO|wM_OWzSYx>E zFj>8G><^q>@c2!0Lb)|O9Jibs(tX?UST^P#tQoGlcoCWKAxTMHitac+XeqLa+_4lL z@R)(A$B@P<@b+XJL3t3`*Dx*>E56$i1byYJi0eBac0l0m(YXtSwzUk`kA;EGS9>^O zonQ}_KVw-h@X~U6Gaw`~n6R0+7g0!jKc&IEU}?x7fwC9a!pWOZs4i#L*RdPApU|wJwHXJTaxRgQo%o%&bH7QYIw_Sfc(;+?yP2X`^-+W;*RzX~igoLI zoYRAFL5^@uyORGn!-x(!&pbg%O}jrJO}mLo=N+1MbI#p6H0_5IQq!)XUB<;<2>PTC zuFEfPWAv#KJ~r8yfK9VMRqfVK$S@y-26@kX-ESN&4gelbBufv|X_uAQ52Rkm{l zbX~iURPDZ8yQW>gN{>!q|47^wd%h4%)Nw_-sxC!)up$-h)_qX7xuPBEglj_ zv7+6FrX7(Bc4*qQ0l20;_5;^j$Np0Y=2?}BcHc3m85aknG&fc=NiJcN&sz|%HylOH zuFXL&;~E=Z@9VI7J$r>@Y<G?bL z?H6x?L%)8-Y0VYv)~2yi!R{XRu3#tj)T32AbRD~#-Cf6y?Y(YGExX>qotPJm!(v)$21NlyHTXxesbLoC3`H~p=2ke zDA^@ShDtrwj!KaQwsh=KQCG5G0m^1uDR6sp4Ljnt^whAM_-V1Jj?}PUG(##Hb}c%o zVb>9%LPXt=hTX6Fb{&(x-Yo7%gRb zVRTZK2d9H}-76{OI$+VQ8&A%=>egf74CVSoYgpH<$K-Y0dYRwSt(PLuU|%vEcHO#t zBO*dbx2}AJMYn$W2~xUr)6e+1sga(_HR{&w4{6ub2RBu@o?9@o;Idx)-L>Y3D6}2( zbx*1lyLRriQn7BDn0cpSUDy@tPB>C;Zf_Vx(Qe-uk(*Z(CX%JPG@vQgkHAH zmw|Z-czscB*Q-;5hdtF5>%v8`UP^Fjo|HKX-s^TFd6E`=_Fb{=%Y!S{a{+P1dX#7q zE$kSrDazG7+3S>>9z3pCr$qE?dGUm`(lCl$MX!!bfK{!2$yeOfqc*0SLn^|(B3>e^ z*q^cp-EmU5JwdB)g$zI=9j)ccJx9S^3E(S*LaS8j6+@*iLQ$zl5!Y;{F2M1)JkzXG zpdgk;{c??EXxDUs=sNXMfDAAA^2PLWHT#pb8t{x{%p&$+&VU9zqrf$j1>Lp)u1kisPu% zboID+rn1_Zw6o-UCdf1!v*qud9?xymX7!82o#!h%<#3_~44~nX9D@VlUuhb6{8g zHFgm)Me2aor25%3-a?x%SsIhz-pQr~we3O#(~&|jYV^D$2Am22M7&*%>x2g`WcIpV z5#!bgzw-M`!Heg8h9MG?WbVdvnie_71OUQ=dS56>vjA3EfBdF++C0N=Au#9d9`eTa zDmu%4LADP})#=#=60*CS9(Hoz+A;cF2{d8a+?}0Zk!nt5thHk+F>{A*={QLUt~x=r zf{^0Ca_M|C^=3@dWa?DDV{v6NlBM%(a{DPvm7R$fkUqj6*9MSGO@f%ZWHjMbbe26h z=LFmmEWuP5X4u?-&NZ=h_+Bw?O}k)mTSzos@sWQRG5s8K79x8BSF)IePKa6f*xWyI8a~`xeHJF1 z$^t?h9sVHvonzz?ftR3n;lp!JW9B);IRX4ZBo>Cq<7w)V9Ys@qKa0bn(LzbV{AD#o zjquRo6noA+5-uGCMPTCK2MTX!BQ%|!D>6bi2JJX`X!74_WsRxxS@gi#BX}+S{i#jQ zWM{!`nfFxFT>kU7_v-UrK}UFbnoGqRoiGGGg>|F)akntw-XyrqVNTe}4F4 z`DqhWrOgvSKev*N>-Px-b)uoAm}@BsxpYEb{=H>AI$C>;ZwYzK(G_s>zVelng?p@b z5}4Z2bpD>motEx6>E(!?O3a)ExIhM^5O}A;esTAMmGtCNbmO=Tr1=UJjQ>1gju5X# zj&-|hu#+DV?iQ4ln?Ex0uRY2j9?%8an;FGF2{wK0VP%Dv2Z^^5>kOg?;ZWrTbRBN* z+x_R)*rgu&qPAIM>krh3rv?`ke@K9#?j>Z>@aG?y9yf(=@d7@frTRK^f=ij21O+&6 zlHr*S`kPXsd|WYJpl;Q64wVNh5t#bc>0@y5o&oCfrYzM3OEVOd3Hzn@7iA#8X(61n zp>7&QD{kU&-nGfkt2)?jwcD-r?GSi4=4D|mK((x`3%slu8c19262h2v+oe7vytkX3 zxg7D$TBR3!ZxFr4p8f371vbCZ=-o%+qVI(!fP&xbR!98vkH(d)i;r*2%&~|}B${hF z+n&A(D+{A(zi;k1OTlu8r&5n5Wg)ab)2{H~r;X*I=Gfq({}TqplI7u}Pzr6qXa(6k zz^zSRm`#PVE%YnWeQ?q;!>i6K&b4e)V~`yu z?6}u(%0tbP-R#!w?vXknx4Va`J1?-Q6S3z%!co927ox@9gWRE(`pu?|hZQtqywBk#cof2x zVMkJY8%&B)9DlH;A};`Mh1iIl5Mge{^;Lv&biop4{p#xGwE%ZRUJ?#XU+9ku)}=&H zreY}hN3ic9AP{uGzAfSsakeZlbs*u!nAms5O5xorq7IE1mXY5jq;T+N)DnI$zQb(< zM#A44q@r%cQ5l!2YORme%AL zJt;oiSDbY`eAD_GyULwXM!N@G&k`^yi#I$}a+krL6jV(4R-U`m-P!0;h2uOw=QBD$ zR|4-$6y{B5W@`)gJU>H&MTiqsiu6207dRq6PaLB4^p78s_5LFWuTljsl2yd@&UYL9 zHk88l!b#>2E--NOp?x0LNjh-(Z9H6kasxG`IF#E_1P&P3-F4@?M|>hat5d6;sQy5^ z?OS9UQisB?{c=sugZvsmaZucqufz|9;w)1RKd4wo_V7UgMFouBM=UQ}#HVZ@x!>-;>=s zJ2Lz8A6zt0r%Oo*R}&8(+q(1$Awu^*O8NPB;A!|_l>(Sx>no_<|+r9{eGu3KWvlC6=m-0L+N zpe{9EI_Aabtg!YwDKj zbm~TYz*G&w|8}UO$mutpEOArMaVZLHW4uz{6R*G;q1t~D@)U$yHw!R0;UUitM6WLj z?W`0&s^UjSBtXe(;{O>PPQ#U#0in?^P%@uXVDW4^#T``6))N7t9@(f{mmWbq98^WS+KO&%}8 z;b?MWkiXHSKcd`?gu+jUxJ31uU3U6%Hmca}h=k>AWQgIc>}G&SSDePJ$)Hi@Ff{4j z>3=WYv;u7`m2aU3Q+&!9Aonc#{PjBAtH{XYnHQ(` zklyujJQeDd4nnGh{e6`B*)OcRdlJpAn+f?6P3F>|E0KY9xhK&vJAuhkT^bdC1Ug zs^#G@e24sJTH*Sm^b^Wq8CauE4p3e%-kEu&15sP$n0e2N(~vqXe1;}7!OCfa{VSi2 z7-jVNAUwhcBu1Tlg%ZHPC401JCM-^|W5%=CQ`a?c;aaQvCW z@2G($m2VE2ZBR}>i#bE}TA?Fce*9vJ^ayC%rg zJoN85#NTWqmkhc0_^)){(fDwr*SfFIWac+{_IxUnRV@BId@8(oRGD|dxDN|-Kl0?! zPIrVOk5(i(@;IU+Ppq4Aq{3NZ*e&ASa;BOjQ%@Q z`LX4$GjCooB|JTd3b4)S!##Jj0?TnH)?<0X#KNDL>$7}mh~4of+Dj*#$*7Z#hV0=T zKbm2QnStQ`$Ja;yh}K81LnhwPu;xlER~;JxH?#8bPn(?>406sf>eBY=4mh#33dc7V zz2*bM8^^1s(ZWq7k%n>%JX0;ZBhK+fnA;&8aT1tO>}L%=w=N8{M%#A#C{yVNt{$PR z=2qTG#}BleiEz6}C!7z8%azYU3qgW`;!jN?Y0lt*Ba{v}@iY8srpL*?;P)^y*{J`F z1QbGBj%9Sd2|3E0Z_4j*zL}3=qtN$eJ_;J=t~XQJ!sU6RZgj_+=+(phZbXsW%~bLm zdfnKPcj`XN7H``Enbd=Et)|9;Ty8#nwHe*6OaN*?mA}dAaAV@hbrpY`DPu3W+h}ER zZ<|;0qcze!ZDI*^N1OQl=0%PKFL$bmnO+E-+U{i&^_#v%Z-lgS z?3-F4PytUb8-t6jwWEx2gJCq}VslvE_Hd}#+gFJ2u#x0k(AX78J5PTbRo~rf0)l0Q zw~o`h$23pDtfq127``=yx7R-@@XE6$IZO3p;q1wCQDH6pYNB@Zt10m2qWWAOYio~K zosRUXS#r#jS53^wLDR^bv2>{^znjE-#C)emjlF;URDT-Va{5)=X=b`oVS{!*NWp>W zSA1z^mt)%{eh-fVv>%7*O5?xFPeuxOc+uFaYj7&t&8Ymgm2%2JQ4TbM;XhM;zAa0% zJ$&De@$TvM5TS+Zi~~fZA_%V;G;rzFn|u3|E>$PuIXqK4WPJaf{xCkY-HkhI;sr)H z<48Dw(VYTO*$)52>l-!>oAXC-SQ3GJWdzez#t4mmE{kg!UB&1pL+G9%kep|DhS>F_ zLFK6zR9e_1K#k6)kBS%NmC2Y+a*+`s9c26gdB1#?Ub_cA0y9HSy2niSlVsSb`N+$f zzA=L78gqC7_4K2Nd-RN%Ph8{q&rPk>+0Em3b#qmbsGMFgR=bNnT6S!oo4O}C#R#TP zj0lH2yv$8=%Y-x1Wq|NRz^uAi%N>UB_(^w|C_v8=J2j4xFj*r}FTf$_R%$ReT=r zSH>-}Rp9iy8xiRLKo6J-?gO?T=(t@)d!PBE@xtiW6m^B(%S=mk z-E{wi172l|)*-5+>0htA49SHh!5j201afxKltkQGv-0_akaWVw=$g1CbXG4KA$eh_ z9D=g}$yhLL6g+XD@_HF$Kd4D3r(pLq4!}zi!=g*ff8ZFGPSEQZ@Yy*e`8qfx`8jG9 z*e5X!#k`pvI$cN2XS_JnyqWm*f@Bo@x)|>(SQJ=a<`sQnB%SbTHy@c$;W`W!5r3xY zL~y6X7#w)hXFPqj1$~9!h*}W83*?|y8#WF>);tbc0;D_#I8X&!tMwz9CP0?zov(sV zWp+{gs}sp1)L*JDyV4sHNc@hG@W3-NM+&$Ugyc(LypE$#U*ZrY@Bs{TZ~&i`Egbu5 zALm5?lfVctb`hJ4T+(b)NlwLNOW@Oe->y3$=efAVB_NwYK&JfL{PL8k=7!2Su}0SB zm^tSd1T4_SI8sF z2%02=bR#wr)qK4*rro~}`+m#^Tojp-nb3gwLtXLLDHC2{8zx&BH*UK)Ha^|m-y$CB z6S2x{Mg|Fvf3Rio_R`%Je5|OL7`$IuHx4eipD|Zf3dVatmR|_C;~>~DXpr#X5*RU{ zm|G9zOuMJyIN?!eci27blLNLRY`(a_C^3gmhJPRC8KWL$hU5qyD23U@nmiD%AD(FS zvWJ<+eH0*r`HE3ssd%Rk3IpQ?`}J3_pLp@o{CyOHRn?Ig`qV{TS-&g$18b4rv|7=^ zZ7)mVY%kb?$0Bd;Y^5yi<$aOgUy{qKV10S=MmsR&0IxUY0H1meed-Cv)Ju#J7$U{F z{5#2X)+3l6kW=kpoS49o`1sSIgL&PTDP;^UG!8_EK^na2=LNS%CI8h;zqxu`=;_N}Yx<&m0g^ID6>#L(@g?!V=>(VfDQ_YVNdgOI>nsQ>kVJDhLgB^A>Q_g16T*wGyOqKV9rX^3w0Z?#?As6{z=QBV%pcD?fw#&- zt(g(K;Wa${vcC9GowN{W^xz%UBNK|t6HW&J^SlBaTl!4{pZNn~;4`jt&XVoJcQB%Y zI`aa0wsMM_3TVOW77lILujn4~y;Qhg$2q5$r&|XIa)f4fVb&5F_tFH@q1(kIFz#NE zo6i5>D_??J#?B|xsB$jgjkCu@;pdL{m37(jTK%r8`>k1xHa&Uiwq|V;!83?&x#675 z>AKI*iQ*Q`Oj4j((WM$Q2_(8oS>yZa1vRDhHeHa(jr(-onBn7Mz&q4yV(RciY_}k9 zN=(NRzc&Q)vZrtQwc&uYWH*GXlEFlP*Ht0R`y58iyKIuYVf@|J99)%j?#y(LW@RFJ zFYkCvcUE|cFhIg?v)>>C$a#%O~BeGF$VCobvOUy5O@W_#@uxG z2%nzH?+gN&r!3r(IY?mgT=1HD^MLL>AOiQV;~f;X555z__XtC=G4jFQjIg*$g}3f&L|4fYvMvzU)|&js~we zC6@$k{<>V$=fErd&eY=YRCClS7V%zp)2mx>RR55%k4~?eRdqnmQks;1Q?p9HLXWbY!%FyB4l_B zEE8G4QOLn0su>!x+- z=Dp9RW`^s*T z)mrP$MY(F93UMFMM{^L)JsBMfI^Qz_V{J|!4`e8%P5wTajFUGkF#qA(J^YuHaqj3M ztr?><&bmSJf`=d8U&D(d?va+n9NBEZJv4n{Sg@<{uGFQ254?B4&-dDe zW#Nbdp#*@dOsWKC`Cfx;W6JK&2OEjpvb|)cH^Ug(N5Y?`mp(Y7!g(dXI4vBBL!;QB!QtZ8Yab7 z+9!i%P_QHIQ)@nky#Q=jHEtSXu7>6YtiNL<99G+X=fG(vuEBEPw9|E)961jRmR%6L z9_|n)wIO7r^->Ju=vD;0`|4!$d*hvDC<}~$i!!PU=vuQ~U*Iql1|l3%8OU`cM4>i( z2cs+mZ^$ct?J!1@)&Rbk_mOG?5U$(Bt^(-;)p@7kngh6Y?)>$z!+{=L2^KK*AVDusRV?i5}@_~1SV!yi~B7}d9V%0$H34UEdGQB!PsZBB9WM> zdgxT>KZg#+{i+1zHz&e+JZ1?FjU}GL#RI_=Z#Oe9=@Q_+qd;*CCpA-TMA>3;P;cwx2ALTcC9b`jQXgEInd7aGQ5 zYg9v+pVDWL8nkdWf-ex@+gb{2mbVjlfs9!kgcDcG?@F*6`@^t&RZPd;J{!YL4=F}` zE(B&^F@lK)iw!}A=cak!XW$sdeoOdy!S%SgA%4GT?;mh;VLvstML4_$Z#-c`?~8!D zf3Nb1)~w-wGJrO~g;+>$f`$biU$D6;mRaCmt6!52qe&)<-#K?1eGdg?8~qB*OFMsd zQi+ClpVJ|k0SlZ-XLE3C!Sm--Z^CkJE2b<64?QbB?5XgxC}MPUhezq|Qox}e7aVu5 z#itIvF}%#YqLn9ZY=-#Yz8$`@2Icp&SNIW?`L^l9_oC?`0@eeu(OtOo>4wXm-ZtIFwEP!V8<2kln-zr*nz1)8$$*m zehITYHn=Px=#R_0kp@~uu;aqI&|61S4Bc2;CT)FUqS)4O_58i_5*RZj;KYdM;Ot>3 z`b<84wwNmls+w~}QGeohc*P$vRT$ZzOqC6Tz8LEXF%vdTlHD*;%sXmx-rRy*P( z*TLMGSFIEf^vCD8%V7Qxpgr-y+y`xuG*~;MkLO_S?Q7NVJP`R{7A%9=DH_b3xwL$+ z+Uvg-e)~N43H~m7Iv>sb{wafUNFU7|77Fn^$CiKX;NUCEzK>NO&0j3IBsY*KyTBKb^I=bEw+^in-0nXw>5E?ef-ewkpd6*ZHS5Df zj7sl#K$Y*$P9D$n?!)mbzU{wncdFsjUlli|^?gErIB7ERX^RLqPe||2Ll1cKAyBDZ z2*?~|Pn_mjLxMrmrF|s4{FCQK$EqD4HIt}sf~+V%GP%Ja5AMaP(XECTTSkCa4qc48 zoo#6qexo=t?HrD+e24xo3JmvHvSm78gMq{=2^XK-<{85HtM+b~%ARt%?OP58{=2+= zX(#iG%b&?h&Tsa#sS(L}5U6l@SAyAWSBTc0Hf>QQ#;ZadOcFgUqJ|+mE=#%0UpiyJMQc!Rif5nJCB#QYki}F0k9j|;2lx2uG8C!wvK>TM3=z3>Fz^z;Nus1 z*3`AA8r^I!;WArwQrj@82l&+qoDsA3xm zti3D2HVPx$^sXVnAd*o8E9tU3PbtlVpsdJ&=^2ODop-_^*Ycs{vZB;!LpwyDHO!bb z=|E=k+ka&mwIK`INZ9uF@$HEBT!Y~OyPu!+vaP`|O-_DXyyjf@)U7ZlW0_sLH zuvmKpLDloOIXoE!CMHP|#MtR$4-?1L935;orkp&+FT~CYP#osw}b6E_6FN=&kNWzhmg9a33B4J)xxZA#pRFwn> zMl>o5vFf2&bc$6EoS%vPV$F8eR1>m z))fMW?@-)m5yr_``VEg*9O8Fh9D=~b!QPLc44nHz(XxGQI6yHKT`6GtaxD%4wKxRU z;t*IXYrM^?+YmOvnVXnP!;rJMc+)7m4Q2%!XLljmS=*`6bJkL7@ux=}N3S3pg|(dY zQMv8{O+b0BUrnF8DQZ1T^;jsjG6dwxfco~GD}xav=2&aLQp%jPN2c47H+PPs)Kj}PQAoGRka~)EwjmUu<1l`TL;7q~u0n2-#f&YhMvz$e!LDMr{1epKe>E8S( ziqCJ%Qz{0Ho!F`_=uzx*x>Vq4l7#h8TCpIZ!m)-WWCVahFheDSW-Aa3hOBc`4u|yP z9F7{0MJG<#!9awzaQ@nA@%ZT(znjaxp0@rud7~8!E(G!S#7#of2)NIz@QG_<9qn0P z=)amGA)|x>l{GH<60hk9HxLq|>>9i=D_dL;e2;q=R(LW#`#?=nNyZ*`qg=EjDKgv~hgAD&>CibzCuwJ*LK-4KZjqBBjc* z@xTGiAOVb^fg#HfgpfZ9#I0%}us#~myx28}oNCEWQVKt>dLS6cJ6X8Z8Q~z#+*lH> z`un1)3VmVbXenF1D#5wg!5x<(utKamMuUCt4t8=$d&n}Erwf5=6pi5|E{`ihHd3B8 zf`=FK0t!OI#{*K}4FUyXJ?>4?>%Ruf2LsM1dqYcW)-9bWs3z=w&?cLM}yt zMvZGo0*$d&BnjAfpnaCF{T~R1YiDSqZp5!j(9UHoxTp)j?t>uPu{AYjTS-Bn-5#Bk zzbm#O_dR1~uZl2H%GT9FV+=u{@&3;@-h?g)B;|hcbrJ*`lZT`rfJpkY2m)<0?z;;D zjjp6F2oy*`APKCGMzaI~oBLx_L4cIXPA1@RhA^0FaBEX*mx4fhTrbE1kB4?;UpyW+ z#Mv1HXXitI?dWf5VSO}g>KTh9&@Z2$+Bl8CA&k|&x0nGNNGAhsoL!QJHqMSTBED}1 zifz;0eYUb~_RiHaw#`0j3BbO7!MbgF0dAYUV|Dg@QMJu|vok_u{BBnISMHm{xovVHqQG#qA2}IN`(_fb*7U)pS^HvFGSu8Sd-sbl7U&)|4n5Nu zizqfu<3(Gs6?VIAyN`+)CeL?H>*!kk^4Q2^&BY5o-#W7|z4pU@(a)2Qf7L#2%xMif;@b9h%i9k3&c%ox8_@PFgKO#bQiRV8gvM+uD#C<|0q!#= zOm%G-<3dwWDyX*JbTTNvBd0B2$jkUS9lk2TYQS6NK{r3vP%$Vb*me~B_#M0Bhws1X zKV)}`cp1Gx8F1B%0}!j=p<%)sMh9u}ODxYFyY(sP-d|ANxp}Np{W~nbM}-KXAN)D01Am8xg%atQCDd?k-EOVHjhqyZ(;r0tM&*=J03QCL6yE7Sq;4 z&w~L>!lry<(B!lNksR5jO%Y-Wy~C1V`_)m$BDcA_7_=o-khTHvJ|y9=+w6-DQG9&3 zZ-!=Q5@`8uFIe=9VGS7`|M-Q~z*iEMlutoGC)oRqIg1%#?TVO|<5NLGaUb7%EkN7) zea%2i40gu&!(va+}P%@7WHT_ksd;LN?5?3>&#3`Z7X z2}UJ1Bt}6Qor#77!NH}bi*+o%o z8-hEGdPHE}Ry^Kv)w8SWS)I-N`(EG)$7}4`Uw!h`OG`c&rHH9r-<4n%XBBSRD!_sq zp{kl{U`cA^Zf6kgS9DJUL#}jIGikjYie^j z$eLFYCd^6f;l}WXuu4F#gmHMzqE~#~QX2L31>0RA?THF*M3z5kaoxb%=i^Y<{Ilop zo8dCKL7}P)?}ubSFj7E3f(eIu!;RC5gD_w=wQ-(_EeO8IqY(@m8Z3*ZZU<(f}ZY}D2ZZmp!gTP=iBkVM^ z5?v45*_%U|fzY+v2pC`phslL4;8HZ1LSRB$ynrE4?V16$5L_x~GH@|u8E(#ODi$j3{IXO3m&cPIG|DDZMfRn%;h{Fln zO*tA5>Xxrrx+Z6hzk1TMx=x#?Qhgeo$2{%Fr;8{fWp_RjT#P32eY80_s_495rc)TVXojHESwhKG#VLL5>Y`hPZH{2prykxZB7uV*b5-5t-Wps zaA$7EoXPg&+C1`hHO}{*Xq<_K@%w_H6nhD?M=BtIDb})aK58Ad{`0Hpyv%Lfx?}1j zK~v`n0bNrk37R@)lrhTGQOF>lItev(GNh2EjuSZk7~?1Ajy@N2C$Z+vMaKaj{PwbE z#nfP6oH}JT$3Cyu!5svH-@0Dwe4e^a)=7^9p#|S)6m@;oLV$hLO zqa%lN&K&bPJ)iuYcStdH1buWJLDAH)$5$MmU>rb|mFCXX+_|5>HH? zCxOq@b+}a%RF6Jy)Q%(OO*Rzs=DXd0WyQaaa05GWi1`1Gza&|;m^vSU`~TUe@w9$IA6&;HSV7FjBxp7^8pir$G^nWNXc}<_We9>RmYm?sYCMz) z9{Au{^mc_nJ%kYuzt!&{Pn;UITT}3Q`v}bv8YwlO3Ov$0;c>L~NA17pgcHcwDosNMJ5L(%z_Gjp6y+7&Q z79G+Nt0cOM0j^!^DkF{3-^0fbpT1oS?cEs!YOTV)C2BYzod1F$t_H!iut9(p7Pr`A zh1!+Z(5ZvKtuW^cf1Y&ar4}}zt6@brDt}od>c{s5q^WUXZxDMfIgVFA;;&H#P@V7JidHg(>qZ{{u2bYD_ zU08S}Aw*5BJY{nWf^TjeL0DpQ(^^Mu#;>)I7ss8qlu%Ut!A&)kgusEQBrcGhlh|&* z4K^wnj48AbXs&Z$@j-6MfM9B&+0Rc@WYXVPSMn_G1s*11F!S3285Fh<;J9M2F%&lJ zNjfrtE6kG&3K;Cllxwi3U~=wt|9o@BFW4W-Gp38ADTfox*32RG$++-me8oAV?G|mq z4(*sJjgsfaIkSB5S>0R1I8^+7K!Jcu*?>ps3H{DcQ3lC*%!wZ3=%$2krFk6$?N0aP z5gNvwX@HhrWrIMe)qihg)EivCO5eK2!|S<$xoRmP140#oS!b2Eug>EAVdZ!;ZInTw z+zW6Rnc_B4zFdP0Rwg&~LZ+V^s@j?k$r*$@^4|xun@^l0VN}9c*8?Ux2hCC_e{vAD z6=&}hki9 z*?|%ci1~9}j4W1ku`_9IDv4ZROg0MD?#d^!iezk?o+aBQ0&NkM(AYAys_C!=B z+8I<5C>aNK3-x^CHprAqqfcI}HI<+255LYa0tBo49kElHCq?jx%cAl-ZQm01l^^Rm z&suG6+y>#wpl@3AF2-#U&fA|L_{>`WD8QrH+uO@IvVB`r<#fyDmC+qsg<9)_@LbVw zT?UCUN8T2BW1_(N2c;ox_8r-qGz$?)iTAhavcvPn{OZ%ccJsfEYs*4$DkonMXnpuj zLT42H&GVYBxc| zC)`Z_IwlSxfAECe(hRctvd9Le_P7~#Goj+cl5kj!nm#zeKj`Hz*yT9-6pvv7!n+dC z-~*KBsvKZEli&bdjz>p71svLuop6jtrn6DxQ5Wca%$4h(BPul{!QzaIa-R@*-)NLs z(-s1)u|>EP8e=@rS2;Y!me)%Zu;Gb^HyDnPliwZUeFU>)R_Tuqo2+9DE49f7ow-Qw_mJd~;vZp9!f1b9STinjB?Nb0$n zURsbJG(!Lp-bonHiI9Mu#wa(dWb%B#6V5qA9J+P@^`C8j-Cqzk1A6_-DWw978P7_M zXoeY0V5B3q@TgeHCYU@v}z>PAqF22E8f-|2})I5YVZ_M|63#FCY&9|NhTWVgrXgvai_bJ!rpS zPKQOQ+!QC+*_rdth9E{Wgm7Xu6Y572*l#dr@h9j$V-NN(h{fgzifJt#?|2ZD2qK|P zJ8V+a4=(0c*j?G4+}=eL*kVZh>VB&9O+!(p9hwX*cyK6C-^Slho{$qlm9RYJcM|;j zW~Tpq)rj|h(T0oBB^OLe0&c8}uOMuOjz8X&VrL(JU-0;37SHSyR;hH#Nq-Fql3Moc z0?Y~j{?D=TFGxM`X`nUWpPwK+($LwPaj#YhxZEK@+&c_kGnly_g`#xAGeYPPfq63g zbsvae+gsTwgkMo35#E(Rn^o(6J9!nt&CGH)6SP^w?P&Q7$}K`ocKSh}<`+A}c{xw~ z?SzLW9xs$tC!Pq*F)muc*fkIR`vOwvemUV_Zpy9^1Y7J!T;cnI$-2OPq<7I$;hn<8 z0(a#8z+jpYD8IQSck~J)#@np_45;VST1hWJWMOPa;^dGBJvd}5A0+k9{vkI|8;n@&Fd@PCN5g|dG6XorgYfH% z!YrKj$P(crwV~n`G7yAzqhaVKVTQqmED|Md3VsD6(mlU{fVG9hB?k{#)|f&;R>iFQ zgsRb6Yl;@(gU#Q|D}o}|KWd8;7-YmgVhWh#>5VgyFl#oL=OBvEti#@E_b`D!2m6O{ zy`6C5sG%1eAegP65lmO=nDsQPw=6NCsJuEEX*@>;oxUh4v#(7vYM-^q@r64_2ND$t zm(fv5aDbIpumHn{)kkjZq3Nkd=QaAGq-eMp7JKZtV}ZyynTQ$LeBI*+(%VVwsH=%$ z@&zbk;k8p-c8rnVwTUqT`t$WjkB%Xx(Wigp^+oZDbSlBWNxPNybS6uQ_Btj9fp6{a_ZPOMiO@4aAm?V35R(f=H}Dg$FM?_j-C0QLac_)|2ybk` z*;78Q9$O3FU!(6qaO0pP7Yl_i<`9qX`Xop;`b;U6O$xc_(=B+Q>F8|3lwHYYhS8sQ zE01W>7mQ^g+2~70sfX5=LeUBo&Cv=Ktxc?@!xlDPAo`g8^HV84xoA9JDT{~Cn4^o{ zemRMiz_P3kqLki93hSqrvi?VYw{(Z5IKLx zJA?1~XjvM0QzyzHjv!a6KLi(gF2SaWYH-qLUr~|Qa3x9xSZDUefC-Q|EZGeSUn~>F zggUVdveK}KDuDN9Td&DX2N&-MhAC{B_@Gs0@%}Np{=?yJUI8XXS}i~P?MlQX${Q4~ zg{jk<)$b`YqSJn(45$wCnKGVi0MDyD!pMKoYXwh6SPoKWX3Te?Fs@0(?1A~Uf4x|< z>w6>V$~~G}nmu-xjlSO@kUF-DfGQi%M4=R+CvwH6#wlA`p?F0Qr2e~ZH+PC?5Y(kP z{R7AJcZx4Q?=KR@w!bu3&*1pAw^X;%U4xznWx6xyR}lVl;QZ@=1Za!+&4hA)AQM87 zd-IwIH3@cu(98x}?zG;SP1Y9oWZJpHQx z(l-WX{yco+Zhkbo#`VR9z^EwW*&3F>K6pJA@4W54@%SP*tG!l}I*cg6th9zN<ENL96&fVBJ5;sI6l!-z+#W#aI;aX6Zasfm8F%xX=%cSn7_<5o;YLTOf|S_ zSKPYuij)l*2}9@{z-IS=12`rTv65L~1@jV

yq}Jo`;1ryrhOvQm(oY~s9Mp(!5- zl`m^SqKN_lb)t8y#4|zJxO;p%tlb$ixo3@p*vh z#DGqRU~r#O=J3W9c(tG3>lp=->mT-EM{vf5?5yO5sa#Ev3ySi=w#gkMe}H zG30yr2A)W(>b1Jkm;5iXD}K z#cuO10mcsTXnC=0iBOGw7K4pjD4eIxC*G=rfne7ot;>T+ZP-++U%2@xjubK20#07z z3u8e^h8Id^CG6B*h-VjbF*#2!=5|VsTXMU5)VnigEZ@DQ9L&~1iYwYV_|Kv6Ql{_v%?O(pKUzj%F-OfRIb0N?0&X!in>rK?cH;sb=K z-3L79S86ZZgW0Fzr2}V=+W4iz_{Dq)S>)k~@TOE@*z6qf(cyZm4)FzZ0q#mc`HX$D zM0poV!_f*E_RgnZi(Y>nL3lZ-cE7obNGw=V7jeaEN<%ncGAexNRBUysMIi3READ@^ z$J1G>5Ud(J0mB|Ct2%HO{Ga%eIbBlRZa*jkcPAU8uQ1HOJjQ+1p@flw$s7;x1m%X(|Jxu}i zYZ|&L=6gT*gF|v%h~Hln|M;&x<0z!*V!cIl#5V9nv4Jp_5^Fv*OEFHK%o>U#iL>_Q zq_1Xf-~GP{_XT&8LcmyJ)o`2UV!1iVJWmzeJCh1$i7Q5u`CQY6@*=`;}l}DxW#Q;0bj{hFAx|}dyg*ygE`vSvvC8~Jpc2P6ip3F^4Rwm zK67$O8>7RHX1N(Xx3V&t4R@P4g-wC=+;x_l*T>y+xi!MG%NuC%TPAs-Xp(n2)nrBC zKY08m)YUa8vAQ~itE=Z|)XI4P@7?R-YO0Adms{n5%*C}}t*xFZvMjD%D5|QL2Bw!~ z<$6-!w7{2`rA9K1xk8fdxAyF0yXB=X1>^vcZ&*|$7PcqF*$ywFtm%{h<4bL)n_*d6 zgAz-tQ+#PH7%OW>7?-0it^E$k5lgFaOiP#s3@;!y^X0TYBr$WX#c0u4;6bv}u9KAe zPABD7Mjs#ggX%IT99XxSRD6x1l_)Wr2AysyrpLnH=HUNiNAMT(0i}@pFU<$cDjzyu zhQIyHb_??KP$h}cySm2r$usEEVNdww6yAr^Mh6esd>%|GVR%5Vc|tjWkF*u|#fsPp zLCOI_?|Q^myT#b!{*l)gMQ3y~G26S;RVp0lS3jdf1YkzeO$u)A4VoDl_+g9pq6wB^ zC~)iv#`wNQ_p{gJ#Kv1W4CbRhzn!JExOY&LC(&*q&uIp(^#1 zm9?>zFBzEW??RcVTTnQ?%yR;S&dG)TosYB~(^rKlLnKk&g|cw&C1rru6VnZEg_w}| z#z33CO)MIFWr>Svj*`&So%RtQto^F>Sd8}ZO`$${GSpRDe83Jf{-ojKz!m~K;vo=L3(R>8%djlcrQxD*n3x}V6$&E=*(<0HSvrcqi^wsa z@M@5f)tu1#|85AD!>H=SjQfMv-s)#48P^@XY$oNXhZa>|EG&ir8b^a=5r8i}LOiq% zSBf3l6Jz2Z{K_DU$KWHkls^seqft*?*z%)dN95av!=mm*DV@v>Y2edE z`_#V2!)SvYGdE9M83)EXv=u-NU$wgwb3Wk2wuRc>HtrSJs`*Igs}dHra2IgZkW$}VU9d*g}3go;aqhewE%7^4Vq&+8}dRFnaPaVixG=wT);Dd0KMbR)J9hHMHa3zg~ z&hd@+K7zm}cz2vdh&z;l6)#>+1_e3Ku!$8CY#$58Vs77kp1R({R=a(dXwUIQV9S}e z*zk~Dh-q=qW9#x-5O>w8^VWQyaXZad`Z|%=+3mYf7zS|cd2l@o+P$Je@H*&^c z22n4DF7I5&(LR3UzVFy&FeN&B0GH;!mNPDKZqnJY$Ji1#HIbO=uI)t^I>Y@29;gWM z%PCs!JGw2+b24wx-u{iwJ?+`$3k*VxFI+P) z`MHyF6b4hVn>ap^1c*8D&IjiXHT(zyc|}Rci;TQ@ddNGP5-#juToWFu!iw1QXu*@% zgTT-~A@w)}=K}Du)bOY~xuq^2DdJcix6V~wV#@+;LdWre&9`9*J$vh_zN(|=Jf|*g zRl?ZNwGu<>F~ZHWki?##NQik(?K%ao+p+WNJd0=)SaMInl6!(eiR0}QF2++mv}PL=4#QP0w`QY3bsyEwMPs{hVe z^CQ9M_##O13ChS>b5o3gzx&K}r*)5Ck zXWagG>d1a^YeSoFBqa#SjZ^8nP zOWQHhE7%n|4oO~Q)0iq=vGWdV86n69nOlHSmgE;NL7OhhBEmW)JzI~kNOIjNUezbGSB_ne(3d!tr0MTa$B|=? zj9tZ1vuc@%U!d@MJc?WrKJ*HUIJus}^gX43_D@~|jMCDGx@PtW0 z=`e2Yo_W-?G^n;*yJ)r05!jS--IiTzsFXlWu*vMbL0&x2iM7O*Ax?wzg0V*-m&q-H z!1C`p=$pa_<)Ci}D8jLGO0+df&ZGwOu3xi{m%P2Puyf-xC0h$)f3pqgtxGS)jM#>s zp4b?ogk@RemU4hgSz-^2q7O?GXjedgP|9~Yqr5?>WxCrJeB-0MIE!>BBD-ud29qb( z$}_D2n(NHIFn}(NsE&S2Jg{iI3WeAC_6lAZOf`2<8{`C5%a;@92&;LE$%!?s3#FT< zF__UM+I{AL;rj{5CwL2!1%^~~-Yay9FyYRb#XB-aM(Ry*a|))ubL!5$yX_4r2MBhi zbS*x3vAM=Epg?vsMa3w5|Q^PdjX`Do_FOu_mN_5q_*=C58toqX7q{~jdH{K~5^GwOjs`Gr$>j%D- zH=YwLH_R^ZeQCy>hVQ6_#2wY1*%Cr5O;(#y^7(%0cXhd?E@peoBI_UDbg`qZSgN$6 zCc$^qrTIxsJFpf3n^_g}Xq}W>gVW!Mb;h`LpJEqJMZL-4-`K@uRIvY%Y-C9rQG0I#1b2 zJ56iBs*M%e3H->bP=>M{^e&H%zff*;#rr6v$wd{uJ-HiN)ikxVIR<0BKy$?ix9%)( zD@?O>>x}KlK#x2{a3Hvzj_|;;o_lHtk2j}Oq@&H72YK&3m<$tMNSas1A^Po z0QzUQqDxeYjc8VF$&7z;$DCmyZz`qUr!20vR7_~`d6yDP`ez=o47=$c^YoGu^Ax2idf8uE*m1t8W?!U^&}}g z1KTUQlnu=^*!Gq0^Mlo6NTGxCgV|*H`Qdtgehr}*Rhz=19UuRc=JJ&B#%rjAqX%&? zl{u8r+UbD`Iz=jG)ypDqWV1IuJ5aP#*c{pT=qXOwWHf?{nlPTn=T|EQmh|$zNG>_s z-Hbs}yYP-N9@rAy{o(>RGp-aAse=egoQ8vSbumyTvf5D-H2#V_`K?oH@P^5w&mI^}J<)VTx zNorrQ{eo9_Ss`S+7Hfz)u__^kAHyW&N@Olth@iwql;b{jq8RtF$&b8=#zqvANot7i z2`Dv$t;;L#3T;-dkjnk*?!}NFPYOPM;IT{1;@6iXP5-)MPXAY-0A)a$zvM_<%*Bu$ zxOnz-=%3BmpA?P6sScR59J5!%axnPHIyCJaTkD&b*fFde??+k%4aF_1yBvx;Wp`1Q zf^1|{{8$3+C*fA;rlbtWe(yrz?PZ5e?I`p8VT!uCB0Ld=B8un$>zI0jrf{Dqp;g9q zX#2<`#SDa}g7@Pbd!#tCC-WN|!iN1o>qFYr@>ybQGq$s6VkSv^eNhnZ5U*&doKxHD zc0E$l&k|dglRPPFWFIlu|2_f!FO=}>!m%Ng@au%~T8>e-#RA`vyg7(J#`ZWP5hzqogF4 z**H6IR+aVTY!dw_0(wK!Te>X4wA)zuteUun#+lZlv z2=JDgweP^J6|Go0W9Jxw4%;-k|J@JXH{H;l0z{^sbZ4FlP4 z44*QwRGwdsl_mBd`7V3;Ql;F8`B4{e-C9z%8HKkmUc%Mbi}A(J=27ex&Ks}7&83zm zwtK%{9mGCc3Y)^NXHLpn%CL2F_RKK0V$5D7gS@Vw06)6*=9ibw@Iwc>q%g_M`-@_- zJaS*^b{|)q_->2eUrET>=AjaOwykiBJTJbIemNqr9*^u}PUXSu^0((Jwv6h0l}d{0 z#i~;#%Bqu#)T~vUv%{ZZ!iqmXm7+|li!rB|{n?GrE>BQp45BxL7nxG0GGj%>-073j zuz~6o+@wwSmtSGpjaOEKgsf!4N#u7kn33=dh5xDCf=zOI44I(Bu!^TdIST2Lq-K=i z(QuN|B=7YN%wtw(j)(K{n|li({PS|k*Z6&6!vCf)J6KYV7#WuR^V+%YD*0zwnJ>Eg zWQiuhb16q!5T++XDHKMPMb^;vcY^6woxq82YqIAs#Y$nz*L5in+=eDxBEVSJH< zDU+?g^=gI#zjz^7;(;3lSF8*OYY>aBn+P_)TT}SyBS;w&+$cOPc~J1n@2eE!Ix&4U zg^!) zj{}JKGmL3{MZm<1(YJfgS0Kc7kB_{*DCdqhz67{@&S1f|~|_Io|NS0%*< zy&saOeZ>g9JT7t9h)otCT)Iy3UfZVluBFJ7&Kr8MP5UI;65ii*esb(4~IF9 zN>UTQDO*WZBD`h8xE}>8^@LYVoFu7s>2{5m_YbWKE1q&UiY}eyXR4?YyQNezC@EeE z+a}iWi*&YL8siEsZ);*IQG>!UfA~u-pBDK}pEdk!;8L~H5ldc^IYBMNxk9lf<@}oW z1vf&4CtSJkd%SGk75w`@RukqH822VMIaqOa{+_G*4Wemb1wE zN11Epj$?pthJu_q;b3$_mP`_r^$bi;BdJQ^)R#hkd+@@=BQ>!s$NSPm-->OrnfoXx zGS~K(-m?Gp&k`TCx+?PiqD&9?5A%qd`NJ<|jZ18+#t~Ra2gY4Ww1{@&7>Wdb;`K#9 z#gw|CR?B)9Aq~7O-rL?*$1S`PegC|Xh3`-7rzTZJUJeoB9WvKvCp2FHNKlT7*}i(t zy%+o;$6IS)Vz`XH3h7bMeqmNLzHuvi;D5Fxvu|7zx4MyiLpZZ= zi-e1Ae`#=@i8*R-scxmWl$ygxc7)5^97*~2e-0f}Q;r||_kRps5Sb4i1~wf3d*%Zg zKO>6a>$ZMo9j->}u+qefnX+P$E5h#-$I z{ltLf>{A5tYkbJIAyW2af`S{3DA}B8PBqMV?vz0{>h}-hXO6<- z&L{x|+g(iMx!k3rMC00?OusZblId5B7iT&z4zjM41cZ+t2KQ(728EuxBW(EG43l;rNFUyFBdUb9sng*Cvc6eF_#OOea#&!bZ z>D~7iW^VbUFuwEqi!vDiEeUM8MJD*_NfEN!rXu@l%9*{f2L)!g-O6N=-6pS-C?Q9c zc!fNHd~J9YQg)J02d`=3ImZ`%pp&#Iv^2do^ksj3g3{<^{w|a&!nrY?Tw(@27UAWj zx*nLF=arE~5qhrirC5$()OtB)3+cpHiIcBeX3P)kKo%n?aY%rh5BFwR!uV9+^!t_EB(=AB6dLMN| zjQH~@O}V%nYW;d8h3PNeg|HP4>S9t2@X%87Slyqd{d|gr9wBz1Mwe$7Hf5j3mG z9`#kgIXD^SmkLE+vc%R(>Vr=iMjseqHYfaeeA!o0%FuI)_ZPFomkw>*4|2vAiVr@< zvdfXvDY3=K>WW}jM&H1#ZWb9KH1HK82crgGQcyERlHapbUVJp%M!~$i4qk8Wcy^lk z^HV8}98lV$lU26^njl%&5CtO(oc;Ro^i~xs@kyaTNah=Ukcnz3>>9r@m83c9Ktin{ zB&=U;V7r+4*GGf3Yb$JTj+mtQsLH20b8#k#0frRKP8qujeUR`hRhnj6it* z)oF4ug&+hy1<+z5Zip2Oz@?-HlfAGmm;^aSP%i2zY7x``k zG(y*z$T+-sN$B|XMe)dKm&Dl40OD44C0M}Huuh$EdqmPXb;?zV`NZ+h@iqMu*i({X z)yODng<>yVPpSH;^LS_2ePU&iU}nK6GL(`uDR+d@L-Y&h>D+Pt^vD1f=I**mk*PK3 zX1J2TT+3fcIiCnmhnRXsfTT5ud|?-lT$&O81_#zVUSXT~3!CLJXa zfQ>5?&f5c2E4!m5bmEAOonCxKirZ?EVN#M=n;0JlVI9|hqdUUr{>lHa4P#I z#$OUpuO?MRMDZ&#{H3sY=AYG0x*{`do>MRq7A04-uB`~C_7v!Dyg_9lK5Hcb>$ zu_!^4MGCxbCsm3b`=;>4h%Q(@{F#i$7awn^>1$P!;%vMb6(e}S#H_(KBS6Ac0edZz zyXGUEp>P5>?p%e9jUlIMO&Ij%n_?Q{Y!35AXLA$7BKnmi6P6UuGARsash2`2bmwP< zYu!Y8X9H~0X?iPK7z3ZdSQA@%H;1g*ka+DS#O8w05&T5yt5OSgXCbJ+qb)vWyse47 zr*c$5>GJ*>dlSZ)Nl7Lqg)im+kMH^vC`_D@gv2ZBl;EKzTW~;=(V2z`+k=Zg3`6G1 zF+QRxUocal1QUJXDD}|lQYcz~qB&ZhqP2;&a4;Gp4o4c(jK=5`4PY~f==T>zr&k}+ zFc~>=NCVfEL4@pzB%p5oLe~ArZ)R6`y|TeU@vhjk+$;n|%N7M8)1>N!Vli;T_4lh# zPO9SU4I}3Kk>7|#z?jI#y=s3DRO)(iIs!}O&QO4fdA}|Sd8jj|7cwq`NqT8;U7yW` zad+yqNbwM6&_l;VgNo{tky@;QkdaR4Q5lYnw;2qe0sKs+tHt6#!WYw=(t~MR7ax%7 z>>Gm`e%x6p=$n=W0i18S8HEN;(LqyvlV)px;*n%3Ob3dJWpf`1*qNc*V+Yr|+U z*MP*n2#Def(EE$TA--b+BI|dg8}nsAbt}EKcx{!_{^_~|5aDlQ=U)dx5<};9<6h$r z_LY-aP@pHVsJ))=c&vgM#PH=5=JWJe!8qC=!3Sjs*Kb|R! z6RB9Xp77ME=15R67WCD44M4~T&Rd##FF`F5liF9jM?S$yF$QCyV32pALWIPIa;hmbHe%hIpwJ|ETD@+-d%L)a<=k#I zCcBk-_kmM9A#Z`!^r6EN9T!N37p{Xk>TPet0wpX#X-ucER#5je$-34MCDhIE*G}PS zb^N3ImViumGW>kI7?LN8B*tBA!3v-ll+Iwl??P&dvs&=QiZZoqlR+~oioCH|0=`g{ zz{WS-?ts#mA5MZL?-OX~f1#&f`Kww!guO&eMw*x8L>ivnvQT2; zTpTNmQQr7u!`;^r(!H(#2hRi43Cb$q*V@J-?D|JJt~T7lOqS)SW-Nhsp>$7S?((iE zh8at?(Ev&WVBIertU`;P9%%&7=V7_g>{Aq zJdBRkzKZzG0^YFUCE%ZU6^d#_*`C?_yyD^@dbMux>F^n^QXaE;;RH=cZyYrZ{VfC+(&-na)~V}acP8QwYe63H-k;Hjh_8{ zGqk=4(anL$tVfk{yggA;cJq!rT;9)*t}4_^Ci<2>WGX&k4;zgPuwGRjbD-#4ac}hx$a~-~N4PQ!rJQlcP3H)=H5}9KirY5`OShrWd zN)hLHA+VzUPRDM!F(cz&kb>p=itSOS3LGqhp)!5RnT4pv_fd-Uf0PKVF@Wy6zc?;J zGTav{pZ{CdGo>AlZx0bqLNeSbu-(LYVIPH?LkTgH-@bu(iCc@V^ZSlP7&&TQg%tcw z!lO9Kd}m_b3dRU0<@n+^BMAh%ZknP6Gu@6mP#_h z#qmWL><$m#?v#Nw^Ia&NOJBj1TKBDOuX|kOTPrr>S%X*iitUH7wR>QWlbbuG7wJ?m z+s=vj+w^+&B}hZ@ykZCQR04VfWAJl}&##;^An}i!+9x_iK6yHzrQIj{DAjtGbt^Yc zr{u=@DEpjmoUwd%*u{M>r+-~x|NASf^xM`I*8jn8+m^h|8yH$dcJm3jNuk|OQil8} z`$C>mCm6)FoE`IT$2{fZZo&zleTym03jv`fl$b;K$WN-k>x-gbXiCcfibY|NvkI^U zYBA&T`#FN}VrwxUvIvDEy<+QXQXhGJQ3hkdcjc6b$~z1Hf|=6&-$yqKS6o2T;`qbn zpe(Gi-BuLd4BB$l_y6D7yXDxD>sW&SHVU6W227HfWEw#M^;gwH*g)c<;pd~jdiv#D zYw=+o0aWJ2#YG&_wPkuz6h$t&0hYA2q|56niZmK6i4qs&a`w{gS$2%EN(A z$hSeb1ZsmA*+j!}{rcSp_Dju#|Jp*o2nO$*As23pPM>VXt!ClL);Kpk)6CEZ5+B(r zPKt^0k_I?~sHIOSiyhoTPWFfx?nu0C=u7hKW-{>mE5<;y{o>*hA?E;NaU!hU7^9QJ zPN*9bZ}Mm{T`Ot&Y>Pf#oya2Wq|+O2Ao#{b|GX~4HYv&F`<5Jz=C*d)8kt!+g>bjh*NLAMY#2%2eYj zPBa!({i-c&xz?Ur%ZHlXknQyryGMUi=kk<}{r57fAO-GlQPd(ECN( zSIu#%9i_;v7e%i^bXRm+W!U4OYH+Ra$d9C&=*4POw}4M$3?_KVQi)!37{9 zT%$}%8CLD~Xa&+AAPfQJ$XUj45%SY7!v#8fNK*b_O44l<(0tfoK7oFcj3LmO3amtUyNIE8D3y5rD(zr!GR)5^uII#Fv2@-6%?bJV?pR( zs9*hl(e~~K$f(hS<}c`aR*KNYmp+1(reAw-;B-oKqU0bd^u@qh>3TKF)#S#sQey2F z%|IBPNc@Q**Vf?(S}k1~A4XpRziCIM2a&3-yL=_b+}lMIsVs5F_086XXkE|r18U=p z9W}c7y;HzdgX`wLo7MpiO4Cw^|KpK+2{-0Zljq|LVJHT`OnX=e&lL)<=))zK25ahK zw+Tb#5`zFtb``vPkx!zGQf-nWzQ zOQH!E#d|b32N=*tRpsR_8gaF%9APw>zeR7^QgiI_%e$=sF`{dq7iR!sZ|m+ukm}2Q zRyaId*N>j;P6!SnlTn{ywd7oWcX{TUUYFBqnWTglVRwwK!)%|WT!~>X99%#7-Bm`3 z@NQQQyg`%6(%=I>_63uqVfdea5h}rOc~x~k8Y2a3Bk zeKAh{c$J`Iyw@Pwb=}Iay?uG4y=&9{jZmhe5#rQVvxZkM&@l^OI`6uV5#p4K{n9lg zc8A?J+iO9Q^V-MV!z8d8dJD?{7K^`;=5p#yBFFb}cMpH9NCXS_x}XY23#xk*fVZ?c z;DGiq8Fe2Q4)(Fp_s)IX<&=GTa-fY48!ZREJu-NCiKW<9N#F%+;WF%n;}CZ%hMg@XT4U~G zJ9@5G_p#|jx)p05BfPrhJ~sN!7ki_58O?o6>v}EW?;P01GQ7ODvA-n66kgK|W#fycSYP>~iRPUxIG`WCVM2lyT6xNo zHTCv;Av+kCV&C|RYXWA{z50$_Nu%%8mtQ@+$kbiP1^0}*(HuilPL8j{C3~RX`TCCg zzb{3#!X*tWK5hf`b<-;(Wl;YPo0JI#sk&H}@#vS?dwytp2LT4`CM_7%2mZnbSZ2~9_T>+`P_mF$_elfeA)@)? z@p=ys=bqv56A!)G0q6I$EYNw!{~>+t!lT20xle~OW6Z*0#5vH;5ah5Brs9}`Xz>d4 zLrfo?r;LVAcBNtAJKeh~=O>Fe4T#Y~Gp|l^9smP<7Y_X;D-Dpuhi^Jge*%QDmH?6? z7-N*aZb{S^r_C}v_RLLDaB=*vJAmzQ-HIWm7|4$fTFgqbTs(M*n@PVh%d0zstK#AK zIcKWx@`^a=Pw`9aG0^SrvM@2Gar$4gU`#`eFl{QLMlrO?1JSc2;6cBh+NJ zuf&)JXA8NwuR?c$oq( z?fb<-u7{{p$h^XscDlBWoPsgUXM(SQzyvM0U4K<|T5lPBI5eXhQ(#|?L5^Q&8u*1z znU`#ZPiJz7F`a$!_27L?n&;ua{$Ujb#x%l1dIgz=3;#(N#al5EPGg8f7m#UZCCD_K z{@eOWkm<@vq%Y$*Kr|7y3d%HNgD}U=8K>is77hS!gKh$h_O^+UDAUH7z{YiyX<#3j zxDd)T=ye6kwCIX59YusP9aXsRwm$NCamMMmu`~!rWA%huI^#5*7lLDBwzpR@Z|78$ zX;l08ZbBH2wN8-HIJwn2N13jz70Pri0^|^@qH{w+Y+DA*?!vC^*PIJLTAYe9?Om)K z!Z!$II>tmO(`Eh~Wx9-{P^Qb23S~OyM-LN)hSpxEbe2C8WjbjBH`f75jyFOm({C2c zTG1H-MrU80KIJGC9Ip9_GOc})mHNIB%(T;`ZDl3|GhMdm;~4^Pfwr*`wD23drxDr)a%l-E&!90pCf^>;4Jt%& zk4s@RO&;$S3OOD40|xlT)CR=YP`njCp~y0Zlj$X!f1O(c`e_otL7kdL1 zQJ5ZDIpx)}ZW&GrgGlq0g9!v^OXE;9g2}*ZW!-X3He3;UzMmL^Zy=8#TGeX3#b96= zlcQJq6ueB(lgKZ@VrPuT1+&nPVpBEXg`@GF6KT(U1O@XN6r2%!v<;AVjri+D$B!87 z%NE4(8tqGKBuD$A@i&@ybE6a*fpE%?Xmt>e?(wt+#=v)RwP~akHe=_k(Mv!BJa>(Q zSh!jQ5jRHLRc6ZXO3(syupfXfv*@u&71xMwWp;S&RN zMAL#{hkWyBTIX!Vdg2O4`rv2H5Tyd2!ihn$h}*_NfGNa+W9{nxF#DL%n=6tG#+bqs z8$|{k5Tl9#JFkD_Q#fiFQ9`=HpxAhhB5nhfx*dhqf3O(Z@+YhC;Li4gCp!`oV< zq9}&~R=os3ewN;?gTCd6S2+lvVHKdK0+`n=QaxoG7+zBvjV1W26Al8z0L`UzBF6E6 zIRaJDwuH3-@TRT*F1h)NbG+6ZosYq>rM&2^jHt#_$Q^eJnt^k83L%GU5s`7Kl+Y$u z(4WG|h5gX#7Hw6QUSr(q46Fp|+~>uCXY11A$P!%RVp?Mjkb@IJ*4YA4pf3duD;1}) zQbwy6dO4|PT@fDIvM<)lI?9NLRJ^8-x^pH`Sr}5<$`D~4^c-bE+dQvP7g$AbT;*-S%kubv9Mgm%P}A=oO5!Mw(ZfdSZyZTAbIJ640>$|xN!vI zqc-+ZWC`9wsG@5rOsmNXNn8^Ia7&I6*96F5+WXLOd$!}zmJ-dFE?PHB5v&w_C+X91 zGo7=TE|c+slmVL$kAMY*SF@%_i~H3iT@hy1^I;i? z@>pDUFdWzr?v}JV^eG(mfPcyThi~4i?neYBj#8rkRT}r+c}HwV@0uxme@bV!BW*he z);%duT4d0XxzvwfXd2CtCyJafug3HgnlGurv2Z>JK&#^7T7m!Fua>g)D~5k{w%$1$ z0)pn?#+f=#aJZ`tQ1p4K?gScg8rI6^MPH`_&kS8!27y_hsTb!|n#uYqdM#ozf`R5< zRC6h@oW4!6F<{tPORgjx(HIm59K^-jo>Wl#s-H_nGj5hv@hEahSJN3A^j0RS;Mfca zp{eI_z=&a(=*sd_J7W3Kg9htk?62Rm^_oHG4ed396eiy<4t2()aY7?fO1CCmC?2M+ z#C!r?Y32!m^*FWJbH&~vIUAzBA06F(EZy9=MR4Czo#lgvOE|}n_l{f6Ha4ilREQ!E zeR-@3S9ur!?b^YLZ%}MNII&f(i$ShWX;mN@rcG5=e`mf@2=%M`P<+h6lT78I4*<6q~ zW5~dFF8#!5q1Ob5M@*#=B!sU>3!X%7a3C+A+sy=Maj^MtOAORZ74MTrh}1PNe(-kG%n8$uqMgEc0EhuWsCcVMz~oS@j4~{JWU3^ zCD*;p93Q-k6B$ij!-j)pO3#)}H0a@o)a0;Zyi>?=Z!_IIY*FUUB$~!0UtKK0Xl<*3 zW=6B~*ulA(mztI#7?G^!0yuVh$smO+HKO?ouBlQ4E5xd>H6HXpNZY4yF4z>lUmU0a zFNO&w`|iAgR!dF7loGfW6dGO&_{!s*+oOzFr7xQ5VA8VP=djCoM`N9^T;vDJcqbIu zsEuAiMY0(agq72dx)H3-?Pa!;KC~lfJHaWpyO1UfKFM~1Ei+vB8?ivPfK9AbrcCV? zHcAGo83#kSn2-+Ja@>W6;qq{+q*#>lCr>Sp!8I`Cy9X_M1U9Od=(_j=gHQ|F7Ss*iIznMayU!ix=`ro zZjYJlEaBj8(1Fwl%o|6P=}v773DW3QHmO2|;e)JJ#d=kV*d#QW2ibTH{k&MQNje1= zPQxkB%iRmoIKp~t0bXtc=kBJV=`G4=DZ2Pn&X{3Zz-}K5s)mJg2}I=jOTVGP@uG`m z_Xx@*J)YskJp&M08Ib$4Z2R;iU{Py(3m2<6tEIzr(@d|5-^F^tEHE71- zeFV*h>`@Bb1XqpHR+`Q+yr{GVJzxxuakk$)%t!L)t8z{mr5m*? z9-kM@!=l-AUERINpceViM>0ueJW0mXy9Gp~B9@Q*1R0;w2n98lHd6k)d*Mi8t{R;8 zEhI5=a<7G<(0ZS65vi{dHfQn@2YyG;*)E>Yx(MaC)~KJ}LA zP7V`q%WQCz#xD9E|BW7j#_XJ?Ory!ML^n4L0Wi2psJN#6oH?Q zNacwlIquH!rmD^)Po2Zf0sWKZ!!DaajG2Ogd`$5c{?t82P55{fp;oIZ+^V0$UN{{lIjdmQ%@Xv;1(dyae181_Ryx!=Mq zINnyT^a{HHoUd}ZlXKL8H{--rWYeRJK{2X5HjxkfVD-KOh&EQXjQO7Q^&0r(_`naE z$D*x65!00+#okQ;&S{>Dt#Ejc9dYP#)mr0+&JbDYbZ^+F!@I$HA~1_bpw1*YV5c8C zy0`;CYb(n9Ii(mhM?RHZ$WJ!hsh5sq0bRk~8J}bK{)8t%%Wr-laI8Iky@X*6w5|%cO2O;~<^4u~@Viz~eW z&x3$L_Uoy`5TCap0c6z~8qQ=0OjhP_NrmC#>>$+w`tlM>01FncxeK{y*7y|GlxKyV zOdzpa7USEEzPjt-KvXf0Vt7+*0)H6;!q%3UA;Fvs!eK`i#tlH%=&3=D$f>!~c#`-O z*2Op2`QVr~8aBnr$Tp{SJ?L40`H>D(%Ey_L;1p-#b0-HN_tw?tdeP=0E!qbQAP%KS z(0#w?YrKANF%y?_4zRkW$cr?0%jrRCPS~@4j@K%V;kumy-!qzBp*vZJH$3R>_LE(Qc;FeS(iAB< zx4d1>Q3j0Z+;hxn_o!pup^RmT#v~>=Yk+00Lmmtt@fNe>h~FHq%MqNrc7f+t zG=T3$`9E(@<})RLANsFgH*X3{_VfUwI0xNeEcrEI{4EuT%&#U)Ny?gIiw_Jo$<(GO z->?IX^qW?PVhfJ!J*KRVCLk};Y!aUdqsXZYIR1@OV1V$>>OpfTCk_P%2lTNea&%Ju z1u_86ko7ge-jzs#J^P~sp6-iyAWhlN<3CEoum?vijXJ&M z8zNq`U)`PM!@i-Q#+W_Kb{S*(5KGW$He^rD=9CZLB?W5g9n6g0W!MWx!wyfzmTkjb z?HZ*s#E62fwHd9wAhApy`qJRfbIy zMIM4j`ib%YUBwT}PvKmVtSdG^Jq@`5Qf@Mxbjr;YP4)N5+=%W*@jeCq@Dj9N^*--Y zlQ-{jQqU2>S>4rmyN(;Q)|-Q+Fla#qcDhzMryZ#%eQZC@dNro|q5q4)z_`Njune~ec}E~z-7u0IR_%@TJkCAjT)7}kjByDUO|-LIePA32dZmmFQ$2HhF#I*!{%qdSAi zQKvs;T)l+Ti%6)Iae3PIhgV?V>Ncy+@!df?XVRARy~xLdsg{w+3~&7JUKA%u#WSpf^oy-mqWg#0mP7Rgx^O2 zJkV*hP{XEQNg49O(U5O=DMU;0Vihw$!;@sO1gkSbt~x_v)kznsoA&7{RkxO>OVthS zF+`@l6|^2SCz%TJ<%s}RsQ=K*pQU>V)Q(SKG>F6RT=WgUaK-_V)uz@w6)G@t7x68x zkSo&P{oZ>2_4t&)t@rqp{|j#Yazod`sxaL8n_e>l(*1sM=wCgS(3`{=xK(KnVE>Xq zOC9mRofEd_ehcMiYd1V^oZuT@Cym_q=$R1#tf? zZ@0z(@{nG?ljb)*g)_JU>5};st_JwZxt#I!DeWL9nmO=D|EnA9nYZ-PgCUX?#X8oL~7pgod-55d$>Xqps~(_n9{G%=VLQ!krnI{AzJm#pHj zU#^{IeBk=~Sa?LNad`Si5jh=grf?tbf^APOYis!Q6z-`7L?WSH(9w%zpqow(VLUfR zNbZ4yR%sM~)UYq=4$m2Hd>HFrv%wkiXI=tb{sHVg&<({&x)Coq$wc#KoY!tbU%wbt z1L)Q-~0*ps+3)zQh*$%@&WjR{t$rut#w0A(o)k4O)o@o*eo;5gR$t^0^5-L zF>c11@+ZK)*h~Rt^pJDRB7psSv^+fnlscQ3yDL3IaM~(#SI!4T{p>CnQluK_baL28 zPH_FDmTsgs$j|P_6C&UciV`#3c7R_WjGU(AKoYr|su1Okc83vrQQ|L%$P{aQ@=4@W z)&;q>iYDr{`|U)DCrSwXytm&!Ij`3@ww^A3k@Q0w;Y}=L`}&bZplx+y1t9x%)j!{Q zn3|81$Lj-G0{Wmt@|YjTzX7(C=2+bWln5?8Svfkb^V0&-rBTMTmS= zY05=|bMaZ9(u|O_r^psquzpD&N+d?sTW5#h>#uk*F`$_0i;7fQ)@< zXYr&KNALJpkw(kK!89V#M+lzJs|aijKc5->dePPO?(^a_!ch)v#4=tNLy%+0uh$gt zt1XB8haSq47qdoFaUJsH_>d1d!Ff}!kixemDKth`yOigYzX&cOXUsP zc(`xR+9p-azT4$>aboDiIoT5AOGy%kbEO%(URi8=j{}1A(4Nm>PmZtjPGOhh?rz^c zs$K4BIqvTN>m{0Wrjwo%1Ny~FD<~Wv_vUV4Y-$U)Fi7hyG%k$B<%8Jd^<`QM=dR1? z2L_xyTwXKd%n09e+-Fz^l0TOsw;cLzB&`^HaSVs;v6(*@r|Qx&ou>rZ030b;x>d3r zdNhu&+~MA-dz9_N^c2^|lxG!pE;XV{K5>Sj-4x0&l=L1P_atjdop7m+K|FUVXO|Q} zzniMd`S=>AWibi|`a6R#J+mou2f& z`A2lOwU~dSA8C@NfTQcJ-gP6VNt95&zRf`sE${diClU+0K8Rb>LS{G9E+>}Sy(hF0#w zo9+sAtKN!%$s(6X5;MQRrT#>)iRrz6fY-mIyj_p7Iow6C?NA3L`r1hZizw2V$WHMr zg(Q%SzS^`2AK?G<>;d@=viu$7HUCcP*8V9hv<0*qBY9S3t4~Q^rNWC64&S3#7BMJo zpIdvHoFSS1R2_vWJD&a(It$z7H+dfmwsT-`3)zK2pByaLhJY|C#yEwW@&}9NPk2+v z61*P!WH6RyFsC?wfQL^V0Z1+4A$Fqu4Zu?mZ6ZQ=3A*g08TAq%XPTsQiq(-a<@ab` z?S~B zS9NqYAa%++W|{f(RXKLg2Y^0Fdq)TI`n7@=guQU-%^Bf*zpXfB6&8 zk)QB4p=bjc*+j45-3fL~$UZu^{(J>TZ*SiKCC8$Qx3|i{+uH$5ZNiC=1^T(-?XA+f z6*woJ&49~2j^CUsLtlW0-nFT^kh`~nDJbv_xm(sUQ^0`SedndfaJgw94e4$Gk*g?T z22N+|F!n6gc7Q#cpfYAyBmJlg{RY*#p_Bxh&-3NjADm`@LeCo23cvzERw zPOVesx&!^_q-svSM$-%MQ3ABoo-W{du9LWi4JMtFoFpo~8+#p#-@}m|i~oseAC?f- zmR|obB~81N)}=ZF=xU|g{Q5ORL}wpmq5-Sl<1J45YT-z$89hvR&}znDKurws_)9^| zbV>+@zgY)E_ukQKfaL*3FL7+27e_nohf|-dt)&uoTt(BwD3?+4A3}Ai5_vA$QoE^ z9o2<1k^G>;SJ7>tj&pAh~3uWaBdAmYIcZsYN z4^cw-8BEF>C`Dz^X(l;ccu=TB_zk}~18NQiDp}@hZnVcpQ+TBphOdN~w`r6Btz#YI z<^=}yjDMcklC=TAGc{?$dW2OJNgDtI*uOj}!vbvmS7dEiuBJtS8SvqZbiN@TBWr`` z0?STL$=U#HKtiG4`X=?KNYk*G0Qp7luguy&y5wNU0zqD*&`U>na&G2k`hKzI^StS% zToH<4m@TqP?B`X2FzJh-G?BEyVl6Jms3+>-gq^A+ZCH#vilhy!G?O-z&njs{eD(~~ zH%^JuOBpmXX@kx3YG0AG!CXazzrO{#LvMjd+F)=lji~94U4tiOPugG}yUNbN+qe0^ z2s-}}{tK|OJ!!+^*Y!-=00^D^R4f_Ft2EYZ=Vd2tJl%Cu^_~I(-Ovt$B!loyi(d>-J61)Sb4!JXeFa&2u%>wklUc zEi&a%-+3z0fBue>&I93nZaoPD7&TFY_wLOxYo`)5#BeB4Lzy~H)KCUdi5kjmDp5mD z&8@(cq#0aQW^u5fhQM3a9lAhMd-BDAF}pcABi~7Y8a$q3y75dV+M? zsvUZbh$4gjJ5fc_^x+JS+Gd|^)HZ6{{L$02)mo~CNxiQCR_IXV%g3BL0Iew zyJp((C+(n#oRNt)m_zXh)_0^;WXNh4XQ)=^FbRklAXP7KZo%jNmNj{N7V_qu z$_68{30s>}2L>Tiy+9{qb5PhbIV8!!$GSk7NFiE*>#FQQgLVS;OZBLGOaF`UH^-7vkXgtNVQrXEMrF|on*uVZkMwo4V1yq!4 z*EWcRfJk^~aOj?)84&625Rqo+j$!ChQelu7KpJTf0i`4c5Co*VW9XLd{=oB|_dDzR z|7ShxiEHnB?|bj-UeB5}cg?)6L-HUlp5Hhrr?#RZc3;yzR-2OX4;#rA3QTv&JI zWS?FBW$pPUO=kM_@@3mMDso{zU-K}_mv}FjY?-6Uf(vN(NgF!i>g$K2Gs@3n`y+#^ z_f=etrtjt#KiG{{uR9&zkVkXB6^)9yi%0R#}*6t7#tPj&mSVKFZ=Dav!;@(Mhf8E(cXf`erKS$R9nD&1ZKX zOd57mk3)x}?XTBT-Ie5X3Ex;&q|N18y58)@gJZET7Bw>&rAO0I`+cQrJ4w&^@rjkivWEo;UsjyF-d-(pd-6pJ!?}$HTR# zu!FtJa;}wv8;a&)MF(!X#iJkKol1_`;MH))!17G&TbwB4WpBnhCaF_os0`NS0+^^%14Cuz|&8wO#+|;jV zJWUC@V*TcjuKt;h7!vhia24%+<9kMt(_a+Wf@QY)tNKYC^v}g@MQry>-itf`h_qjd z6t&xC|1=}0sw?3R~L=e8Qa4yxlR67i%8jNhnxL}Uuch(9eA5#J8_5}E6i9^R47KKdwS>fw_)=M>ZFoNyl%!7KcA&WWm$ z_oQhfPGStE4qch0M#Bk@%S`a`glVd)!>xWaaa`@e-EBw{<$j;^k3$&D!)>R(;=vw} zSBKM{r4tH|z0}w8MS#$M-UKL!x^ZA8#O^)ZXVekCo@H8$Z-0`1`G+Y zD0UUfrS@!ml52n6EU`w&>t_d?sHbK7hMV(EjGdNWWRg^juKA?OUt9ahfDHjEA1O@c z|Di(oJHP+6znz|=v4^7hDp|NP{t8;?FJ4lv?CLqu|&bS@f60Y>?ot+ zbE3F7rQdL_1-GXJ8B{VC$)fQatbNN_x>sOC8+cKrI#YsfRoL{eYBEKHukBzTiKF2| zZu}GjL_#3@b|%?@4lh>k0Si;+a9$vNUf%PcOK!M1Ma^Fe+R%mt0KaabRq#WSsZv%i zyya!Joh8;EB$FT8TkUy15-3kcwS64wH$%Q-j@^vn%S9Q6SjYA>lF!gS@E;f*8cqGi zjZ=yOQ~Z%llxcGp?qtudPisnW<#-%Afk)mdZ%j$o*Y>4(`V`e!Em^G7_SIOZ*Q+%l zr93-7C|A{{m}s4Oh7Hy@EiGH@9kU1zIRZtfythzX^43mRG;6J3X%e^0c+Qk0Y>H_! zGoaMqWvijkUyiD91P@Q&K&zq8-e66|4lmC|HQ8RGs~PI?S}W^278a{(9bfT{pH&#FFqO|Ii_(T$fg4(pybr>V`xVnW{+2g(CL^KLmBg3hzW`)R4+x?MFuR7bW! zY2@io$pQC$W3gGnpi_&_Me#wWss=s^>8WfaSJ+D8rCZq@P$QU{AsGUlW=e6ka%bAJ zsxzh#^z5=ya|k`IhDAP-c|}%K1HnZM?UWH5bOv7BF73;X>$1lGEMW z`s;;qWNR;@;;c&RdY9O-k?_DMVwtDfJM>-g8-KA~EP%6;qe`gAM-T>>(1WG(aIcpxqF z-hz)8k95~FYV$Wgo`w?>dh@k5$50+jOz_C^9ygyFN&R*yK zH&HDe_9JYde!Rx^unE8BYHN&-i%}lVlQks_2kra*M;j`2*eBtH>e(7^t8l;ehM#I~ z3Wb+5X+Z6m_fk7)&3og!WGtJ)TMje%q%_T@zUYm*`FoJeC3!WD>dFB(o1^q9qVH?D zDU@@B+gqr;4}q@>2po9J`8v6Y5}(E?t1^#*2fDTosMNzyJBsi_sR#JN^f>V`)5onoX zlH)j`v@C*CmFqI^Yo%KO@ssoFR39x+CEi2FnMqrL4tj7Co+{v5xf`DRG>jb~?`U8x z2w#s*{N|~fyE|RpbGej4KB#KKX`xDty>(D@B>Q@BtJSv8@*T{;!ymKGmYXtm7I(WK z!|h=$>CyZsOh1GC!^eYSb@H(&bgB(xtm6`8i2j8hLLq_SBA8#%YlUSEEl2t5G?Bgn zlW-YzVYuSY1zVu;hqUGrw67Daas1SPsA?nU$`KJ%M~R*SrZv9Tj{RyB?R6F5jv+hi zj~X%j(M1h9t9~*1TZE!-L)57$^PA2jtWvyWrd7ho+is%Abbq8GZ*&kZz<4m zlc}f|kll5sZK{}!tKS(P4TdUN)n<`#FioX)BKe2VbIBMrAME(Sft`w0r7~iqom2=q z_b|nI?7T}tc0_?CL!i6#r0?hQ>X^7Pc6<9@VPWMbI4`FX1cpGCToDR-+8q(fS8eP2 z@n?9h9I|?Da_>%M14p~ESe$XL+W5Wc_7t070-(4D;^4S9#zlAvw+@4&BbD(LoiWH; z2wJ`Xmj4h&!2r$`$AiqqAw9F};|p|YcsDbM`r~$FQc)G8rz0s{FYoT}^~}!a_3PGs ziV68HeqTLw^v29^yfn2)UjVl%n@I!%8MLPK;!4wm!|Re+b$gK)pHnDkcaMj9(-1G% zsJ(`mA05M!nl?L59`Z83$eC?pxqEEpqtdUnIXBm3WU@xKt8ps(<%^@*+9w!^hc+k@N_c@mH`4(=+^M z?GP9r)Ws_)QR-6`K9p(w~A#aP+8ltI-KWFZmYdtpd{tj=ylavzaR_!s?Nj`>ypoz<3!x(%P&kNMEoK=VU#rEa|(>WS|W0g^A7x$V&QV)D&t< z`WAi;xjFtaLQ+Zo3P_efKD0m{S#A@+MVS&s+DJv2vVCZs7iN`ul2(-6z!VJQr>8#` zyo@F7{KUd7jc1mL%g!H~vQPx+fI1^|7+dakvC=i5CKp&o=v^vEcaZ2aDT(5?bMf;o zNivN+fc8S=qr zomd(}L&0P-PFU>SGPQ8mJ|aU2>!aR6Azexz8N*JpOq|`_Y=Dl=Y}VKDCJWc+N_{w) z$DiM!#a1;q^(LawT#E9Tu9^jK&v&*UZZ7WUCzLbR%!G;HBV%}Kki!Vc%$alHkqZX70QS*>xR(XFa3dpd!6^^ zmEP}it^_&{#=Jz^5^jG#0~_o$Tb1*)8zp-OgIxiwp_W2avK5JKW+po3o>CJ`!nLw zd~e>!Tq$tCCZz$pGf2Z)QAm^6{l{2wNQ1~KyHk^X=k`P#%eoD|5Q>KDghidB@QrRm z6_Xyhuo>FigkFat-=0YryW{Tbi0|q?KNQ7nmB?MaHaX9{W$30S&5<_Dl&=USelu-# z2e1i*{xpI=%UhOC7gc{#c&7C2GHBa|tl0G`Mi19Hv;ijpW5hipZp(S=VyIzU&>P{2nP)wqE)c6GU`->PN z_K)c$#z+~+H#M1#pka$x+b+JVJpIiK8QOj6!p3^;rc09T;_9vGHM@nP@)Cb`jW?Pe)w(=t$!# z4f*jShkdA(K0Ox?$tvWJiEHaL2R9*(&P6yl_@Hlbk&)pnMJ|E@A#T2iqWhzVssyF6;t$l0SmNoCA zCB8CE^W-Bx@F5EvFTuPHMo0Im$2v!MI>^;(ur8`Sif;Y9PJJ3g>ZjRK%}x2@{Y*_v z<=g?HA|b)A?HXMuQgGo=rv&d+`|i_9;A<|llvsC2WpDT0${dPooVGq_jaEl`EDnT z?yfAY*Nu`mF3H8|&3*~(0bG|$V_C$qP84ft8Lm4QH%VoPGb-x9t*GkRo0mUs&h$@q zM7E~_jJEliO%n`3mqFhMPgn@XtO8?kK=_wIt}5U#e>#dv(94vQ(B-|SiYX_UoIAd+ z?(}9d&}Qfj!lN2O=PL_iNtgSd^g!&%4ug0KlYbyJ6jR8Isf&vTI<)P9lsPG6VQDRP zj|x=Jf}MP{%vNd7cS60Jr=lw9Q+$t>jLWL_mhB|p%b)nBkim^lb$apITTu9iL9S=* z>W4v5XJfutsP(yQ$xYV+JhI%PhFG|mb^_1BZ~|iLyY1<;ib6GTZhYp(Xseq%U-6{K z{`s;0C96~DzEhADyoQL{G!1C(@K$f89e5IA5hA+LQWTMhVm@PS|LWU;L-YG^(RAi{ zIv)?EV8}JWC?VSV34#ceMOLBVE|kI9Oij-6WxV#uml9VH?H-$-Aw=fl!$ z#}LmCO+U!7EzOgt$UFyH1UY^NgfX5H``sQT+doI$GH#~Fn)wZH>M~1F6fG8R6^sST z_*#cOfj`NU-Q}gpINSAH2>G(x;)=gv4KFVA7`r_l@+YLzmUZC$#8W=zmDu!J(VwC6 zd}k$Hp5qfW*7bq%Yu*{0FWW|7)Q9iEgF<#jZ5-&8JJQ^bFjdzF)fdi^uDeV7y@zRn z3sYQ&1==ZBg5DC_H-K?UfV#7TH$#Stw0teUWXWiP5sh85Ht>m1BvllVuhKZ%yz-hq zhhie0*3#qlt+-ZV63pOA36W#_neQ*CI#T*2RT2q)z2)k*HI9y4OGCytT=f zuHV@lY4>#9^`Q+rHSMRy3q^IvOlIaz8Wk+XZKWZXH2cL7Z(+ZIQrU= zq`cXR#N8HY0B?k%EiDDyuav9s*~Dm^35~Z& zcI?}?t#FB)?XGl8J~c;`%1VTBLbn`;GA(4*keKp^9<}-;B;S9c%90N~&U$3K1>Bl^ zT9T(#c3xZUyiMl$WwGh1txX2|usITGqmo|FbI@&mJt3>hg_=*cUmnWxUDmp9P0 zYfP_@y4E_Qg@4`$pW7itXWi>GIi;nt z0R?f>+KnjL846)r3>pPXHu6chq(w-V*_o+_yw~Sa&cF0eP1lxFb<1-pz4LhX7}9qA zN=K@Bb%O~Xt*9U~xie;gB?VeD(NW_enR$GvDlyaA6zb=kwJT;?>yy6WiWD(NVs@3n zK5yGN;B7-NT|+o@HnS7b8@NxPy2h=4HvqXIN$hSmZsU&y2pZ;uqF!A7Or_$pi?{W& zK17~9ZGm{bUhkGw#Y?c`el3;!2kB^$(bKuFc_i zC%iQIF^jDM(Ze}XgT;W%9u#SXX2e6s=g0xxJsJjQ@L~XYfM&sx=n#hj(E}@_Vs4V zzlxRM=iTnWY{M$okgv*oeSk!X5*lC~X`pW+DL|{_=>rGr5$JF#i#UIR^nTL>#FxFQ zKapTMH8kq7X%Hf6(No}&wEKK=L3cYwq6hnYbFX;7uT-m>>(b0`y=fYv%~|Rh?%#Y( zMROARO60dakB?!oe6+u$d~~!Y-4VE0^W&lRZ7=XwwqO$d-E#D@+g}3BHL0@WOeHI+ zAD_O16y}}0BC8541==m4i?G{G!p^&&IMP*Kdy=_(fZI=-<`&4$e!HlAI6c$xFLtAT zA%+T_AC4LQ+P$KE>+!L7E&`87jAg88c%_$cZ)&Ba-ll}M%xBQje|OZ9DF-+TW6Rzz z%G!1=xia~6i@tdA`5M>K$-)f=wS+j{KRKJ(;R*n_0rz(R03gK6$IJH*&i5Z&RPvdjWu?B>_ADkU8Y;DkgTX{71&~kBl40|3`X%|66`{y*vNo zpZC9h0HBxNE|vh02E^JDzy#p=<5vR$wRD2rndSy){Q*=hEo>oD&Rzh6JBS;=FUSkz z7UCBM^8)yUcz}F@y!>D=!03;vw6hcJuCN<`|G%Z>q0a6u{|e!6kAKjAYOZ}(4=BXR z&E?*+x%WS?A^-+;xBTZ#`c6y6(!(hYE*Kdpboc^|=j zp6@gEzj3~ z9$o;e4GiWY0=gsP4z-3tTx@L3-GI(eYc{q&j->CHhuJzi$=nmkDkH+n&CAa%$jiec zz$3&hsL%E