-
Notifications
You must be signed in to change notification settings - Fork 0
/
pelda_12_generate.vhd
75 lines (67 loc) · 1.79 KB
/
pelda_12_generate.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10/28/2017 11:36:37 AM
-- Design Name:
-- Module Name: pelda_4 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity pelda_4_generate is
generic (RSZ : natural :=4);
Port (src_clk: in std_logic;
reset : in std_logic;
CE : in std_logic;
d : in std_logic;
q : out std_logic_vector(RSZ-1 downto 0));
end pelda_4_generate;
architecture Behavioral of pelda_4_generate is
signal s: std_logic_vector(RSZ-1 downto 0);
begin
ciklus : for i in 0 to RSZ-1 generate
if_1: if i = 0 generate
reg_0 : process(src_clk, reset)
begin
if src_clk'event and src_clk='1' then
if reset='1' then
s(i)<= '0';
elsif CE='1' then
s(i)<=d;
end if;
end if;
end process;
end Generate;
if_i : if i>0 generate
reg_i:process (src_clk, reset)
begin
if src_clk'event and src_clk='1' then
if reset='1' then
s(i)<= '0';
elsif CE='1' then
s(i)<=s(i-1);
end if;
end if;
end process;
end generate;
q(i)<=s(i);
end generate;
end Behavioral;