{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":292746575,"defaultBranch":"master","name":"ctags","ownerLogin":"hirooih","currentUserCanPush":false,"isFork":true,"isEmpty":false,"createdAt":"2020-09-04T04:13:27.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/24754036?v=4","public":true,"private":false,"isOrgOwned":false},"refInfo":{"name":"","listCacheKey":"v0:1724546943.0","currentOid":""},"activityList":{"items":[{"before":"fa358f4ce7cdd4016311d657fbbb4ebeceed3605","after":null,"ref":"refs/heads/verilog-directive-in-enum-#4056","pushedAt":"2024-08-24T12:37:44.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"}},{"before":"adcc121a4b1142e40a11daf4c7e7e3167601922e","after":"fa358f4ce7cdd4016311d657fbbb4ebeceed3605","ref":"refs/heads/verilog-directive-in-enum-#4056","pushedAt":"2024-08-24T09:27:30.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"verilog: skip compiler directives in enum definition\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"verilog: skip compiler directives in enum definition"}},{"before":null,"after":"adcc121a4b1142e40a11daf4c7e7e3167601922e","ref":"refs/heads/verilog-directive-in-enum-#4056","pushedAt":"2024-08-24T03:14:22.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"verilog: skip compiler directives in enum definition (#4056)\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"verilog: skip compiler directives in enum definition (universal-ctags…"}},{"before":"d14f055e3cdb47fea4b723f1cce07cf74c488f5d","after":"e8578f4ed6da3991351443b59dbf7911d5277391","ref":"refs/heads/master","pushedAt":"2024-08-24T01:05:29.000Z","pushType":"push","commitsCount":1208,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Merge pull request #4048 from masatake/cargo\n\nCargo: new subparser based on TOML parser","shortMessageHtmlLink":"Merge pull request universal-ctags#4048 from masatake/cargo"}},{"before":"f2f07035fb7403069e43de561f7acb035c72d115","after":null,"ref":"refs/heads/validate-input-category","pushedAt":"2023-08-12T11:52:08.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"}},{"before":null,"after":"f2f07035fb7403069e43de561f7acb035c72d115","ref":"refs/heads/validate-input-category","pushedAt":"2023-08-12T08:23:14.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"docs: misc updates of input validation\n\n- use the real name of the category of simple-json.d.\n\n- add description about NONE in a validator file.\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"docs: misc updates of input validation"}},{"before":"80ed7f3a43f5d9598215e226b4ca975498528e74","after":null,"ref":"refs/heads/verilog-validator","pushedAt":"2023-08-12T02:45:59.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"}},{"before":"433c116f4679e2d9fd53abe5976ce092564543af","after":"80ed7f3a43f5d9598215e226b4ca975498528e74","ref":"refs/heads/verilog-validator","pushedAt":"2023-08-12T02:10:22.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Verilog: support validator\n\n- Use NONE for intensional fails.\n\n- Use KNOWN-INVALIDATION for fails by svlint.\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"Verilog: support validator"}},{"before":null,"after":"433c116f4679e2d9fd53abe5976ce092564543af","ref":"refs/heads/verilog-validator","pushedAt":"2023-08-05T05:36:15.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Verilog: support validator\n\n- Use NONE for intensional fails.\n\n- Use KNOWN-INVALIDATION for fails by svlint.\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"Verilog: support validator"}},{"before":"6e1f88e309437385893e4bd18ae74366fc2b6fed","after":null,"ref":"refs/heads/verilog-unit-test-lint-check","pushedAt":"2023-07-29T07:04:49.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"}},{"before":"4b096d0a2863c3a2bb4eb2f89b48aff2ade896b0","after":null,"ref":"refs/heads/verilog-continuous-assignment-delays","pushedAt":"2023-07-29T07:04:14.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"}},{"before":null,"after":"6e1f88e309437385893e4bd18ae74366fc2b6fed","ref":"refs/heads/verilog-unit-test-lint-check","pushedAt":"2023-07-29T05:43:30.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Verilog,unit: lint-check unit tests\n\n- fix issues reported by [svlint](https://github.com/dalance/svlint)\n\n- Intended errors still remain.\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"Verilog,unit: lint-check unit tests"}},{"before":null,"after":"4b096d0a2863c3a2bb4eb2f89b48aff2ade896b0","ref":"refs/heads/verilog-continuous-assignment-delays","pushedAt":"2023-07-29T04:49:59.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Verilog: fix for continuous assignment delays\n\nFIx to support the following code.\n\n```\n wire #10 wireA;\n wire #10 wirea = wireb;\n```\n\ncf. LRM 10.3.3 Continuous assignment delays\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"Verilog: fix for continuous assignment delays"}},{"before":null,"after":"6d1d50e33d1ba48d0665d11d6cc723c5203e73f5","ref":"refs/heads/verilog-text-macro-as-an-identifier","pushedAt":"2023-05-13T16:22:13.071Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"verilog: treat a text-macro as an identifier\n\nNote that text macros cannot be fully supported without the use of a preprocessor.\n\nFIx for #3712\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"verilog: treat a text-macro as an identifier"}},{"before":"55bfbe45175edf0ab103fdbf0bd8ad178750ace2","after":"ebb1bde0691a4f6222db3ff435541739bd63b336","ref":"refs/heads/verilog-virtual-interface","pushedAt":"2023-05-13T03:38:36.558Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Verilog, cosmetic: tabify\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"Verilog, cosmetic: tabify"}},{"before":null,"after":"55bfbe45175edf0ab103fdbf0bd8ad178750ace2","ref":"refs/heads/verilog-virtual-interface","pushedAt":"2023-05-12T12:08:31.373Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"hirooih","name":null,"path":"/hirooih","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/24754036?s=80&v=4"},"commit":{"message":"Verilog: support virtual interface variables\n\nSigned-off-by: Hiroo HAYASHI <24754036+hirooih@users.noreply.github.com>","shortMessageHtmlLink":"Verilog: support virtual interface variables"}}],"hasNextPage":false,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"startCursor":"Y3Vyc29yOnYyOpK7MjAyNC0wOC0yNFQxMjozNzo0NC4wMDAwMDBazwAAAASjC_MS","endCursor":"Y3Vyc29yOnYyOpK7MjAyMy0wNS0xMlQxMjowODozMS4zNzM5NDZazwAAAAMrec4B"}},"title":"Activity · hirooih/ctags"}