Skip to content

Commit

Permalink
Add unique ID
Browse files Browse the repository at this point in the history
  • Loading branch information
dm-vodopyanov committed Feb 12, 2024
1 parent 81295d9 commit c83a442
Showing 1 changed file with 1 addition and 0 deletions.
1 change: 1 addition & 0 deletions sycl/source/detail/device_info.hpp
Original file line number Diff line number Diff line change
Expand Up @@ -638,6 +638,7 @@ constexpr std::pair<const int, oneapi_exp_arch> IntelGPUArchitectures[] = {
{0x030e0005, oneapi_exp_arch::intel_gpu_acm_g11},
{0x030e4000, oneapi_exp_arch::intel_gpu_acm_g12},
{0x030f0007, oneapi_exp_arch::intel_gpu_pvc},
{0x030f4007, oneapi_exp_arch::intel_gpu_pvc_vg},
};

// Only for Intel CPU architectures
Expand Down

0 comments on commit c83a442

Please sign in to comment.