diff --git a/Bender.lock b/Bender.lock index ce595230a..ef21f23fe 100644 --- a/Bender.lock +++ b/Bender.lock @@ -9,8 +9,8 @@ packages: - common_cells - common_verification cluster_interconnect: - revision: 7d0a4f8acae71a583a6713cab5554e60b9bb8d27 - version: 1.2.1 + revision: 8c6c2273d60077002834d2cb5d8e44ee0de3e32c + version: null source: Git: "https://github.com/pulp-platform/cluster_interconnect.git" dependencies: @@ -85,7 +85,7 @@ packages: dependencies: - common_cells spatz: - revision: 5e854f1fd9e82df236565a61a710d3092059f471 + revision: 32038321ecb42d14f5f28444fff2d7e7248d8e41 version: null source: Git: git@iis-git.ee.ethz.ch:spatz/spatz.git diff --git a/Bender.yml b/Bender.yml index 1665be512..1c8a19817 100644 --- a/Bender.yml +++ b/Bender.yml @@ -7,15 +7,15 @@ package: dependencies: axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.36.0 } - cluster_interconnect: { git: "https://github.com/pulp-platform/cluster_interconnect.git", version: 1.2.1 } + cluster_interconnect: { git: "https://github.com/pulp-platform/cluster_interconnect.git", rev: 8c6c227 } common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.23.0 } idma: { path: "hardware/deps/idma" } register_interface: { git: "https://github.com/pulp-platform/register_interface.git", version: 0.3.1 } reqrsp_interface: { path: "hardware/deps/reqrsp_interface" } snitch: { path: "hardware/deps/snitch" } tech_cells_generic: { git: "https://github.com/pulp-platform/tech_cells_generic.git", version: 0.2.5 } - spatz: { git: "git@iis-git.ee.ethz.ch:spatz/spatz.git", rev: 5e854f1f } - FPnew: { git: "https://github.com/pulp-platform/cvfpu.git", rev: pulp-v0.1.3 } + spatz: { git: "git@iis-git.ee.ethz.ch:spatz/spatz.git", rev: 32038321 } + FPnew: { git: "https://github.com/pulp-platform/cvfpu.git", rev: pulp-v0.1.3 } workspace: checkout_dir: "./hardware/deps" diff --git a/config/mempool_spatz2_fpu.mk b/config/mempool_spatz2_fpu.mk new file mode 100644 index 000000000..06ea40e71 --- /dev/null +++ b/config/mempool_spatz2_fpu.mk @@ -0,0 +1,44 @@ +# Copyright 2021 ETH Zurich and University of Bologna. +# Licensed under the Apache License, Version 2.0, see LICENSE for details. +# SPDX-License-Identifier: Apache-2.0 + +# Author: Matheus Cavalcante, ETH Zurich + +############### +## MemPool ## +############### + +# Number of cores +num_cores ?= 128 + +# Number of groups +num_groups ?= 4 + +# Number of cores per MemPool tile +num_cores_per_tile ?= 2 + +# L1 scratchpad banking factor +banking_factor ?= 4 + +# Radix for hierarchical AXI interconnect +axi_hier_radix ?= 20 + +# Number of AXI masters per group +axi_masters_per_group ?= 1 + +# Activate Spatz and RVV +spatz ?= 1 + +# Lenght of single vector register +vlen ?= 256 + +# Number of IPUs +n_ipu ?= 2 + +n_fpu ?= 2 + +# Deactivate the XpulpIMG extension +xpulpimg ?= 0 + +rvf ?= 1 +rvd ?= 0 diff --git a/config/terapool_spatz2_fpu.mk b/config/terapool_spatz2_fpu.mk new file mode 100644 index 000000000..c8b09ba4b --- /dev/null +++ b/config/terapool_spatz2_fpu.mk @@ -0,0 +1,62 @@ +# Copyright 2021 ETH Zurich and University of Bologna. +# Licensed under the Apache License, Version 2.0, see LICENSE for details. +# SPDX-License-Identifier: Apache-2.0 + +# Author: Matheus Cavalcante, ETH Zurich + +################ +## TeraPool ## +################ + +# Global Control +terapool ?= 1 + +# Number of cores +num_cores ?= 512 + +# Number of groups +num_groups ?= 4 + +# Number of cores per Terapool tile +num_cores_per_tile ?= 4 + +# Number of sub groups per Terapool group +num_sub_groups_per_group ?= 4 + +# L1 scratchpad banking factor +banking_factor ?= 4 + +# Access latency between remote groups +# Options: "7", "9" or "11": +remote_group_latency_cycles ?= 7 + +# Radix for hierarchical AXI interconnect +axi_hier_radix ?= 9 + +# Number of AXI masters per group +axi_masters_per_group ?= 4 + +# Number of DMA backends in each group +dmas_per_group ?= 4 + +# L2 Banks/Channels +l2_banks = 16 + +# Makefile RTL Filtering Control +subgroup_rtl = 1 + +# Activate Spatz and RVV +spatz ?= 1 + +# Lenght of single vector register +vlen ?= 256 + +# Number of IPUs +n_ipu ?= 2 + +n_fpu ?= 2 + +# Deactivate the XpulpIMG extension +xpulpimg ?= 0 + +rvf ?= 1 diff --git a/config/terapool_spatz8_fpu.mk b/config/terapool_spatz8_fpu.mk new file mode 100644 index 000000000..837ed06a6 --- /dev/null +++ b/config/terapool_spatz8_fpu.mk @@ -0,0 +1,62 @@ +# Copyright 2021 ETH Zurich and University of Bologna. +# Licensed under the Apache License, Version 2.0, see LICENSE for details. +# SPDX-License-Identifier: Apache-2.0 + +# Author: Matheus Cavalcante, ETH Zurich + +################ +## TeraPool ## +################ + +# Global Control +terapool ?= 1 + +# Number of cores +num_cores ?= 128 + +# Number of groups +num_groups ?= 4 + +# Number of cores per Terapool tile +num_cores_per_tile ?= 1 + +# Number of sub groups per Terapool group +num_sub_groups_per_group ?= 4 + +# L1 scratchpad banking factor +banking_factor ?= 4 + +# Access latency between remote groups +# Options: "7", "9" or "11": +remote_group_latency_cycles ?= 7 + +# Radix for hierarchical AXI interconnect +axi_hier_radix ?= 9 + +# Number of AXI masters per group +axi_masters_per_group ?= 4 + +# Number of DMA backends in each group +dmas_per_group ?= 4 + +# L2 Banks/Channels +l2_banks = 16 + +# Makefile RTL Filtering Control +subgroup_rtl = 1 + +# Activate Spatz and RVV +spatz ?= 1 + +# Lenght of single vector register +vlen ?= 1024 + +# Number of IPUs +n_ipu ?= 8 + +n_fpu ?= 8 + +# Deactivate the XpulpIMG extension +xpulpimg ?= 0 + +rvf ?= 1 diff --git a/hardware/Makefile b/hardware/Makefile index b068b675c..7b83d66da 100644 --- a/hardware/Makefile +++ b/hardware/Makefile @@ -109,8 +109,11 @@ vlog_defs += -DNUM_SUB_GROUPS_PER_GROUP=$(num_sub_groups_per_group) -DREMOTE_GRO ifeq ($(spatz), 1) vlog_defs += -DVLEN=$(vlen) -DN_IPU=$(n_ipu) -DN_FPU=$(n_fpu) -DN_FU=$(shell awk 'BEGIN{print ($(n_ipu) > $(n_fpu)) ? $(n_ipu) : $(n_fpu)}') - vlog_defs += -DMEMPOOL_SPATZ=$(spatz) + # spatz need wen signal on TCDM response channel for ACK handling + vlog_defs += -DMEMPOOL_SPATZ=$(spatz) -DRESPWEN=$(spatz) bender_defs += -t spatz + SPATZ_DIR := $(shell $(bender) path spatz) + SPATZ_CLUSTER_DIR := $(SPATZ_DIR)/hw/system/spatz_cluster endif # Traffic generation enabled @@ -142,6 +145,12 @@ $(buildpath): $(bender): make -C $(MEMPOOL_DIR) bender +.PHONY: buildspatz +buildspatz: + @if [ "$(spatz)" = "1" ]; then \ + $(MAKE) -BC $(SPATZ_CLUSTER_DIR) SPATZ_CLUSTER_CFG=$(SPATZ_CLUSTER_DIR)/cfg/mempool.hjson generate; \ + fi + ################ # Modelsim # ################ diff --git a/hardware/deps/cluster_interconnect b/hardware/deps/cluster_interconnect index 7d0a4f8ac..8c6c2273d 160000 --- a/hardware/deps/cluster_interconnect +++ b/hardware/deps/cluster_interconnect @@ -1 +1 @@ -Subproject commit 7d0a4f8acae71a583a6713cab5554e60b9bb8d27 +Subproject commit 8c6c2273d60077002834d2cb5d8e44ee0de3e32c diff --git a/hardware/deps/snitch/src/snitch.sv b/hardware/deps/snitch/src/snitch.sv index 9bef777e9..833020979 100644 --- a/hardware/deps/snitch/src/snitch.sv +++ b/hardware/deps/snitch/src/snitch.sv @@ -2799,7 +2799,7 @@ module snitch gpr_we[0] = 1'b1; gpr_waddr[0] = lsu_rd; gpr_wdata[0] = ld_result[31:0]; - end else if (acc_pvalid_i & acc_pwrite_i) begin + end else if (acc_pvalid_i) begin // if we are not retiring another instruction retire the accelerated one now retire_acc = 1'b1; gpr_we[0] = 1'b1; @@ -2836,7 +2836,7 @@ module snitch retire_load = 1'b1; gpr_we[1] = 1'b1; lsu_pready = 1'b1; - end else if (acc_pvalid_i & acc_pwrite_i) begin + end else if (acc_pvalid_i) begin retire_acc = 1'b1; gpr_we[1] = 1'b1; gpr_waddr[1] = acc_pid_i; @@ -2845,7 +2845,7 @@ module snitch end // if we are not retiring another instruction retire the load now end else begin - if (acc_pvalid_i & acc_pwrite_i) begin + if (acc_pvalid_i) begin retire_acc = 1'b1; gpr_we[0] = 1'b1; gpr_waddr[0] = acc_pid_i; diff --git a/hardware/deps/spatz b/hardware/deps/spatz index 5e854f1fd..32038321e 160000 --- a/hardware/deps/spatz +++ b/hardware/deps/spatz @@ -1 +1 @@ -Subproject commit 5e854f1fd9e82df236565a61a710d3092059f471 +Subproject commit 32038321ecb42d14f5f28444fff2d7e7248d8e41 diff --git a/hardware/scripts/gen_trace.py b/hardware/scripts/gen_trace.py index 1763f9151..3bbe29090 100755 --- a/hardware/scripts/gen_trace.py +++ b/hardware/scripts/gen_trace.py @@ -149,7 +149,8 @@ def annotate_snitch( retired_reg: dict, perf_metrics: list, force_hex_addr: bool = True, - permissive: bool = False + permissive: bool = False, + spatz_active: int = 0 ) -> (str, dict): # Compound annotations in datapath order ret = [] @@ -242,6 +243,20 @@ def annotate_snitch( # Any kind of PC change: Branch, Jump, etc. if not extras['stall'] and extras['pc_d'] != pc + 4: ret.append('goto {}'.format(int_lit(extras['pc_d']))) + if not extras['stall_spatz']: + if extras['stall_totacc']: + ret.append('// spatz stall {} cycles'.format(extras['stall_totacc'])) + perf_metrics[-1]['stall_totacc'] += extras['stall_totacc'] + if extras['stall_vfu']: + perf_metrics[-1]['stall_vfu'] += extras['stall_vfu'] + ret.append('({} vfu)'.format(extras['stall_vfu'])) + if extras['stall_vlsu']: + perf_metrics[-1]['stall_vlsu'] += extras['stall_vlsu'] + ret.append('({} vlsu)'.format(extras['stall_vlsu'])) + if extras['stall_vsldu']: + perf_metrics[-1]['stall_vsldu'] += extras['stall_vsldu'] + ret.append('({} vsldu)'.format(extras['stall_vsldu'])) + perf_metrics[-1]['spatz_active'] = extras['spatz_active'] # Count stalls, but only in cycles that execute an instruction if not extras['stall']: if extras['stall_tot']: @@ -309,16 +324,19 @@ def annotate_insn( show_time_info = (dupl_time_info or time_info != last_time_info) time_info_strs = tuple((str(elem) if show_time_info else '') for elem in time_info) + spatz_active = 0 # Annotated trace if extras_str: extras = read_annotations(extras_str) + if 'spatz_active' in extras: + spatz_active = extras['spatz_active'] # Annotate snitch (annot, retired_reg) = annotate_snitch( extras, time_info[1], last_time_info[1], int(pc_str, 16), gpr_wb_info, prev_wfi_time, retired_reg, perf_metrics, force_hex_addr, - permissive) - if extras['stall']: + permissive, spatz_active) + if extras['stall'] or extras['stall_spatz']: insn, pc_str = ('', '') else: perf_metrics[-1]['snitch_issues'] += 1 @@ -423,6 +441,7 @@ def eval_perf_metrics(perf_metrics: list, id: int): def fmt_perf_metrics(perf_metrics: list, idx: int, omit_keys: bool = True): ret = ['Performance metrics for section {} @ ({}, {}):'.format( idx, perf_metrics[idx]['start'], perf_metrics[idx]['end'])] + ret.append('{:<40}{:>10}'.format('Spatz Active', int_lit(perf_metrics[idx]['spatz_active']))) for key, val in sorted(perf_metrics[idx].items()): if omit_keys and key in PERF_EVAL_KEYS_OMIT: continue @@ -447,7 +466,7 @@ def sanity_check_perf_metrics(perf_metrics: list, idx: int): # Sum up all stalls sum_tot = perf_metric.get('stall_ins', 0) + \ perf_metric.get('stall_lsu', 0) + perf_metric.get('stall_raw', 0) + \ - perf_metric.get('stall_wfi', 0) + perf_metric.get('stall_wfi', 0) + perf_metric.get('stall_acc', 0) if (sum_tot != perf_metric.get('stall_tot', 0)): error['total_stalls'] = sum_tot # Sum up all cycles @@ -503,7 +522,13 @@ def perf_metrics_to_csv(perf_metrics: list, filename: str): 'seq_stores_local', 'seq_stores_global', 'itl_stores_local', - 'itl_stores_global'] + 'itl_stores_global', + 'stall_spatz', + 'stall_totacc', + 'stall_vfu', + 'stall_vlsu', + 'stall_vsldu', + 'spatz_active'] for key in keys: if key not in known_keys: known_keys.append(key) diff --git a/hardware/scripts/questa/wave.tcl b/hardware/scripts/questa/wave.tcl index 481d08a03..e3cfdee57 100644 --- a/hardware/scripts/questa/wave.tcl +++ b/hardware/scripts/questa/wave.tcl @@ -30,7 +30,7 @@ add wave /mempool_tb/wfi # Add all cores from group 0 tile 0 for {set core 0} {$core < [examine -radix dec mempool_pkg::NumCoresPerTile]} {incr core} { - if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { + if {[string match "terapool_spatz*" $config] | $config == {terapool}} { do ../scripts/questa/wave_core.tcl 0 0 0 $core } else { do ../scripts/questa/wave_core.tcl 0 0 $core @@ -38,7 +38,7 @@ for {set core 0} {$core < [examine -radix dec mempool_pkg::NumCoresPerTile]} {i } # Add specific cores from different tiles -if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { +if {[string match "terapool_spatz*" $config] | $config == {terapool}} { do ../scripts/questa/wave_core.tcl 1 0 0 0 } else { do ../scripts/questa/wave_core.tcl 1 0 0 @@ -47,7 +47,7 @@ if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { # Add groups for {set group 0} {$group < [examine -radix dec /mempool_pkg::NumGroups]} {incr group} { # Add tiles - if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { + if {[string match "terapool_spatz*" $config] | $config == {terapool}} { for {set subgroup 0} {$subgroup < [expr min(4,[examine -radix dec /mempool_pkg::NumSubGroupsPerGroup])]} {incr subgroup} { for {set tile 0} {$tile < [expr min(4,[examine -radix dec /mempool_pkg::NumTilesPerSubGroup])]} {incr tile} { do ../scripts/questa/wave_tile.tcl $group $subgroup $tile @@ -63,14 +63,14 @@ for {set group 0} {$group < [examine -radix dec /mempool_pkg::NumGroups]} {incr for {set tgtgroup 0} {$tgtgroup < [examine -radix dec /mempool_pkg::NumGroups]} {incr tgtgroup} { if {$tgtgroup != $group} { set interco_idx [expr $group ^ $tgtgroup] - if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { + if {[string match "terapool_spatz*" $config] | $config == {terapool}} { add wave -group group_[$group] -group interconnect_to_group[$tgtgroup] /mempool_tb/dut/i_mempool_cluster/gen_groups[$group]/gen_rtl_group/i_group/gen_remote_interco[$interco_idx]/i_remote_interco/* } else { add wave -group group_[$group] -group interconnect_to_group[$tgtgroup] /mempool_tb/dut/i_mempool_cluster/gen_groups[$group]/i_group/gen_remote_interco[$interco_idx]/i_remote_interco/* } } } - if {$config != {terapool} & $config != {terapool_spatz4_fpu}} { + if {$config != {terapool} & ![string match "terapool_spatz*" $config]} { add wave -group group_[$group] -group interconnect_local /mempool_tb/dut/i_mempool_cluster/gen_groups[$group]/i_group/i_local_interco/* } } @@ -83,7 +83,7 @@ add wave -Group Control_Registers /mempool_tb/dut/i_ctrl_registers/* add wave -Group DMA /mempool_tb/dut/i_mempool_dma/* add wave -Group DMA -Group Reg /mempool_tb/dut/i_mempool_dma/i_mempool_dma_frontend_reg_top/* for {set group 0} {$group < [examine -radix dec /mempool_pkg::NumGroups]} {incr group} { - if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { + if {[string match "terapool_spatz*" $config] | $config == {terapool}} { add wave -Group DMA_midend_${group} /mempool_tb/dut/i_mempool_cluster/gen_groups[$group]/gen_rtl_group/i_group/i_idma_distributed_midend/NoMstPorts add wave -Group DMA_midend_${group} /mempool_tb/dut/i_mempool_cluster/gen_groups[$group]/gen_rtl_group/i_group/i_idma_distributed_midend/DmaRegionWidth add wave -Group DMA_midend_${group} /mempool_tb/dut/i_mempool_cluster/gen_groups[$group]/gen_rtl_group/i_group/i_idma_distributed_midend/DmaRegionStart @@ -121,7 +121,7 @@ add wave -Group DMA_midend_cluster /mempool_tb/dut/i_mempool_cluster/i_idma_dist add wave -Group DMA_split /mempool_tb/dut/i_mempool_cluster/i_idma_split_midend/* -if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { +if {[string match "terapool_spatz*" $config] | $config == {terapool}} { do ../scripts/questa/wave_cache.tcl 0 0 0 0 } else { do ../scripts/questa/wave_cache.tcl 0 0 0 diff --git a/hardware/scripts/questa/wave_cache.tcl b/hardware/scripts/questa/wave_cache.tcl index ae8a0cc7d..6deea3333 100644 --- a/hardware/scripts/questa/wave_cache.tcl +++ b/hardware/scripts/questa/wave_cache.tcl @@ -4,7 +4,7 @@ # Create cache for core $3 from group $1 tile $2 (core_id=NUM_CORES_PER_group*$1+NUM_CORES_PER_TILE*$2+$3) -if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { +if {[string match "terapool_spatz*" $config] | $config == {terapool}} { add wave -noupdate -group cache[$1][$2][$3][$4] -divider Parameters add wave -noupdate -group cache[$1][$2][$3][$4] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_caches[$4]/i_snitch_icache/NR_FETCH_PORTS add wave -noupdate -group cache[$1][$2][$3][$4] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_caches[$4]/i_snitch_icache/L0_LINE_COUNT diff --git a/hardware/scripts/questa/wave_core.tcl b/hardware/scripts/questa/wave_core.tcl index 25a3f2a33..dff260e97 100644 --- a/hardware/scripts/questa/wave_core.tcl +++ b/hardware/scripts/questa/wave_core.tcl @@ -3,7 +3,7 @@ # SPDX-License-Identifier: SHL-0.51 # Create group for core $3 from group $1 tile $2 (core_id=NUM_CORES_PER_group*$1+NUM_CORES_PER_TILE*$2+$3) -if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { +if {[string match "terapool_spatz*" $config] | $config == {terapool}} { add wave -noupdate -group core[$1][$2][$3][$4] -group Params /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/BootAddr add wave -noupdate -group core[$1][$2][$3][$4] -group Params /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/MTVEC add wave -noupdate -group core[$1][$2][$3][$4] -group Params /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/RVE @@ -147,6 +147,105 @@ if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { add wave -noupdate -group core[$1][$2][$3][$4] -group Internal /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_snitch/csr_trace_q add wave -noupdate -group core[$1][$2][$3][$4] -group Internal /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_snitch/csr_trace_en add wave -noupdate -group core[$1][$2][$3][$4] -group Internal /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_snitch/core_events_o + + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/issue_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_ready_o + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_o + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_valid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_ready_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_o + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_valid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_ready_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_ready_o + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req_valid + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req_ready + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/next_id + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/no_free_id + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_q + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_d + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_valid_q + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_valid_d + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id_q + add wave -noupdate -group core[$1][$2][$3][$4] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id_d + + # add wave -noupdate -group core[$1][$2][$3][$4] -divider SPATZ + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/issue_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/issue_ready_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/issue_req_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/issue_rsp_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/rsp_valid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/rsp_ready_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/rsp_o + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_valid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_ready_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_rsp_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_rsp_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_finished_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_str_finished_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_valid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_ready_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_ready_o + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_valid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_ready_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_valid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_ready_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_waddr_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wdata_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_we_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wbe_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wvalid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_id_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_raddr_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_re_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_rdata_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_rvalid_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/fpu_status_o + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group "FPU Sequencer" /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/* + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group "FPU Sequencer" -group FPR /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/i_fpr/* + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group "FPU Sequencer" -group LSU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/i_fp_lsu/* + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group Controller /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_controller/* + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF -divider RegisterWrite + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/waddr_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wdata_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/we_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wbe_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wvalid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF -divider RegisterRead + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/raddr_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rdata_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/re_i + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rvalid_o + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF -divider Internal + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/waddr + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wdata + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/we + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wbe + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/raddr + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rdata + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VLSU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vlsu/* + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VSLDU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vsldu/* + + add wave -noupdate -group core[$1][$2][$3][$4] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/gen_rtl_group/i_group/gen_sub_groups[$2]/gen_rtl_sg/i_sub_group/gen_tiles[$3]/i_tile/gen_cores[$4]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/* + } else { add wave -noupdate -group core[$1][$2][$3] -group Params /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/BootAddr add wave -noupdate -group core[$1][$2][$3] -group Params /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/MTVEC @@ -291,102 +390,101 @@ if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { add wave -noupdate -group core[$1][$2][$3] -group Internal /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_snitch/csr_trace_q add wave -noupdate -group core[$1][$2][$3] -group Internal /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_snitch/csr_trace_en add wave -noupdate -group core[$1][$2][$3] -group Internal /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_snitch/core_events_o -} -add wave -noupdate -group core[$1][$2][$3] -divider ID_REMAPPER -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_i -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_valid_i -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_ready_o -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_o -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_valid_o -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_ready_i -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_o -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_valid_o -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_ready_i -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_i -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_valid_i -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_ready_o -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req_valid -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req_ready -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/next_id -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/no_free_id -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_q -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_d -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_valid_q -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_valid_d -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id_q -add wave -noupdate -group core[$1][$2][$3] /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id_d - -# add wave -noupdate -group core[$1][$2][$3] -divider SPATZ -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_valid_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_ready_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_req_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_rsp_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/rsp_valid_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/rsp_ready_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/rsp_o - -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_valid_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_ready_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_rsp_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_rsp_valid_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_finished_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_str_finished_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_valid_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_ready_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_valid_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_ready_o - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_valid_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_ready_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_valid_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_ready_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_waddr_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wdata_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_we_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wbe_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wvalid_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_id_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_raddr_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_re_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_rdata_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_rvalid_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/fpu_status_o - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group "FPU Sequencer" /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/* -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group "FPU Sequencer" -group FPR /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/i_fpr/* -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group "FPU Sequencer" -group LSU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/i_fp_lsu/* - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group Controller /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_controller/* - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF -divider RegisterWrite -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/waddr_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wdata_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/we_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wbe_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wvalid_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF -divider RegisterRead -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/raddr_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rdata_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/re_i -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rvalid_o -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF -divider Internal -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/waddr -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wdata -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/we -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wbe -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/raddr -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rdata - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VLSU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vlsu/* - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VSLDU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vsldu/* - -add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/* + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_i + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_valid_i + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_ready_o + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_o + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_valid_o + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_ready_i + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_o + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_valid_o + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/req_ready_i + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_i + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_valid_i + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/resp_ready_o + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req_valid + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/req_ready + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/next_id + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/no_free_id + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_q + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_d + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_valid_q + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/remapped_id_valid_d + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id_q + add wave -noupdate -group core[$1][$2][$3] -group ID_REMAPPER /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/gen_id_remapper/i_id_remapper/gen_remapper/id_d + + # add wave -noupdate -group core[$1][$2][$3] -divider SPATZ + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_valid_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_ready_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_req_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/issue_rsp_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/rsp_valid_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/rsp_ready_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/rsp_o + + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_valid_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_req_ready_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_rsp_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_rsp_valid_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_finished_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/spatz_mem_str_finished_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_valid_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_req_ready_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_valid_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/fp_lsu_mem_rsp_ready_o + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_valid_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/spatz_req_ready_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_valid_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_ready_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vfu_rsp_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_waddr_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wdata_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_we_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wbe_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_wvalid_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_id_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_raddr_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_re_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_rdata_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/vrf_rvalid_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/fpu_status_o + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group "FPU Sequencer" /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/* + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group "FPU Sequencer" -group FPR /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/i_fpr/* + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group "FPU Sequencer" -group LSU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/gen_fpu_sequencer/i_fpu_sequencer/i_fp_lsu/* + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group Controller /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_controller/* + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF -divider RegisterWrite + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/waddr_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wdata_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/we_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wbe_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wvalid_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF -divider RegisterRead + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/raddr_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rdata_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/re_i + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rvalid_o + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF -divider Internal + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/waddr + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wdata + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/we + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/wbe + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/raddr + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VRF /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vrf/rdata + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VLSU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vlsu/* + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VSLDU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vsldu/* + + add wave -noupdate -group core[$1][$2][$3] -group Spatz -group VFU /mempool_tb/dut/i_mempool_cluster/gen_groups[$1]/i_group/gen_tiles[$2]/i_tile/gen_cores[$3]/gen_mempool_cc/riscv_core/i_spatz/i_vfu/* +} diff --git a/hardware/scripts/questa/wave_tile.tcl b/hardware/scripts/questa/wave_tile.tcl index bb094d368..a8658104f 100644 --- a/hardware/scripts/questa/wave_tile.tcl +++ b/hardware/scripts/questa/wave_tile.tcl @@ -3,7 +3,7 @@ # SPDX-License-Identifier: SHL-0.51 # Create group for group $1 tile $2 -if {$config == {terapool_spatz4_fpu} | $config == {terapool}} { +if {[string match "terapool_spatz*" $config] | $config == {terapool}} { add wave -noupdate -group group_[$1] -group sub_group_[$2] -group Tile_[$3] -group Params /mempool_pkg::NumBanksPerTile add wave -noupdate -group group_[$1] -group sub_group_[$2] -group Tile_[$3] -group Params /mempool_pkg::NumTiles add wave -noupdate -group group_[$1] -group sub_group_[$2] -group Tile_[$3] -group Params /mempool_pkg::NumBanks diff --git a/hardware/src/mempool_cc.sv b/hardware/src/mempool_cc.sv index 830b30d48..9383e6bac 100644 --- a/hardware/src/mempool_cc.sv +++ b/hardware/src/mempool_cc.sv @@ -32,6 +32,7 @@ module mempool_cc output logic data_qvalid_o, input logic data_qready_i, input logic [31:0] data_pdata_i, + input logic data_pwrite_i, input logic data_perror_i, input meta_id_t data_pid_i, input logic data_pvalid_i, @@ -55,50 +56,6 @@ module mempool_cc logic acc_req_d_valid, acc_req_d_ready, acc_resp_d_valid, acc_resp_d_ready; logic acc_req_q_valid, acc_req_q_ready, acc_resp_q_valid, acc_resp_q_ready; - // // Snitch Integer Core - // snitch #( - // .BootAddr ( BootAddr ), - // .MTVEC ( MTVEC ), - // .RVE ( RVE ), - // .RVM ( RVM ) - // ) i_snitch ( - // .clk_i , - // .rst_i , - // .hart_id_i , - // .inst_addr_o , - // .inst_data_i , - // .inst_valid_o , - // .inst_ready_i , - // .acc_qaddr_o ( acc_req_d.addr ), - // .acc_qid_o ( acc_req_d.id ), - // .acc_qdata_op_o ( acc_req_d.data_op ), - // .acc_qdata_arga_o ( acc_req_d.data_arga ), - // .acc_qdata_argb_o ( acc_req_d.data_argb ), - // .acc_qdata_argc_o ( acc_req_d.data_argc ), - // .acc_qvalid_o ( acc_req_d_valid ), - // .acc_qready_i ( acc_req_d_ready ), - // .acc_pdata_i ( acc_resp_q.data ), - // .acc_pid_i ( acc_resp_q.id ), - // .acc_perror_i ( acc_resp_q.error ), - // .acc_pvalid_i ( acc_resp_q_valid ), - // .acc_pready_o ( acc_resp_q_ready ), - // .data_qaddr_o ( data_req_d.addr ), - // .data_qwrite_o ( data_req_d.write ), - // .data_qamo_o ( data_req_d.amo ), - // .data_qdata_o ( data_req_d.data ), - // .data_qstrb_o ( data_req_d.strb ), - // .data_qid_o ( data_req_d.id ), - // .data_qvalid_o ( data_req_d_valid ), - // .data_qready_i ( data_req_d_ready ), - // .data_pdata_i ( data_resp_q.data ), - // .data_perror_i ( data_resp_q.error ), - // .data_pid_i ( data_resp_q.id ), - // .data_pvalid_i ( data_resp_q_valid ), - // .data_pready_o ( data_resp_q_ready ), - // .wake_up_sync_i ( wake_up_sync_i ), - // .core_events_o ( core_events_o ) - // ); - typedef struct packed { logic accept; logic writeback; @@ -110,6 +67,8 @@ module mempool_cc fpnew_pkg::roundmode_e fpu_rnd_mode; fpnew_pkg::fmt_mode_t fpu_fmt_mode; fpnew_pkg::status_t fpu_status; + + // These signals are for Spatz acc_issue_rsp_t acc_req_rsp; // Spatz Memory consistency signals logic [1:0] spatz_mem_finished; @@ -269,7 +228,7 @@ module mempool_cc assign data_req_q_ready = data_qready_i; assign data_resp_d.data = data_pdata_i; assign data_resp_d.id = data_pid_i; - assign data_resp_d.write = 'x; // Don't care here + assign data_resp_d.write = data_pwrite_i; assign data_resp_d.error = data_perror_i; assign data_resp_d_valid = data_pvalid_i; assign data_pready_o = data_resp_d_ready; diff --git a/hardware/src/mempool_group.sv b/hardware/src/mempool_group.sv index 6eb245765..d548dcec7 100644 --- a/hardware/src/mempool_group.sv +++ b/hardware/src/mempool_group.sv @@ -434,6 +434,7 @@ module mempool_group logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] master_remote_resp_valid; logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] master_remote_resp_ready; tcdm_payload_t [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] master_remote_resp_rdata; + logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] master_remote_resp_wen; logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_req_valid; logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_req_ready; tile_addr_t [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_req_tgt_addr; @@ -445,6 +446,7 @@ module mempool_group logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_resp_ready; tile_group_id_t [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_resp_ini_addr; tcdm_payload_t [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_resp_rdata; + logic [(NumSubGroupsPerGroup * NumTilesPerSubGroup)-1:0] slave_remote_resp_wen; for (genvar sg = 0; sg < NumSubGroupsPerGroup; sg++) begin: gen_remote_connections_sg for (genvar t = 0; t < NumTilesPerSubGroup; t++) begin: gen_remote_connections_t @@ -464,9 +466,11 @@ module mempool_group assign slave_remote_resp_valid[(sg * NumTilesPerSubGroup) + t] = tcdm_slave_resp_valid[r][sg][t]; assign slave_remote_resp_ini_addr[(sg * NumTilesPerSubGroup) + t] = tcdm_slave_resp[r][sg][t].ini_addr; assign slave_remote_resp_rdata[(sg * NumTilesPerSubGroup) + t] = tcdm_slave_resp[r][sg][t].rdata; + assign slave_remote_resp_wen[(sg * NumTilesPerSubGroup) + t] = tcdm_slave_resp[r][sg][t].wen; assign tcdm_slave_resp_ready[r][sg][t] = slave_remote_resp_ready[(sg * NumTilesPerSubGroup) + t]; assign tcdm_slave_resp_valid_o[r][sg][t] = master_remote_resp_valid[(sg * NumTilesPerSubGroup) + t]; assign tcdm_slave_resp_s[r][sg][t].rdata = master_remote_resp_rdata[(sg * NumTilesPerSubGroup) + t]; + assign tcdm_slave_resp_s[r][sg][t].wen = master_remote_resp_wen[(sg * NumTilesPerSubGroup) + t]; assign master_remote_resp_ready[(sg * NumTilesPerSubGroup) + t] = tcdm_slave_resp_ready_i[r][sg][t]; end: gen_remote_connections_t end: gen_remote_connections_sg @@ -496,6 +500,10 @@ module mempool_group .resp_valid_o (master_remote_resp_valid ), .resp_ready_i (master_remote_resp_ready ), .resp_rdata_o (master_remote_resp_rdata ), + `ifdef RESPWEN + .resp_write_o (master_remote_resp_wen ), + .resp_write_i (slave_remote_resp_wen ), + `endif .resp_ini_addr_i(slave_remote_resp_ini_addr), .resp_rdata_i (slave_remote_resp_rdata ), .resp_valid_i (slave_remote_resp_valid ), @@ -716,6 +724,7 @@ module mempool_group logic [NumTilesPerGroup-1:0] master_local_resp_valid; logic [NumTilesPerGroup-1:0] master_local_resp_ready; tcdm_payload_t [NumTilesPerGroup-1:0] master_local_resp_rdata; + logic [NumTilesPerGroup-1:0] master_local_resp_wen; logic [NumTilesPerGroup-1:0] slave_local_req_valid; logic [NumTilesPerGroup-1:0] slave_local_req_ready; tile_addr_t [NumTilesPerGroup-1:0] slave_local_req_tgt_addr; @@ -727,6 +736,7 @@ module mempool_group logic [NumTilesPerGroup-1:0] slave_local_resp_ready; tile_group_id_t [NumTilesPerGroup-1:0] slave_local_resp_ini_addr; tcdm_payload_t [NumTilesPerGroup-1:0] slave_local_resp_rdata; + logic [NumTilesPerGroup-1:0] slave_local_resp_wen; for (genvar t = 0; t < NumTilesPerGroup; t++) begin: gen_local_connections assign master_local_req_valid[t] = tcdm_master_req_valid[0][t]; @@ -738,9 +748,11 @@ module mempool_group assign slave_local_resp_valid[t] = tcdm_slave_resp_valid[0][t]; assign slave_local_resp_ini_addr[t] = tcdm_slave_resp[0][t].ini_addr; assign slave_local_resp_rdata[t] = tcdm_slave_resp[0][t].rdata; + assign slave_local_resp_wen[t] = tcdm_slave_resp[0][t].wen; assign tcdm_slave_resp_ready[0][t] = slave_local_resp_ready[t]; assign tcdm_master_resp_valid[0][t] = master_local_resp_valid[t]; assign tcdm_master_resp[0][t].rdata = master_local_resp_rdata[t]; + assign tcdm_master_resp[0][t].wen = master_local_resp_wen[t]; assign master_local_resp_ready[t] = tcdm_master_resp_ready[0][t]; assign tcdm_slave_req_valid[0][t] = slave_local_req_valid[t]; assign tcdm_slave_req[0][t].tgt_addr = slave_local_req_tgt_addr[t]; @@ -776,6 +788,10 @@ module mempool_group .resp_valid_o (master_local_resp_valid ), .resp_ready_i (master_local_resp_ready ), .resp_rdata_o (master_local_resp_rdata ), + `ifdef RESPWEN + .resp_write_o (master_local_resp_wen ), + .resp_write_i (slave_local_resp_wen ), + `endif .resp_ini_addr_i(slave_local_resp_ini_addr), .resp_rdata_i (slave_local_resp_rdata ), .resp_valid_i (slave_local_resp_valid ), @@ -803,6 +819,7 @@ module mempool_group logic [NumTilesPerGroup-1:0] master_remote_resp_valid; logic [NumTilesPerGroup-1:0] master_remote_resp_ready; tcdm_payload_t [NumTilesPerGroup-1:0] master_remote_resp_rdata; + logic [NumTilesPerGroup-1:0] master_remote_resp_wen; logic [NumTilesPerGroup-1:0] slave_remote_req_valid; logic [NumTilesPerGroup-1:0] slave_remote_req_ready; tile_addr_t [NumTilesPerGroup-1:0] slave_remote_req_tgt_addr; @@ -814,6 +831,7 @@ module mempool_group logic [NumTilesPerGroup-1:0] slave_remote_resp_ready; tile_group_id_t [NumTilesPerGroup-1:0] slave_remote_resp_ini_addr; tcdm_payload_t [NumTilesPerGroup-1:0] slave_remote_resp_rdata; + logic [NumTilesPerGroup-1:0] slave_remote_resp_wen; for (genvar t = 0; t < NumTilesPerGroup; t++) begin: gen_remote_connections assign master_remote_req_valid[t] = tcdm_master_req_valid[r][t]; @@ -832,9 +850,11 @@ module mempool_group assign slave_remote_resp_valid[t] = tcdm_slave_resp_valid[r][t]; assign slave_remote_resp_ini_addr[t] = tcdm_slave_resp[r][t].ini_addr; assign slave_remote_resp_rdata[t] = tcdm_slave_resp[r][t].rdata; + assign slave_remote_resp_wen[t] = tcdm_slave_resp[r][t].wen; assign tcdm_slave_resp_ready[r][t] = slave_remote_resp_ready[t]; assign tcdm_slave_resp_valid_o[r][t] = master_remote_resp_valid[t]; assign tcdm_slave_resp_s[r][t].rdata = master_remote_resp_rdata[t]; + assign tcdm_slave_resp_s[r][t].wen = master_remote_resp_wen[t]; assign master_remote_resp_ready[t] = tcdm_slave_resp_ready_i[r][t]; end: gen_remote_connections @@ -863,6 +883,10 @@ module mempool_group .resp_valid_o (master_remote_resp_valid ), .resp_ready_i (master_remote_resp_ready ), .resp_rdata_o (master_remote_resp_rdata ), + `ifdef RESPWEN + .resp_write_o (master_remote_resp_wen ), + .resp_write_i (slave_remote_resp_wen ), + `endif .resp_ini_addr_i(slave_remote_resp_ini_addr), .resp_rdata_i (slave_remote_resp_rdata ), .resp_valid_i (slave_remote_resp_valid ), diff --git a/hardware/src/mempool_pkg.sv b/hardware/src/mempool_pkg.sv index 5876d5007..49ced2449 100644 --- a/hardware/src/mempool_pkg.sv +++ b/hardware/src/mempool_pkg.sv @@ -253,6 +253,7 @@ package mempool_pkg; typedef struct packed { tcdm_payload_t rdata; + logic wen; } tcdm_master_resp_t; typedef struct packed { @@ -266,6 +267,7 @@ package mempool_pkg; typedef struct packed { tcdm_payload_t rdata; tile_group_id_t ini_addr; + logic wen; } tcdm_slave_resp_t; typedef struct packed { diff --git a/hardware/src/mempool_sub_group.sv b/hardware/src/mempool_sub_group.sv index a21234125..e4fef9deb 100644 --- a/hardware/src/mempool_sub_group.sv +++ b/hardware/src/mempool_sub_group.sv @@ -250,6 +250,7 @@ module mempool_sub_group logic [NumTilesPerSubGroup-1:0] master_local_resp_valid; logic [NumTilesPerSubGroup-1:0] master_local_resp_ready; tcdm_payload_t [NumTilesPerSubGroup-1:0] master_local_resp_rdata; + logic [NumTilesPerSubGroup-1:0] master_local_resp_wen; logic [NumTilesPerSubGroup-1:0] slave_local_req_valid; logic [NumTilesPerSubGroup-1:0] slave_local_req_ready; tile_addr_t [NumTilesPerSubGroup-1:0] slave_local_req_tgt_addr; @@ -261,6 +262,7 @@ module mempool_sub_group logic [NumTilesPerSubGroup-1:0] slave_local_resp_ready; tile_sub_group_id_t [NumTilesPerSubGroup-1:0] slave_local_resp_ini_addr; tcdm_payload_t [NumTilesPerSubGroup-1:0] slave_local_resp_rdata; + logic [NumTilesPerSubGroup-1:0] slave_local_resp_wen; for (genvar t = 0; t < NumTilesPerSubGroup; t++) begin: gen_local_connections_t assign master_local_req_valid[t] = tcdm_sg_master_req_valid[0][t]; @@ -272,9 +274,11 @@ module mempool_sub_group assign slave_local_resp_valid[t] = tcdm_sg_slave_resp_valid[0][t]; assign slave_local_resp_ini_addr[t] = tcdm_sg_slave_resp[0][t].ini_addr; assign slave_local_resp_rdata[t] = tcdm_sg_slave_resp[0][t].rdata; + assign slave_local_resp_wen[t] = tcdm_sg_slave_resp[0][t].wen; assign tcdm_sg_slave_resp_ready[0][t] = slave_local_resp_ready[t]; assign tcdm_sg_master_resp_valid[0][t] = master_local_resp_valid[t]; assign tcdm_sg_master_resp[0][t].rdata = master_local_resp_rdata[t]; + assign tcdm_sg_master_resp[0][t].wen = master_local_resp_wen[t]; assign master_local_resp_ready[t] = tcdm_sg_master_resp_ready[0][t]; assign tcdm_sg_slave_req_valid[0][t] = slave_local_req_valid[t]; assign tcdm_sg_slave_req[0][t].tgt_addr = slave_local_req_tgt_addr[t]; @@ -310,6 +314,10 @@ module mempool_sub_group .resp_valid_o (master_local_resp_valid ), .resp_ready_i (master_local_resp_ready ), .resp_rdata_o (master_local_resp_rdata ), + `ifdef RESPWEN + .resp_write_o (master_local_resp_wen ), + .resp_write_i (slave_local_resp_wen ), + `endif .resp_ini_addr_i(slave_local_resp_ini_addr), .resp_rdata_i (slave_local_resp_rdata ), .resp_valid_i (slave_local_resp_valid ), @@ -337,6 +345,7 @@ module mempool_sub_group logic [NumTilesPerSubGroup-1:0] master_remote_resp_valid; logic [NumTilesPerSubGroup-1:0] master_remote_resp_ready; tcdm_payload_t [NumTilesPerSubGroup-1:0] master_remote_resp_rdata; + logic [NumTilesPerSubGroup-1:0] master_remote_resp_wen; logic [NumTilesPerSubGroup-1:0] slave_remote_req_valid; logic [NumTilesPerSubGroup-1:0] slave_remote_req_ready; tile_addr_t [NumTilesPerSubGroup-1:0] slave_remote_req_tgt_addr; @@ -348,6 +357,7 @@ module mempool_sub_group logic [NumTilesPerSubGroup-1:0] slave_remote_resp_ready; tile_sub_group_id_t [NumTilesPerSubGroup-1:0] slave_remote_resp_ini_addr; tcdm_payload_t [NumTilesPerSubGroup-1:0] slave_remote_resp_rdata; + logic [NumTilesPerSubGroup-1:0] slave_remote_resp_wen; for (genvar t = 0; t < NumTilesPerSubGroup; t++) begin: gen_remote_connections_t assign master_remote_req_valid[t] = tcdm_sg_master_req_valid[r][t]; @@ -366,9 +376,11 @@ module mempool_sub_group assign slave_remote_resp_valid[t] = tcdm_sg_slave_resp_valid[r][t]; assign slave_remote_resp_ini_addr[t] = tcdm_sg_slave_resp[r][t].ini_addr; assign slave_remote_resp_rdata[t] = tcdm_sg_slave_resp[r][t].rdata; + assign slave_remote_resp_wen[t] = tcdm_sg_slave_resp[r][t].wen; assign tcdm_sg_slave_resp_ready[r][t] = slave_remote_resp_ready[t]; assign tcdm_sg_slave_resp_valid_o[r][t] = master_remote_resp_valid[t]; assign tcdm_sg_slave_resp_s[r][t].rdata = master_remote_resp_rdata[t]; + assign tcdm_sg_slave_resp_s[r][t].wen = master_remote_resp_wen[t]; assign master_remote_resp_ready[t] = tcdm_sg_slave_resp_ready_i[r][t]; end: gen_remote_connections_t @@ -397,6 +409,10 @@ module mempool_sub_group .resp_valid_o (master_remote_resp_valid ), .resp_ready_i (master_remote_resp_ready ), .resp_rdata_o (master_remote_resp_rdata ), + `ifdef RESPWEN + .resp_write_o (master_remote_resp_wen ), + .resp_write_i (slave_remote_resp_wen ), + `endif .resp_ini_addr_i(slave_remote_resp_ini_addr), .resp_rdata_i (slave_remote_resp_rdata ), .resp_valid_i (slave_remote_resp_valid ), diff --git a/hardware/src/mempool_system.sv b/hardware/src/mempool_system.sv index fa31a6431..36b8f97b9 100644 --- a/hardware/src/mempool_system.sv +++ b/hardware/src/mempool_system.sv @@ -294,6 +294,10 @@ module mempool_system .resp_valid_o (mem_rvalid ), .resp_ready_i ('1 ), .resp_rdata_o (mem_rdata ), + `ifdef RESPWEN + .resp_write_o (/*unused*/ ), + .resp_write_i ('0 ), + `endif // slave side .req_valid_o (bank_req ), .req_ready_i ('1 ), diff --git a/hardware/src/mempool_tile.sv b/hardware/src/mempool_tile.sv index ee2b52fb1..cabc06502 100644 --- a/hardware/src/mempool_tile.sv +++ b/hardware/src/mempool_tile.sv @@ -115,6 +115,7 @@ module mempool_tile logic [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_qvalid; logic [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_qready; data_t [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_pdata; + logic [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_pwrite; logic [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_perror; meta_id_t [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_pid; logic [NumCoresPerTile-1:0][NumDataPortsPerCore-1:0] snitch_data_pvalid; @@ -172,6 +173,7 @@ module mempool_tile .data_qvalid_o (snitch_data_qvalid[c] ), .data_qready_i (snitch_data_qready[c] ), .data_pdata_i (snitch_data_pdata[c] ), + .data_pwrite_i (snitch_data_pwrite[c] ), .data_perror_i (snitch_data_perror[c] ), .data_pid_i (snitch_data_pid[c] ), .data_pvalid_i (snitch_data_pvalid[c] ), @@ -268,6 +270,7 @@ module mempool_tile tile_group_id_t tile_id; tile_core_id_t core_id; logic wide; + logic write; } bank_metadata_t; // Memory interfaces @@ -408,12 +411,14 @@ module mempool_tile meta_id : bank_req_payload[b].wdata.meta_id, core_id : bank_req_payload[b].wdata.core_id, tile_id : bank_req_payload[b].ini_addr, - wide : bank_req_wide[b] + wide : bank_req_wide[b], + write : bank_req_payload[b].wen }; assign bank_resp_ini_addr[b] = meta_out.ini_addr; assign bank_resp_payload[b].rdata.meta_id = meta_out.meta_id; assign bank_resp_payload[b].ini_addr = meta_out.tile_id; assign bank_resp_payload[b].rdata.core_id = meta_out.core_id; + assign bank_resp_payload[b].wen = meta_out.write; assign bank_resp_payload[b].rdata.amo = '0; // Don't care assign bank_resp_wide[b] = meta_out.wide; @@ -854,6 +859,7 @@ module mempool_tile .tcdm_resp_ready_o ({local_resp_interco_ready[idx], remote_resp_interco_ready[idx]} ), .tcdm_resp_rdata_i ({local_resp_interco_payload[idx].rdata.data, remote_resp_interco[idx].rdata.data} ), .tcdm_resp_id_i ({local_resp_interco_payload[idx].rdata.meta_id, remote_resp_interco[idx].rdata.meta_id}), + .tcdm_resp_wen_i ({local_resp_interco_payload[idx].wen, remote_resp_interco[idx].wen} ), // to SoC .soc_qaddr_o (soc_data_q[idx].addr ), .soc_qwrite_o (soc_data_q[idx].write ), @@ -877,6 +883,7 @@ module mempool_tile .data_qvalid_i (snitch_data_qvalid[c][p] ), .data_qready_o (snitch_data_qready[c][p] ), .data_pdata_o (snitch_data_pdata[c][p] ), + .data_pwrite_o (snitch_data_pwrite[c][p] ), .data_perror_o (snitch_data_perror[c][p] ), .data_pid_o (snitch_data_pid[c][p] ), .data_pvalid_o (snitch_data_pvalid[c][p] ), @@ -924,6 +931,7 @@ module mempool_tile assign soc_data_pready[idx] = '0; assign snitch_data_qready[idx] = '0; assign snitch_data_pdata[idx] = '0; + assign snitch_data_pwrite[idx] = '0; assign snitch_data_perror[idx] = '0; assign snitch_data_pid[idx] = '0; assign snitch_data_pvalid[idx] = '0; diff --git a/hardware/src/tcdm_shim.sv b/hardware/src/tcdm_shim.sv index 5da72f997..18b02b46b 100644 --- a/hardware/src/tcdm_shim.sv +++ b/hardware/src/tcdm_shim.sv @@ -36,6 +36,7 @@ module tcdm_shim output logic [NrTCDM-1:0] tcdm_resp_ready_o, input logic [NrTCDM-1:0][DataWidth-1:0] tcdm_resp_rdata_i, input logic [NrTCDM-1:0][MetaIdWidth-1:0] tcdm_resp_id_i, + input logic [NrTCDM-1:0] tcdm_resp_wen_i, // to SoC output logic [NrSoC-1:0] [AddrWidth-1:0] soc_qaddr_o, output logic [NrSoC-1:0] soc_qwrite_o, @@ -59,6 +60,7 @@ module tcdm_shim input logic data_qvalid_i, output logic data_qready_o, output logic [DataWidth-1:0] data_pdata_o, + output logic data_pwrite_o, output logic data_perror_o, output logic [MetaIdWidth-1:0] data_pid_o, output logic data_pvalid_o, @@ -85,7 +87,7 @@ module tcdm_shim for (genvar i = 0; i < NrTCDM; i++) begin : gen_tcdm_ppayload assign tcdm_ppayload[i].id = tcdm_resp_id_i[i] ; assign tcdm_ppayload[i].data = tcdm_resp_rdata_i[i]; - assign tcdm_ppayload[i].write = 1'b0 ; // Don't care + assign tcdm_ppayload[i].write = tcdm_resp_wen_i[i] ; assign tcdm_ppayload[i].error = 1'b0 ; end @@ -193,6 +195,7 @@ module tcdm_shim assign data_pdata_o = data_ppayload.data ; assign data_perror_o = data_ppayload.error; assign data_pid_o = data_ppayload.id ; + assign data_pwrite_o = data_ppayload.write; // Elaboration-time assertions diff --git a/software/apps/spatz_apps/sp-axpy/data/data_axpy.h b/software/apps/spatz_apps/sp-axpy/data/data_axpy.h index 3077dd228..9a7a1965b 100644 --- a/software/apps/spatz_apps/sp-axpy/data/data_axpy.h +++ b/software/apps/spatz_apps/sp-axpy/data/data_axpy.h @@ -5,20 +5,20 @@ #include "layer.h" const axpy_layer axpy_l = { - .M = 4096, + .M = 16384, .dtype = FP32, }; -static float axpy_X_dram [4096] __attribute__((section(".data"))) = {1.9269152879714966, 1.4872840642929077, 0.9007171988487244, -2.1055209636688232, 0.6784184575080872, -1.2345448732376099, -0.04306747764348984, -1.6046669483184814, -0.7521352767944336, 1.6487230062484741, -0.3924786448478699, -1.4036071300506592, -0.7278813123703003, -0.5594301819801331, -0.7688388824462891, 0.7624453902244568, 1.6423169374465942, -0.1595974713563919, -0.4973975419998169, 0.439589262008667, -0.7581311464309692, 1.078317642211914, 0.8008005619049072, 1.680620551109314, 1.27912437915802, 1.2964228391647339, 0.610466480255127, 1.334737777709961, -0.2316243201494217, 0.041759490966796875, -0.2515752911567688, 0.859858512878418, -1.3846737146377563, -0.8712361454963684, -0.223365917801857, 1.7173614501953125, 0.3188803195953369, -0.42451897263526917, 0.3057209253311157, -0.7745925188064575, -1.5575724840164185, 0.9956361055374146, -0.8797858357429504, -0.6011420488357544, -1.2741512060165405, 2.1227850914001465, -1.234653115272522, -0.4879138767719269, -0.9138230085372925, -0.6581372618675232, 0.07802387326955795, 0.5258087515830994, -0.48799172043800354, 1.1913690567016602, -0.8140076398849487, -0.7359927892684937, -1.4032478332519531, 0.03600366786122322, -0.06347727030515671, 0.6756148934364319, -0.0978068932890892, 1.8445940017700195, -1.184537410736084, 1.3835493326187134, 1.4451338052749634, 0.8564125299453735, 2.218075752258301, 0.5231655240058899, 0.34664666652679443, -0.19733144342899323, -1.0545889139175415, 1.2779955863952637, -0.1721901297569275, 0.5237884521484375, 0.056621819734573364, 0.4262961447238922, 0.575005054473877, -0.6417241096496582, -2.2063984870910645, -0.7508030533790588, 0.01086814422160387, -0.33874234557151794, -1.3406795263290405, -0.5853705406188965, 0.5361881256103516, 0.5246226191520691, 1.1412016153335571, 0.05164359509944916, 0.7439519762992859, -0.4815843999385834, -1.0494661331176758, 0.603898823261261, -1.7222950458526611, -0.827768862247467, 1.334702968597412, 0.48353928327560425, -2.5095443725585938, 0.4880010485649109, 0.7845868468284607, 0.02864718623459339, 0.640755295753479, 0.5832474231719971, 1.0669267177581787, -0.4501533806324005, -0.18526747822761536, 0.7527588605880737, 0.4047577977180481, 0.17846599221229553, 0.2649095058441162, 1.2731683254241943, -0.0013108636485412717, -0.30360376834869385, -1.457029104232788, -0.10233523696660995, -0.5991530418395996, 0.4770564138889313, 0.7261772155761719, 0.09115186333656311, -0.3890652060508728, 0.5279164910316467, -0.012685478664934635, 0.24083632230758667, 0.13253536820411682, 0.7642406225204468, 1.095009684562683, 0.3398909568786621, 0.7199674844741821, 0.41140761971473694, 1.931160569190979, 1.0118638277053833, -1.4364064931869507, -1.1298598051071167, -0.1360345333814621, 1.6354095935821533, 0.6547407507896423, 0.5760045647621155, 1.1415079832077026, 0.018564576283097267, -1.8058050870895386, 0.9254348874092102, -0.3753443658351898, 1.0330873727798462, -0.6866509318351746, 0.6368136405944824, -0.9726738929748535, 0.9584577679634094, 1.6192004680633545, 1.450609803199768, 0.2694815397262573, -0.21037597954273224, -0.7328027486801147, 0.10429783165454865, 0.3487516939640045, 0.9675941467285156, -0.46568843722343445, 1.6047972440719604, -2.4801201820373535, -0.4175437390804291, -1.1954537630081177, 0.8123369216918945, -1.9005532264709473, 0.22857652604579926, 0.02485940419137478, -0.34595024585723877, 0.2868328094482422, -0.7308424115180969, 0.17482025921344757, -1.0939292907714844, -1.6021603345870972, 1.3528969287872314, 1.288827657699585, 0.05229547247290611, -1.5468504428863525, 0.7567060589790344, 0.7755194902420044, 2.0265355110168457, 0.03581761196255684, 0.12058872729539871, -0.8056637048721313, -0.20757682621479034, -0.9319478273391724, -1.5909662246704102, -1.13597571849823, -0.52259761095047, -0.5187733173370361, -1.5012763738632202, -1.9266542196273804, 0.1278512328863144, 1.0229133367538452, -0.5557951331138611, 0.7042727470397949, 0.7098760008811951, 1.7743884325027466, -0.921550989151001, 0.9624499082565308, -0.33701515197753906, -1.1753336191177368, 0.35805708169937134, 0.47876790165901184, 1.353700041770935, 0.5260620713233948, 2.1120378971099854, -0.5207571387290955, -0.9320061206817627, 0.18516133725643158, 1.0686918497085571, 1.3065344095230103, 0.4598345160484314, -0.8146268725395203, -1.0212392807006836, -0.49492356181144714, -0.5922516584396362, 0.15431594848632812, 0.4407670795917511, -0.14829230308532715, -2.3184432983398438, -0.39799532294273376, 1.0804862976074219, -1.7808643579483032, 1.5080454349517822, 0.30942854285240173, -0.5003090500831604, 1.0350031852722168, 1.6896470785140991, -0.004505051765590906, 1.666792392730713, 0.15392017364501953, -1.0602530241012573, -0.572657585144043, 0.0835680365562439, 0.39990535378456116, 1.989207148551941, -0.07198750972747803, -0.906094491481781, -2.0487122535705566, -1.0810555219650269, 0.01762307994067669, 0.0782259851694107, 0.19315829873085022, 0.40967342257499695, -0.9291303157806396, 0.2761908769607544, -0.5388752818107605, 0.4625823199748993, -0.8718891143798828, -0.027118360623717308, -0.3532457649707794, 1.4638569355010986, 1.255434274673462, -0.7149558067321777, 0.8539193272590637, 0.512991189956665, 0.5397310256958008, 0.5655050277709961, 0.5057917237281799, 0.22245365381240845, -0.685481607913971, 0.5635589957237244, -1.507175087928772, -1.610666036605835, -1.4790465831756592, 0.4322742819786072, -0.1250254064798355, 0.7821183800697327, -1.598767638206482, -0.10912995040416718, 0.7151994705200195, 0.03913922235369682, 1.305860161781311, 0.24659274518489838, -1.9775909185409546, 0.01789604313671589, -1.3793021440505981, 0.625802755355835, -2.5849502086639404, -0.02399955503642559, -0.1221928745508194, -0.7469954490661621, 1.7093087434768677, 0.05792269483208656, 1.1929805278778076, 1.9372931718826294, 0.7287133932113647, 0.9808937907218933, 0.41459226608276367, 1.15656316280365, 0.2690545618534088, -0.036629438400268555, 0.9732939004898071, -1.0150787830352783, -0.5419175624847412, -0.44102486968040466, -0.3136177957057953, -0.12925422191619873, -0.7149624228477478, -0.047562163323163986, 2.0207436084747314, 0.25391900539398193, 0.9364385008811951, 0.7122363448143005, -0.031765542924404144, 0.10164086520671844, 1.3433040380477905, 0.7132695913314819, 0.4038029611110687, -0.7139783501625061, 0.8337291479110718, -0.9585452079772949, 0.45363426208496094, 1.2460919618606567, -2.3065085411071777, -1.2868918180465698, 0.17988650500774384, -2.126762628555298, -0.13408313691616058, -1.0407685041427612, -0.7647228837013245, -0.05528254434466362, 1.204850673675537, -0.982473611831665, 0.4334380030632019, -0.7171905636787415, 1.055369257926941, -1.4533969163894653, 0.46515071392059326, 0.37139150500297546, -0.004656785633414984, 0.07954943925142288, 0.3781784772872925, 0.7051141262054443, -1.7236974239349365, -0.8434810638427734, 0.4351435601711273, 0.26588720083236694, -0.5870985388755798, 0.0826888456940651, 0.8853808045387268, 0.1824439913034439, 0.7863810062408447, -0.057920295745134354, 0.5666652917861938, -0.7097623348236084, -0.4875054359436035, 0.050095997750759125, 0.6084084510803223, 1.6308681964874268, -0.08472306281328201, 1.0844124555587769, 0.9477656483650208, -0.676629364490509, -0.5730168223381042, -0.3303174376487732, -0.7939430475234985, 0.3752319812774658, 0.08790969103574753, -1.241483449935913, -0.32025346159935, -0.844377875328064, -0.5513465404510498, 1.9889612197875977, 1.900311827659607, 1.6950805187225342, 0.028089528903365135, -0.17536965012550354, -1.7734959125518799, -0.7046414017677307, -0.39465200901031494, 1.8868111371994019, -0.21844321489334106, 0.16629981994628906, 2.1441681385040283, 1.7045671939849854, 0.3459012508392334, 0.6424751281738281, -0.20395424962043762, 0.6853673458099365, -0.13968797028064728, -1.1807502508163452, -1.282929539680481, 0.448485791683197, -0.590737521648407, 0.8540631532669067, -0.4900680184364319, -0.35945725440979004, 0.6663737893104553, -0.07426456362009048, -0.20960482954978943, 0.16632132232189178, 1.4703037738800049, -0.9390866756439209, -0.6013189554214478, -0.09964022785425186, -0.9851518273353577, -2.488459348678589, -0.33131900429725647, 0.8435799479484558, 0.9874473810195923, -0.33197471499443054, -0.8076189756393433, 0.824364185333252, 0.024699924513697624, -1.0641486644744873, -0.7601934671401978, -0.4075061082839966, 0.9623646140098572, -0.14264194667339325, 0.15271379053592682, -0.0388023778796196, 0.9446058869361877, -1.5824053287506104, 0.9871290922164917, 1.1456739902496338, -0.14181147515773773, -0.2763414680957794, -0.19321373105049133, 0.7767809629440308, 0.6838752627372742, -1.3245893716812134, -0.5160817503929138, 0.6001842617988586, -0.4702208340167999, -0.6086435317993164, -0.046192023903131485, -1.6457397937774658, -0.4833274185657501, -0.740294337272644, 0.31428107619285583, 0.1415553092956543, 1.0348176956176758, -0.626437783241272, -0.5150922536849976, 0.6902899742126465, -0.4939993619918823, 1.1366126537322998, -0.46184006333351135, 1.419979453086853, 0.848518967628479, -0.047891248017549515, 0.6685602068901062, 1.0429801940917969, 0.6899017095565796, -1.3129348754882812, 0.03780364990234375, -1.1702114343643188, -0.10318559408187866, 1.1894739866256714, 0.7606944441795349, -0.7463049292564392, -1.3838845491409302, 0.4868715703487396, -1.0020296573638916, 0.0329488180577755, -0.42919591069221497, -0.9817978739738464, -0.6420586109161377, 0.8265887498855591, 1.591395616531372, -0.1208132952451706, -0.48302069306373596, 0.11329790204763412, 0.0771508663892746, -0.9228128790855408, -1.2619991302490234, 1.0860532522201538, 1.096641182899475, -0.6836934685707092, 0.06604336202144623, -0.0007737990817986429, 0.1620604395866394, 1.195958137512207, -1.3061535358428955, -1.4039719104766846, -1.0597201585769653, 0.3057299852371216, 0.4150581359863281, -0.7174144983291626, 2.833967924118042, 1.9534740447998047, 2.0486814975738525, -1.0880382061004639, 1.621694564819336, 0.8512656688690186, -0.40046969056129456, -0.6088271737098694, -0.508095383644104, -0.6184902191162109, -1.647040605545044, -1.0362098217010498, -0.4503057301044464, -0.0729660615324974, -0.5479549169540405, -1.1425532102584839, -0.44875210523605347, -0.03045438416302204, 0.3830311596393585, -0.04476971551775932, 1.179942011833191, -0.33142781257629395, 0.6495042443275452, 0.09495851397514343, -0.7525874376296997, -0.647229790687561, -1.2822614908218384, 1.96529221534729, -0.9638485312461853, -2.5667941570281982, 0.7096128463745117, 0.8198426961898804, 0.6214459538459778, 0.42318588495254517, -0.33889833092689514, 0.5179733633995056, -1.363769769668579, 0.1929578185081482, -0.6103342771530151, 0.16323445737361908, 1.51017165184021, 0.21230429410934448, -0.7252011299133301, -0.9527732729911804, 0.5216943025588989, -0.46386733651161194, 0.18237744271755219, -0.38666075468063354, -1.7906768321990967, 0.09329313784837723, -1.9152568578720093, -0.6421752572059631, 1.3438509702682495, -1.2922308444976807, 0.766244113445282, 0.64540034532547, 0.353316068649292, -2.6474881172180176, -1.4575366973876953, -0.9712379574775696, 0.25403109192848206, -0.1790592074394226, 1.1992844343185425, -0.4292171895503998, 1.010284185409546, 0.6110401153564453, 1.2208385467529297, -0.6076440215110779, -1.7376028299331665, -0.12535162270069122, -1.3658148050308228, 1.111746072769165, -0.6227966547012329, -0.7891808748245239, -0.167823925614357, 1.6433145999908447, 2.0070879459381104, -1.2531019449234009, 1.118869423866272, 1.7732776403427124, -2.071660280227661, -0.4125255346298218, -0.9769555926322937, -0.03363388776779175, 1.8594977855682373, 2.6221468448638916, 0.36905255913734436, 0.3802972435951233, 0.19898031651973724, -0.23609064519405365, 0.30340856313705444, -0.45007675886154175, 0.47390419244766235, 0.6503364443778992, 1.1662380695343018, 0.01693599671125412, 0.5325868129730225, -0.6035352349281311, -0.1742597371339798, 0.6092063784599304, -0.8032152652740479, -1.1209005117416382, 0.1956406533718109, -0.7815181016921997, -1.7898789644241333, -0.26157355308532715, -0.44025033712387085, 2.1848294734954834, -0.48009708523750305, -1.2871733903884888, 0.7388824224472046, 0.03389474004507065, -0.31229403614997864, -0.2541753351688385, -1.205536127090454, -0.9542103409767151, 0.061276569962501526, 0.08526104688644409, 0.7481252551078796, -0.16356196999549866, -0.9085567593574524, 0.3129958212375641, 0.8050477504730225, -1.1133604049682617, 0.4981626570224762, -1.1999552249908447, 0.12711313366889954, 0.4403660297393799, 0.6377718448638916, 0.15978877246379852, 1.7697970867156982, 0.6268176436424255, -1.8736529350280762, 2.3259060382843018, -0.9203909635543823, 0.6661149263381958, -0.44026491045951843, -2.3179564476013184, 1.294582724571228, 0.22267311811447144, -0.8483412265777588, 1.6489421129226685, 1.6005686521530151, -0.07858924567699432, 0.43104586005210876, 0.3683530390262604, 0.7637977004051208, 1.1792222261428833, -0.4137862026691437, 0.5184088349342346, -0.7015367746353149, -0.4323408901691437, 0.1414770483970642, 0.07110362499952316, 0.5633530616760254, -0.5786357522010803, -1.083811640739441, -0.3889259994029999, 0.8126105666160583, 1.4981187582015991, 0.043896086513996124, 1.4443233013153076, 0.23202891647815704, 0.5064983367919922, -1.2786966562271118, -0.03842746838927269, 1.9138009548187256, 0.3378446102142334, 0.12505611777305603, -0.7621514797210693, -1.190559983253479, 0.7756073474884033, 0.455719918012619, 0.2503303289413452, -1.3610970973968506, 1.8018341064453125, -0.07434194535017014, -0.15664155781269073, -0.8708454966545105, -0.6410972476005554, -0.414562851190567, -0.6902380585670471, -0.22995619475841522, -2.172283887863159, 0.08768323808908463, 1.0937845706939697, -0.1177205815911293, -0.29864323139190674, -0.9536206126213074, -0.09247277677059174, -1.01665461063385, -0.007675689645111561, -0.518220841884613, 0.83954256772995, 0.05852266773581505, -1.6682480573654175, 2.129624843597412, -1.5181471109390259, 0.1387282907962799, -1.1797568798065186, -0.5297411680221558, 0.9625157713890076, 0.2794382870197296, -0.5718191266059875, -2.7936289310455322, -0.7111545205116272, 0.5235219597816467, -1.71055006980896, 0.8384853601455688, -0.2698453664779663, 0.12306158244609833, 0.8757511377334595, 0.15132997930049896, 0.739393413066864, 0.27310314774513245, 2.7312309741973877, 0.43200522661209106, -0.30918216705322266, -0.09658124297857285, 1.541925072669983, -0.108744777739048, -0.4189043343067169, 1.4384385347366333, -0.7068426609039307, -1.2519514560699463, 3.0250484943389893, 1.3462589979171753, 0.8556069731712341, 0.3220294117927551, 0.44605663418769836, 1.5229592323303223, 1.2804899215698242, -0.11616043001413345, 1.3705363273620605, -0.4809381365776062, -0.9903622269630432, -1.3641812801361084, 0.008205652236938477, -0.40586018562316895, -0.7110859751701355, -0.3495793640613556, 0.3797488212585449, 0.9993040561676025, 1.2751853466033936, 0.9594927430152893, 0.10350999981164932, 0.8290349841117859, 2.0921294689178467, 0.7953095436096191, 0.2792847752571106, 0.1864478439092636, 0.3547132909297943, 0.09063850343227386, 1.7422553300857544, -1.2660012245178223, 0.38916081190109253, 0.34287506341934204, -1.4590637683868408, -1.4936561584472656, -0.22138521075248718, 0.22523505985736847, -0.07724537700414658, 0.9856945276260376, 1.2783364057540894, 0.28815189003944397, 0.869049608707428, -0.8097057938575745, -1.4298604726791382, 0.45901596546173096, 0.5309328436851501, -1.3614802360534668, 1.9562491178512573, 1.7684898376464844, -0.9857985377311707, -1.2370758056640625, -2.301875114440918, -0.0010087001137435436, -0.8494256734848022, -1.6593921184539795, 0.3062905967235565, 1.182044506072998, 0.32602694630622864, -0.3894469738006592, 2.8543806076049805, 0.8243650794029236, 0.7983470559120178, 1.8890222311019897, 0.5934628248214722, 0.0696544423699379, -1.6034338474273682, -0.42982181906700134, 0.5761587619781494, 0.34436315298080444, -3.1016058921813965, -1.4587225914001465, -1.4318257570266724, -0.6071268916130066, -0.25973787903785706, -0.7190185785293579, -0.38583096861839294, 0.5233525037765503, -0.8211768269538879, -0.47086891531944275, 0.6016423106193542, -0.28251126408576965, 0.7692679762840271, -0.7668924331665039, -0.9494866728782654, 0.01691739819943905, 0.08027740567922592, 0.7448412775993347, 1.345484972000122, 0.12682189047336578, -2.4520716667175293, 0.4159761369228363, 1.9025356769561768, -0.7346699833869934, 0.044657133519649506, -1.5211198329925537, 0.3478375971317291, 0.7401772737503052, 1.4161995649337769, 0.6833979487419128, -0.13825182616710663, 0.9212995171546936, 0.5282443761825562, -0.008228386752307415, -1.4493319988250732, -0.605182409286499, -0.17924511432647705, 0.19955870509147644, -1.2461947202682495, -0.41459938883781433, 1.4558700323104858, 0.3316534161567688, -1.00010085105896, -0.6919524669647217, -0.47199076414108276, -1.2894343137741089, 1.0762810707092285, -1.0667427778244019, -1.9893426895141602, 0.29731303453445435, 0.4344584047794342, 0.0033933203667402267, -1.0240145921707153, 0.22404761612415314, -0.7554785013198853, 1.3675810098648071, -0.3197358250617981, -0.9130924344062805, 1.919209361076355, -1.6514869928359985, 2.1477253437042236, -0.6604134440422058, 0.11352583765983582, -0.22056575119495392, 0.7118127346038818, 0.3415871560573578, 1.5885895490646362, -0.3488781750202179, -0.45791950821876526, -1.2322070598602295, -0.598077118396759, -0.28154700994491577, 0.05281926319003105, 0.42497751116752625, 0.4825834333896637, 0.48813387751579285, 1.0082393884658813, -0.595004141330719, 0.3926331400871277, 0.8229668736457825, -0.886031985282898, 1.4801039695739746, 0.8391514420509338, -0.20004984736442566, 0.9949536919593811, 0.7201864719390869, -0.13413065671920776, -1.4067999124526978, -2.3609628677368164, -0.2904934287071228, -0.13345853984355927, -0.15693345665931702, 1.138344645500183, -0.2505214214324951, 1.6704555749893188, -0.545271098613739, -2.15816330909729, -1.6607975959777832, -0.6637441515922546, 0.3657907545566559, -0.39920157194137573, 0.49674081802368164, -2.369169235229492, -0.5614708065986633, -0.5949130654335022, 1.2687278985977173, 1.2904434204101562, -1.1755682229995728, -0.0783226415514946, -0.9705761075019836, 1.4723693132400513, 1.4108561277389526, -1.3143675327301025, -1.31621515750885, -1.2524477243423462, -1.5844100713729858, -2.5446670055389404, 1.3719074726104736, -0.5379461050033569, 0.7378400564193726, -0.8505349159240723, 0.03610055148601532, 1.3406710624694824, 0.9199973940849304, -0.3787555396556854, -1.5597758293151855, -0.8009540438652039, -0.7111086845397949, -0.3866667151451111, 0.9578314423561096, -0.8225308656692505, -2.3908050060272217, 0.322247713804245, 1.875388741493225, 1.1042989492416382, -0.5223758816719055, -0.7401803731918335, 0.16235657036304474, -0.2369976043701172, 0.5099347233772278, 1.670624852180481, 1.5921050310134888, -0.41619211435317993, 1.861944556236267, -1.077892780303955, 0.8848565220832825, -0.8342104554176331, 1.0300744771957397, -0.8680985569953918, -0.5701602697372437, 0.32332202792167664, 1.1284750699996948, -1.2123126983642578, 2.602391004562378, -0.09572362899780273, -0.08114803582429886, 1.2586976289749146, 0.8691263794898987, -0.9609367251396179, 0.05182264745235443, -0.3284812867641449, -2.247206211090088, -0.4478967487812042, 0.4234687089920044, -0.3874586224555969, -0.22963792085647583, -0.40709349513053894, 0.8702965974807739, -1.0552809238433838, -1.3284013271331787, 0.7060741186141968, 0.35730111598968506, 0.5892837643623352, 0.9187757968902588, 0.6662830114364624, 0.24650610983371735, 0.1328691989183426, 0.12191462516784668, 0.47808775305747986, 0.2761341631412506, -0.5895728468894958, 0.569182813167572, -0.7911050319671631, -0.19896702468395233, -1.3615714311599731, -0.5193602442741394, 0.07648162543773651, 0.34005025029182434, 1.4557304382324219, -0.3461014926433563, -0.2633814215660095, -0.447700172662735, -0.7288169264793396, -0.16066236793994904, -0.32063713669776917, -0.6307737827301025, -0.788766622543335, 1.3061575889587402, -0.9275763630867004, -0.26273947954177856, 0.9314952492713928, -0.4593467116355896, -0.9419456720352173, -0.7089186310768127, 2.1860759258270264, -0.6493158936500549, 0.45214036107063293, 0.8520749807357788, -1.6946725845336914, 1.1805996894836426, -2.8929238319396973, -0.3875778615474701, -0.7124031782150269, -1.6171332597732544, -0.35899198055267334, 0.051366694271564484, 0.6950237154960632, 1.835181474685669, -1.9180361032485962, -1.3923954963684082, 0.540465772151947, 0.4350730776786804, -2.2717032432556152, -0.13386189937591553, -0.058557309210300446, 0.12574470043182373, -0.5525766611099243, 0.07448001205921173, -0.1492866724729538, -0.5522539615631104, -0.09342008084058762, -1.0284309387207031, 0.40444278717041016, 2.1425962448120117, -0.5153723955154419, 1.0827196836471558, 1.2498642206192017, 0.9821351766586304, 0.22690092027187347, 0.4927920699119568, -0.5128253102302551, 0.3006223440170288, 0.07734657824039459, 0.6477669477462769, -0.4324244260787964, 1.1740480661392212, 0.7011352777481079, 0.6674330234527588, -0.8035953640937805, -1.3776048421859741, -0.4410470724105835, 0.1417587399482727, 1.1084681749343872, 0.5544233322143555, 1.5817502737045288, -1.2247875928878784, 0.962885856628418, -1.5785412788391113, 0.6715953946113586, -0.060151856392621994, 0.06978437304496765, -1.6634936332702637, -0.7650561332702637, 1.2306435108184814, 0.4252126216888428, -0.016383398324251175, -0.10749480873346329, -1.3085604906082153, 0.659813642501831, -0.07032525539398193, 0.27448296546936035, -0.3450125455856323, -0.11961783468723297, 1.1861584186553955, -1.2203160524368286, 0.2909986078739166, -0.07964225858449936, 1.3200364112854004, -1.5196866989135742, -0.29335519671440125, 2.106604814529419, -0.10875027626752853, 0.608341634273529, 0.7894347310066223, 0.7824702858924866, -0.06465863436460495, -0.0002302070497535169, 0.6830949187278748, 0.1063748151063919, 0.3503226041793823, 0.12109924107789993, 0.2984321117401123, 1.3447729349136353, 1.4614392518997192, 1.0566132068634033, 0.8155362606048584, -0.8240620493888855, 0.8932762742042542, -0.386881560087204, -0.35717684030532837, -1.1568186283111572, -1.7659958600997925, -2.5379507541656494, 0.09694309532642365, -0.7912065982818604, 0.37119555473327637, 1.5117958784103394, -0.8914596438407898, 0.5247467160224915, 0.3517809212207794, 0.2491273283958435, 1.1900452375411987, 1.410936951637268, 0.7980097532272339, 0.49413225054740906, -0.18495284020900726, -1.0380902290344238, -0.10130416601896286, -0.9271824359893799, 0.23484112322330475, 0.08861476927995682, -0.3476867079734802, 0.8490674495697021, 0.2014705091714859, 0.3839779198169708, 1.2309634685516357, 1.2286686897277832, 0.7042104005813599, -0.05628490820527077, -1.4897207021713257, -1.5194628238677979, 0.32580918073654175, -1.458429217338562, 1.8989076614379883, -0.04056643322110176, -0.2933650016784668, 1.397810459136963, -0.9166569709777832, -0.7793720364570618, -0.41753849387168884, 1.1059718132019043, 0.2528532147407532, -0.10754015296697617, 0.7705280780792236, -1.1304327249526978, 0.996456503868103, -1.1809622049331665, 0.9626035690307617, -1.1049346923828125, -0.7909473180770874, -0.21609316766262054, 0.0019485306693241, -0.20979070663452148, 1.2010222673416138, 0.675596296787262, -1.8900177478790283, 0.19431965053081512, 1.6020095348358154, -1.0371782779693604, -0.7486876249313354, -0.3844030499458313, 0.14350247383117676, -0.0812682956457138, 1.1261653900146484, 0.04061844199895859, -0.06464217603206635, 3.445625066757202, -1.1129159927368164, -0.4341987073421478, -0.015211731195449829, 0.5427215099334717, 0.12508316338062286, -0.8761705756187439, 1.2222594022750854, 0.32681646943092346, -0.10487240552902222, 2.476804733276367, 0.5769069790840149, 0.14730526506900787, -1.3136197328567505, -0.6061143279075623, 0.6449755430221558, -0.24771355092525482, -1.407819390296936, -0.0801108106970787, 0.5194124579429626, 1.170888900756836, 2.1779797077178955, 1.7791979312896729, 0.25832492113113403, -2.4340736865997314, -0.3497500419616699, -1.338055968284607, -0.4389103353023529, -0.5850174427032471, 1.8071491718292236, -0.7326241135597229, 0.4093967378139496, -0.5840954780578613, 0.10613418370485306, -0.3067088723182678, 0.8642276525497437, -1.0658658742904663, -1.0129939317703247, -0.9939178228378296, 2.9082677364349365, 1.4483332633972168, -0.5614521503448486, -0.9464563131332397, -0.7419731020927429, 0.15562251210212708, -0.25843867659568787, -0.7501540780067444, 1.2354754209518433, 1.0141247510910034, 1.01323664188385, 0.6346396803855896, 0.8768793344497681, 0.8142848610877991, 0.19737061858177185, -0.6367602348327637, -0.8768263459205627, -1.5509816408157349, -0.7881835699081421, 0.5684375166893005, 0.7622402906417847, 0.5568539500236511, 1.2983627319335938, 1.7561308145523071, 0.21129246056079865, 1.4860185384750366, 0.5585124492645264, 0.3491472005844116, 0.8483667373657227, 2.0354838371276855, 0.3772087097167969, 0.48434850573539734, -0.030398759990930557, 1.0925219058990479, -0.5064011812210083, -0.8441710472106934, -0.22143854200839996, 2.274590015411377, -0.783242404460907, -0.26778313517570496, 1.5684525966644287, -0.283514142036438, -0.09603477269411087, 1.0644340515136719, 1.4888246059417725, 0.8825610876083374, -0.23840203881263733, 0.5468734502792358, -0.06058019772171974, -0.5304896831512451, -2.0363707542419434, 0.5246880650520325, -0.6970252990722656, -0.08793152123689651, -0.27431318163871765, 1.2922906875610352, -1.4458993673324585, -0.31466683745384216, 0.11260014772415161, -1.4679176807403564, -1.716816782951355, -0.5502451658248901, 0.535078763961792, -1.3392163515090942, 1.2357676029205322, -2.0370566844940186, 1.4171453714370728, 0.1686755269765854, -1.1421011686325073, 0.6069639921188354, -0.8331825137138367, -0.47921040654182434, 0.2998451292514801, 0.721377432346344, -0.6184468865394592, 0.545662522315979, -0.7691330313682556, 0.0793362557888031, -0.7584667801856995, 0.941990315914154, 0.43399056792259216, 1.1234275102615356, 0.5057575702667236, -1.137097716331482, -0.758182168006897, 0.04228341206908226, -0.6900910139083862, -0.5621538162231445, 0.825295627117157, 2.268347978591919, -1.7732727527618408, -0.9907275438308716, 0.634861409664154, 1.0238486528396606, 0.9574744701385498, 0.019129564985632896, -1.0700304508209229, -0.7518913149833679, 2.4400694370269775, -1.912861704826355, 0.31076598167419434, -1.4762635231018066, -0.47829392552375793, -0.11727923899888992, -0.630508303642273, -1.265464186668396, -0.294853538274765, -0.2798626720905304, 1.0837124586105347, 0.17298388481140137, 0.5123522281646729, -0.9818529486656189, 1.1258721351623535, 0.25538599491119385, -0.4588965177536011, -0.9283785820007324, -0.17175325751304626, -0.6866653561592102, -0.1326882690191269, 1.6295740604400635, -1.5456795692443848, -0.16959930956363678, 0.02781728096306324, 0.0910743772983551, 0.6718529462814331, 0.9851812124252319, -0.7609738707542419, -1.2726119756698608, -0.6267421841621399, 1.3712586164474487, 0.23598231375217438, -0.446566104888916, -1.1778020858764648, 1.4125137329101562, -0.02316661737859249, -0.011093219742178917, -0.9952824711799622, -0.29935362935066223, 0.7670295834541321, -0.937210738658905, -2.330476999282837, -0.7808834314346313, 0.8250064849853516, 1.2206652164459229, -0.06297583878040314, 1.1463638544082642, 1.2215378284454346, -0.31372663378715515, -0.7234253287315369, -0.3627345860004425, 0.4424906373023987, 0.19418247044086456, -0.4999869465827942, -0.5500510334968567, 0.023851748555898666, -1.5203826427459717, 0.5293999314308167, -0.39082857966423035, -1.9291036128997803, 0.03497670218348503, -0.4833625555038452, -1.2260730266571045, -0.33963847160339355, 0.007326157763600349, -0.052180398255586624, 1.167490005493164, 1.730208158493042, 2.056168794631958, -0.23472319543361664, -1.345624327659607, -0.5165784358978271, -0.6881742477416992, 0.4755038022994995, -1.4316335916519165, 0.1427735835313797, 0.6328914165496826, -1.048923373222351, -0.5224623084068298, -1.1338030099868774, -0.14128278195858002, -0.6456266641616821, 0.4101375937461853, 0.32671934366226196, -0.8344282507896423, -0.4921732246875763, 0.658042311668396, 0.5361921191215515, 1.2350000143051147, -0.21214154362678528, 1.387345790863037, -0.8248465657234192, 0.3544987440109253, -0.28073710203170776, -0.243259459733963, -0.29366371035575867, -0.6286743879318237, -0.04226749762892723, -0.27004849910736084, 1.4387904405593872, 0.03258634731173515, -0.5479734539985657, -0.49368005990982056, 2.8818862438201904, -1.1672022342681885, 1.9413354396820068, -1.163609266281128, -1.5966553688049316, 0.08320564776659012, -0.9222075343132019, -0.3711417317390442, -0.9714295268058777, 0.15282166004180908, 0.7250988483428955, -1.3895257711410522, 1.1874427795410156, 0.027558235451579094, 2.0010547637939453, -0.12460697442293167, -1.156516194343567, 0.9009959697723389, -0.18842656910419464, -1.2726235389709473, 0.5764585137367249, -0.35594069957733154, -0.5188538432121277, -0.3927396237850189, 1.7511601448059082, 0.19589348137378693, 1.7757917642593384, -0.18522614240646362, 1.0595130920410156, 1.2978315353393555, 0.3285580277442932, 0.14869070053100586, 0.2704370319843292, -1.1818499565124512, -1.0340323448181152, -0.4947497546672821, -0.8951197266578674, 0.5340191125869751, -0.88661128282547, 0.7963698506355286, 0.6588250994682312, -0.2966694235801697, 0.23320063948631287, -1.3473118543624878, -0.8231000304222107, -0.539470374584198, 0.09215065091848373, 0.8539144992828369, -1.0331605672836304, 0.5837976336479187, 1.0166347026824951, -0.794191300868988, 0.36742645502090454, 0.42930465936660767, 2.0288591384887695, -0.7683921456336975, -1.2262547016143799, -0.11334973573684692, 0.30849045515060425, -0.4410642385482788, -0.77969890832901, -0.756567120552063, 1.514818549156189, -1.5796416997909546, 0.6386357545852661, -0.4361383616924286, -1.0022987127304077, 0.3780289888381958, 0.04276036471128464, 0.5858650803565979, -1.3687634468078613, 1.1168509721755981, 0.27692535519599915, 1.0580254793167114, -0.05147926136851311, 0.17128385603427887, -0.21165163815021515, -0.1787608563899994, -0.8498311638832092, 1.166976809501648, -0.34847185015678406, 0.07418181002140045, 1.757521390914917, -0.6254484057426453, 0.20935998857021332, 1.505028486251831, -1.1346086263656616, -0.7111839056015015, 1.3401062488555908, 1.5108956098556519, -1.198473572731018, 0.37665531039237976, -0.251127690076828, -0.527733564376831, -0.47698524594306946, -0.5625298023223877, -1.0562596321105957, 0.24130821228027344, 0.18275369703769684, 0.6246524453163147, -0.7939775586128235, -0.674835205078125, -0.3876877427101135, 0.44965043663978577, 0.3726101517677307, -1.9104946851730347, 0.26085028052330017, 1.4177610874176025, 0.6738032102584839, 1.4665507078170776, -1.1077474355697632, -0.7443782091140747, 1.0188977718353271, -1.8317182064056396, 0.2806217670440674, 0.6909231543540955, 0.7183824777603149, -0.5719326138496399, -0.46663370728492737, 0.1017654687166214, 0.38033702969551086, -1.962886095046997, -0.7805798053741455, -0.1343953162431717, -0.3609391152858734, 0.104627326130867, -0.325872004032135, 0.3189202845096588, -0.10977188497781754, 0.0964970588684082, -1.4932167530059814, 0.5237964987754822, 0.7530690431594849, -0.2219216674566269, 0.5819101333618164, -1.9369032382965088, -1.5334482192993164, -0.17965702712535858, -0.6577823162078857, -1.2317392826080322, -1.2463988065719604, -1.4996418952941895, -0.5403968691825867, 1.2409895658493042, -1.6212294101715088, -0.9035959839820862, 1.3967915773391724, 0.9178156852722168, 0.5120382905006409, -0.8405776023864746, -1.0445209741592407, 0.5547724366188049, -0.9492565989494324, 1.0457415580749512, -1.1297553777694702, -2.800556182861328, 1.2796905040740967, 0.2199985533952713, 0.3249095380306244, 1.319007396697998, -0.8496796488761902, -0.6987038254737854, -0.20516234636306763, -0.7811664342880249, 0.6872723698616028, 0.7835897207260132, -1.1108732223510742, -3.106328010559082, -0.9897713661193848, -0.6022037863731384, -0.7153372168540955, -0.467404842376709, 0.551419734954834, 2.654942035675049, 1.0582451820373535, -0.1468161642551422, -0.8913255929946899, 0.1937909871339798, 1.9681813716888428, -0.7403607368469238, -0.8665743470191956, -0.30639445781707764, -0.5359372496604919, -0.35750812292099, -1.2398927211761475, -1.523536205291748, -0.8158796429634094, 1.1372771263122559, 0.21925963461399078, 0.4133651852607727, 0.0061524491757154465, -0.5672794580459595, -0.17038141191005707, -0.3027929663658142, -1.2868070602416992, -1.3662828207015991, -0.04625223949551582, -0.6149584650993347, 1.2366944551467896, -0.8143561482429504, 1.146209955215454, -1.178733229637146, -0.036672789603471756, 0.6718097925186157, 0.9242297410964966, 0.26971569657325745, 0.628537118434906, -0.7066188454627991, -0.8558416366577148, 0.9040606021881104, -0.5659265518188477, 0.3840969204902649, -0.7815778851509094, -0.15094131231307983, 0.4199317395687103, 1.4059346914291382, -0.8270853161811829, 1.560778021812439, -1.0952261686325073, 1.1855055093765259, 1.1880545616149902, 2.055619716644287, 0.6602651476860046, -1.1078379154205322, -0.2919580638408661, 0.45643651485443115, -0.3147018849849701, -0.41328999400138855, 0.3946205973625183, 1.1304746866226196, 0.8258382678031921, 0.9458276629447937, -0.15447334945201874, -1.6013476848602295, -0.059470854699611664, -0.9928666353225708, 1.1634254455566406, 1.609459400177002, -0.29416555166244507, 1.0819309949874878, 0.8866236805915833, -0.8611426949501038, -0.27264782786369324, 0.9804211258888245, -0.17533333599567413, -0.12276917695999146, 0.7414069175720215, 0.35395190119743347, -0.5345798134803772, 0.645367443561554, -2.9890658855438232, 0.18370741605758667, -0.4726978540420532, -0.958824634552002, -1.5123530626296997, 1.5067633390426636, -0.938051164150238, -0.638506293296814, 0.21946865320205688, -0.439242959022522, -0.1391070932149887, -0.018692156299948692, 1.6560721397399902, 1.0661178827285767, -0.18189188838005066, -1.2379671335220337, 0.514220118522644, -0.15104348957538605, 0.13773603737354279, 1.2250828742980957, -0.7642602920532227, 0.9183834791183472, 0.40576910972595215, 0.25104597210884094, 0.1281542032957077, -0.19802889227867126, -1.478035569190979, -0.5910253524780273, 0.8357481956481934, -0.22924941778182983, -1.2403943538665771, 0.24919238686561584, -1.1415528059005737, 0.7821402549743652, 0.010817415080964565, 0.3816293179988861, -1.652677059173584, -0.38139888644218445, 0.10698884725570679, -0.10149910300970078, 0.08301469683647156, 0.712009072303772, -0.9005926847457886, 0.8906894326210022, 0.47655177116394043, -0.8396266102790833, 0.33320167660713196, -1.2525506019592285, -0.5745509266853333, -1.9059150218963623, -0.9665390253067017, 0.367727130651474, -0.5785751342773438, 1.2373405694961548, 0.8713390231132507, -0.522757887840271, 1.2400078773498535, -0.905766487121582, 0.768028736114502, 1.62221360206604, 0.08158037811517715, 0.20281589031219482, 0.33024173974990845, -0.9533721804618835, 1.5734566450119019, 1.8697383403778076, -1.0638948678970337, -0.2272576242685318, 0.25006136298179626, 1.161847710609436, -0.11422315984964371, -0.05629456788301468, 0.8497498631477356, -0.8599120378494263, -0.6105663776397705, 1.0629346370697021, 1.2221823930740356, 0.771891176700592, -1.2797164916992188, -1.5433486700057983, -0.6020243763923645, 0.3213997781276703, -0.060615699738264084, -1.170371651649475, -2.773613929748535, -0.02982438914477825, -0.91661536693573, 0.4702746272087097, 1.8777929544448853, 0.5223742127418518, 0.051756951957941055, 0.4260155260562897, 0.9475129246711731, 0.4364280700683594, -0.2053070068359375, -1.4739270210266113, 0.5066304802894592, 0.2779245674610138, 1.351500153541565, -0.8949641585350037, -1.596110463142395, 0.673722505569458, -0.9970720410346985, -0.34807199239730835, 0.2176828533411026, 1.1277714967727661, -1.5005147457122803, -0.2404831200838089, -0.4854878783226013, -0.06616523861885071, -0.9029294848442078, 0.6440190076828003, 0.7591805458068848, -2.0202691555023193, -0.6739510893821716, -0.9192121624946594, 1.212047815322876, -1.3463493585586548, -0.4831593930721283, 1.7186238765716553, -0.5684311389923096, -2.9151322841644287, 1.0834310054779053, 0.07731132954359055, 1.2316521406173706, 2.9194436073303223, 1.9377914667129517, -0.5536230206489563, -1.3029974699020386, 1.0696011781692505, -0.4561823606491089, 1.3634916543960571, -2.4219810962677, -0.08308011293411255, 0.1034913882613182, 0.11661393195390701, -0.02522038109600544, 0.3788713812828064, 0.24455690383911133, -0.8915789723396301, 1.4343817234039307, -1.9291707277297974, -0.5713837146759033, -0.6671661734580994, -0.09203547239303589, 0.9548746347427368, 0.18482893705368042, -0.11677189916372299, -0.22911065816879272, -0.3448553681373596, -1.0765177011489868, -0.5477657318115234, -0.3289257884025574, 0.05841278284788132, 2.1100034713745117, 0.7726138234138489, -0.34265488386154175, 1.2370060682296753, -0.2497664988040924, 0.22397132217884064, -0.6875526905059814, -0.489844411611557, 0.399687796831131, 0.6981958150863647, 0.05211031809449196, 0.2882064878940582, 0.05950453504920006, 1.7285562753677368, 0.2920781075954437, -0.6925867199897766, -0.8442767858505249, -0.32920387387275696, -0.1140289157629013, -0.8452204465866089, 0.3004419207572937, 1.6395184993743896, -1.0744175910949707, 0.32121846079826355, 0.2892301678657532, 0.35196706652641296, 2.0987792015075684, -0.5285679697990417, -1.7715193033218384, 0.0968942642211914, 0.36735019087791443, 0.47318676114082336, 0.5876799821853638, 0.183979332447052, -0.8425887823104858, -1.6971954107284546, 1.0871516466140747, 0.6801379919052124, 1.1616994142532349, -0.17657233774662018, 0.5214431285858154, -2.3571479320526123, -0.8351162672042847, -2.262038469314575, -1.2966164350509644, 0.3269132375717163, 0.6064606308937073, -0.46068817377090454, -0.8800807595252991, -1.4766337871551514, 0.982934296131134, 0.034095875918865204, 1.1689343452453613, 0.9025653600692749, -1.7167327404022217, 0.04617787152528763, 0.09389957040548325, -1.35635244846344, -1.0603324174880981, 1.0654057264328003, 0.5447612404823303, 1.522364616394043, 0.02351505309343338, 0.28558799624443054, 0.020343216136097908, 0.9289091229438782, -0.9238923788070679, 1.1473842859268188, -0.7054344415664673, 1.1544770002365112, -1.7462857961654663, 0.7103408575057983, -0.10175959765911102, -0.9663392901420593, -1.4231536388397217, -0.7846477627754211, 0.6107109189033508, 0.2142704576253891, -0.17470814287662506, -1.7561272382736206, 1.4259073734283447, 0.5127183794975281, -0.4026731848716736, 1.9770677089691162, 0.0267170500010252, -0.25020739436149597, -0.09136287868022919, -0.5283262729644775, -0.46433013677597046, -0.15669254958629608, -1.5964131355285645, -1.5322294235229492, 0.8562206029891968, 0.4322175085544586, 0.24113479256629944, -0.05469474941492081, 0.04771281033754349, -0.8637551665306091, -1.141897201538086, -0.8292406797409058, 1.3149042129516602, 1.2470786571502686, -0.25818052887916565, -1.340453863143921, -0.5561836957931519, 1.173104166984558, 0.6859942674636841, 0.8626111745834351, -0.41023650765419006, -0.7588294148445129, 1.6981608867645264, 0.7436892986297607, -0.03276701644062996, 1.0600273609161377, 0.003909424878656864, -0.6951659917831421, -1.8829604387283325, 0.304572194814682, -0.7002271413803101, 1.7811017036437988, -0.2936820983886719, 0.5242968797683716, 1.0186315774917603, -0.15129554271697998, 1.1705763339996338, 1.6411151885986328, 0.428303986787796, -1.0703928470611572, -0.6159464716911316, -1.0194525718688965, 0.3848164975643158, 0.29434895515441895, -1.7715047597885132, 0.4349344074726105, 0.06312361359596252, -0.6894504427909851, -0.2829807698726654, 0.6600029468536377, -0.16193436086177826, 0.886084258556366, 0.5484238862991333, 0.45765289664268494, 0.9676891565322876, -0.6673583388328552, 1.6183183193206787, -0.26440465450286865, 1.354137897491455, -0.07092823088169098, -0.3697128891944885, -0.24597389996051788, 0.3293827772140503, 0.6973733305931091, 0.8342204093933105, -1.098692774772644, 0.5674765110015869, 0.8283826112747192, -3.832531690597534, 0.11584769189357758, 1.9915446043014526, 1.0238094329833984, 2.1327197551727295, 0.23347528278827667, 1.2004878520965576, -1.2668757438659668, -0.9444983005523682, -0.1793764978647232, 0.31204938888549805, 0.717379093170166, -0.2302778661251068, 0.7147674560546875, 1.443666696548462, 0.196146160364151, -0.8332573175430298, -0.952683687210083, -0.36366915702819824, -1.2479252815246582, -0.09261447191238403, 0.6533640027046204, 0.16369947791099548, 1.3456140756607056, -0.369537353515625, -0.47141557931900024, 0.4779217541217804, 0.8509474396705627, 0.36884430050849915, 1.0622302293777466, 1.763922929763794, -0.048379626125097275, 0.9127081632614136, -1.3906173706054688, -0.7096118927001953, 0.9307976365089417, -0.4243218004703522, -0.4821736812591553, 0.4773769974708557, -0.1789979487657547, 0.4937354028224945, 0.5612083077430725, -1.7986558675765991, -0.6078459620475769, -0.5876336097717285, -0.3451770842075348, -0.7287773489952087, -1.4957531690597534, 0.7350953221321106, -0.269319087266922, 0.45085909962654114, 0.04091703146696091, -0.06933283060789108, 0.2585761547088623, 0.4775455594062805, -1.4889180660247803, -1.2930028438568115, 0.8795658946037292, 0.07306429743766785, 0.9967362284660339, 1.0237910747528076, -1.2132517099380493, 0.9744538068771362, 0.45322731137275696, -0.9927570819854736, -0.2557562291622162, -0.9585699439048767, -0.5702749490737915, 0.19281719624996185, 0.3847457766532898, -0.8595814108848572, 1.2814747095108032, 0.1276102066040039, 0.6101059317588806, -1.2942535877227783, 0.6038413643836975, 1.117246150970459, 0.4163925051689148, 0.6747081875801086, 0.2249874323606491, -0.9556332230567932, -0.7779799103736877, 0.6935068964958191, -0.4358613193035126, -0.9824548959732056, -0.9062879085540771, 1.25956130027771, 0.34626761078834534, -2.0042972564697266, 0.005512263625860214, 0.46033239364624023, 1.179113507270813, -1.0105520486831665, -0.620258629322052, -1.4548780918121338, -0.5897568464279175, -0.6960206627845764, 0.5155009627342224, 0.723761260509491, -0.18846826255321503, -0.5821011662483215, -0.4351550042629242, -1.2878144979476929, 1.9290111064910889, -0.17678959667682648, 0.6398148536682129, 0.6912683248519897, 0.5198960900306702, -0.7546091079711914, -0.033411234617233276, -0.8276495337486267, -0.3524166941642761, -0.6002296209335327, -0.05797451362013817, 0.2974875271320343, 1.6327744722366333, -1.4954025745391846, -0.29969948530197144, -0.4144572615623474, -0.7832543253898621, -1.508280873298645, -1.3664311170578003, 0.9639950394630432, 0.04045804589986801, 0.24693161249160767, 0.11251302808523178, -0.534939169883728, 0.9171100854873657, 2.065865993499756, 1.0178154706954956, -1.9378867149353027, -0.5477144718170166, -0.18265697360038757, -1.2373387813568115, -0.16857317090034485, 0.688886284828186, 0.10380307585000992, -0.6988955140113831, -0.5013832449913025, -0.1714278757572174, -1.5410068035125732, -0.3302071988582611, -0.7394251227378845, -1.5103271007537842, 0.17837846279144287, -0.1793491244316101, -0.5583686828613281, -0.880412757396698, -0.8791263699531555, 1.5490630865097046, 0.015372429974377155, -0.027610722929239273, -0.4480331838130951, 1.8764188289642334, -0.8918796181678772, 0.43931636214256287, -1.030982494354248, -1.4263975620269775, 0.7195857763290405, 0.5959717631340027, -1.3461240530014038, -0.05369861051440239, -1.0822854042053223, 0.3181943893432617, -2.230900526046753, -1.0310343503952026, 0.20588766038417816, -0.8329556584358215, 0.960921049118042, -1.2231221199035645, 0.0782814472913742, -0.15562321245670319, 0.40913498401641846, 0.4989188015460968, -1.0028913021087646, 0.06786083430051804, -0.5345580577850342, -1.4051520824432373, 1.8911962509155273, 1.3595441579818726, -0.8487699627876282, -1.841177225112915, 1.3572540283203125, 1.1483440399169922, -0.8582409024238586, -0.47482022643089294, -0.9581076502799988, -0.3348093032836914, -1.7462626695632935, 0.2912493050098419, -0.4571112394332886, 0.9602430462837219, 0.4171837866306305, 1.6657739877700806, -1.8149548768997192, -0.48883718252182007, 0.3190588653087616, 0.8207234740257263, 0.26855215430259705, 0.5349103808403015, -1.9663856029510498, 0.3475550413131714, -0.3839770257472992, 0.9996393918991089, -0.411981463432312, 1.7920981645584106, -0.7672699093818665, -1.307977557182312, -1.3159326314926147, -0.1285407692193985, 1.3067461252212524, 0.1913401335477829, 1.3767470121383667, 0.6892044544219971, 0.43921586871147156, -0.04813985526561737, 1.1221709251403809, 2.794304609298706, -0.08464081585407257, -0.2434539496898651, -1.4118940830230713, -1.0503880977630615, 1.110618233680725, 0.21369199454784393, 0.5067698955535889, 0.2828640341758728, -1.1814165115356445, -0.9001671671867371, 2.1022186279296875, 0.13313262164592743, 0.022425031289458275, -0.2799490988254547, -0.6847841143608093, -0.7950332760810852, -1.7333787679672241, 1.1858826875686646, 0.4465799629688263, 1.1301037073135376, -0.3333589434623718, 0.8935588598251343, -1.4335700273513794, -0.8756160736083984, 0.009384111501276493, 0.6304294466972351, 0.8632985949516296, 1.1419644355773926, 0.3109254240989685, -0.10642467439174652, -0.7953895330429077, -1.1213213205337524, 0.8579769134521484, -1.6933249235153198, 0.28935855627059937, 0.12979160249233246, 0.802577018737793, 0.20192822813987732, 0.3846955895423889, 0.17066167294979095, 1.3613444566726685, -0.2574329078197479, 0.006673657335340977, -0.5435441136360168, -1.4135205745697021, 2.787760019302368, -1.013744592666626, 1.001903772354126, 0.8672234416007996, 0.2449631243944168, 1.3056882619857788, -1.0610337257385254, -0.040719106793403625, -1.9681334495544434, 0.646186888217926, 0.9474418759346008, -0.9643430113792419, -0.05544678866863251, 0.6487414836883545, -1.5244767665863037, -0.4629494845867157, -1.6245776414871216, 1.4023377895355225, 0.38867735862731934, 0.7254555821418762, -1.389511227607727, -0.8552696704864502, -1.5335310697555542, 0.1288852095603943, 0.2891088128089905, -0.7377328872680664, 0.8807763457298279, -0.7662639021873474, 1.4585676193237305, 1.7723872661590576, 0.32965973019599915, 0.6587340831756592, 0.6428387761116028, 0.40178006887435913, 0.6623095273971558, -0.8157885074615479, 1.7509973049163818, -0.07119281589984894, -0.3190559148788452, 0.9801297783851624, 0.11956664174795151, -0.06124546006321907, -0.9422666430473328, 1.4318883419036865, 0.13153165578842163, -0.18222470581531525, 0.3660371005535126, -0.6753576993942261, -0.32092228531837463, -1.2091906070709229, 0.07767737656831741, 0.17619068920612335, 0.578863799571991, 1.5511679649353027, 0.08444352447986603, -0.28734639286994934, -0.6621134877204895, -0.01396828331053257, -0.2777872681617737, -0.7856798768043518, 0.46062397956848145, 0.566218376159668, 1.1541569232940674, -2.3971786499023438, 0.38038408756256104, -1.0242971181869507, 0.7994512319564819, 0.8700053095817566, 0.07007400691509247, -0.338508278131485, -0.09547755867242813, 0.15840405225753784, -0.8398545384407043, 1.6116095781326294, -0.07980115711688995, 1.6326349973678589, -0.2204407900571823, -0.22251465916633606, 0.1314752995967865, 0.683012068271637, -0.1380276381969452, 1.4818451404571533, 1.6592570543289185, 0.40998774766921997, -0.0009331775945611298, -0.1083751767873764, 0.4794066250324249, -1.2922874689102173, 0.3474847674369812, -0.006388451438397169, -0.3764995038509369, 2.26790452003479, 0.9991294741630554, -0.29818224906921387, -0.7564722895622253, -0.20476730167865753, 1.405016303062439, -0.9434769749641418, 2.028413772583008, 0.3931215703487396, 0.4133126139640808, 0.4638987183570862, -0.02982708252966404, 1.2715272903442383, 1.0848652124404907, -1.0124340057373047, -0.14667245745658875, -0.49658793210983276, 0.36382463574409485, 0.3396982252597809, 1.273138165473938, -1.5371414422988892, 1.0402002334594727, -0.7641999125480652, -0.44291654229164124, -0.009090420790016651, 0.253021240234375, 0.8895691633224487, 2.015190601348877, 0.12288182973861694, 0.283156156539917, 0.5790372490882874, -0.19989891350269318, -2.4690840244293213, -1.6101100444793701, 1.7436981201171875, -0.38771313428878784, 0.7723278403282166, 0.22671592235565186, 0.8466978073120117, 0.8503185510635376, 1.8044092655181885, 1.4646685123443604, 0.2913444936275482, -1.1624996662139893, -0.4783642590045929, 1.3870203495025635, -0.05114508792757988, -0.6013848185539246, -1.144444465637207, -1.1233654022216797, -0.7945832014083862, -0.5694751739501953, 0.0549420528113842, -0.7646363377571106, -1.4184188842773438, -0.8973153829574585, -0.0699654296040535, 0.4944293797016144, 2.1871159076690674, 1.1617707014083862, 0.6346917748451233, -0.43252453207969666, -1.411285400390625, 1.8677223920822144, -0.9821573495864868, -0.958099365234375, 1.774613618850708, 1.5161906480789185, -1.0465848445892334, 1.3759163618087769, -0.23219886422157288, 0.8947616219520569, -0.11953603476285934, 0.7854304909706116, -0.5617773532867432, -0.4788385033607483, -0.7523331046104431, -0.47686854004859924, 0.7134581804275513, -1.9506268501281738, -0.6031076312065125, -0.7942026853561401, 0.023615580052137375, -0.7165514230728149, 0.9313072562217712, 0.11275313794612885, 0.2154434323310852, -1.2841796875, 2.2291040420532227, 0.8249357342720032, 0.4553866684436798, 0.5599141120910645, 0.21209175884723663, 1.1861251592636108, 0.2881997525691986, 1.6998308897018433, 2.432499408721924, 0.2585121691226959, 1.3330131769180298, 0.12649448215961456, -0.15770527720451355, 0.5783246755599976, 1.2233283519744873, 0.791765034198761, 0.5074418187141418, 0.4391697347164154, -0.8357943892478943, -0.6900646686553955, 0.4646506905555725, 0.9826740026473999, 0.41359904408454895, -0.5239112377166748, 0.13422854244709015, -1.1245696544647217, 1.3094075918197632, -0.07285541296005249, 1.1227099895477295, -0.24534782767295837, 0.2722645699977875, -0.428587943315506, 0.8239985108375549, -1.3989880084991455, -0.6815629601478577, 0.8538435697555542, 1.5678290128707886, -0.5997704267501831, 0.23984402418136597, 2.1043002605438232, -0.7700114250183105, -1.5130436420440674, -0.403571754693985, -0.442477822303772, -1.2654074430465698, 1.4840714931488037, -0.2043316662311554, -1.1885942220687866, 0.14065788686275482, 0.64668208360672, -0.23070071637630463, 0.3957928717136383, -0.13584411144256592, 0.2491820603609085, -0.3809734880924225, -1.632828712463379, -0.32575365900993347, 2.110002040863037, -0.5582384467124939, 0.3878994286060333, -2.2768142223358154, 0.37306782603263855, 0.2061154842376709, -1.0159707069396973, -0.599851667881012, 0.17797666788101196, -1.143309235572815, 1.3394620418548584, -0.996752142906189, -0.6021904945373535, 0.8778835535049438, 0.7318398952484131, 0.27381452918052673, 1.7188366651535034, 1.3450311422348022, -1.2653104066848755, 0.45117413997650146, 0.6597211360931396, -0.6524525284767151, -0.8879274725914001, -1.0803741216659546, 1.424518346786499, -1.1213253736495972, 0.3918372392654419, -0.49036842584609985, -0.2890629470348358, -0.20463405549526215, -0.1949448138475418, 1.668276309967041, 0.674127995967865, 1.1708104610443115, 0.02161409705877304, -0.9156265258789062, 0.21846671402454376, 1.2556324005126953, -0.8077448010444641, 2.0619850158691406, 0.3048802316188812, -1.4610782861709595, -0.20266015827655792, 0.03146770969033241, 0.2910449504852295, 0.4191838204860687, -0.8154667019844055, -0.6950612664222717, 0.8987486362457275, -0.763476550579071, -0.19663105905056, -0.3633078634738922, -1.5530608892440796, -0.8605437278747559, -0.400406152009964, 1.6809849739074707, -0.11213088780641556, -0.5451535582542419, 0.5253366231918335, 0.6945744156837463, 0.730522096157074, 1.6037938594818115, 0.7152358293533325, 1.9036880731582642, -1.1197839975357056, -2.211786985397339, -0.5754631757736206, 0.4319401681423187, 0.8337973356246948, 0.3153077960014343, 1.3361929655075073, 0.5864706635475159, 0.21032211184501648, -0.06552600860595703, 0.6110420823097229, 0.6648997068405151, -0.2499617040157318, 0.5851041674613953, 1.2774115800857544, 0.8801257610321045, 0.5200220942497253, -1.0255969762802124, 1.7627143859863281, -2.815762519836426, -0.28375279903411865, -0.6867133378982544, 1.02428138256073, 1.7308460474014282, -0.32468941807746887, 0.3143851161003113, -0.0669134333729744, -0.6546841263771057, 1.0335131883621216, -2.1418421268463135, 0.7724379897117615, -0.6358500719070435, 0.2520127594470978, 0.9832903742790222, 0.27252840995788574, 0.7202309370040894, 0.8769089579582214, -1.6670103073120117, -2.2226719856262207, -1.0847980976104736, 0.612401008605957, -0.5785004496574402, -0.725740909576416, 1.8645329475402832, -0.8439115881919861, 1.395574688911438, -0.4016047418117523, -0.47602152824401855, 0.6024074554443359, -0.13895398378372192, -0.5199072957038879, -0.4297706186771393, -0.9330264925956726, -0.3255579173564911, 0.9303890466690063, -0.2840443551540375, 0.8463886380195618, 0.018565375357866287, -1.6755516529083252, -1.9437434673309326, 0.09865526109933853, -0.6744462847709656, -1.8892930746078491, -1.842443585395813, 0.13227719068527222, -0.792870283126831, 1.2297093868255615, 0.07773400843143463, 1.8036106824874878, -0.3388381898403168, -0.46696820855140686, -0.40187644958496094, -1.3109723329544067, 0.03079218976199627, -0.5921895503997803, -1.1771180629730225, 1.7409440279006958, -0.29608187079429626, -0.3473694622516632, -0.49671268463134766, -1.301006555557251, 1.3098556995391846, -0.2666304111480713, 0.19697873294353485, -0.6992143392562866, 1.1395643949508667, 0.1911749392747879, -0.009462441317737103, 0.35460788011550903, -0.42382940649986267, 1.0711755752563477, 2.7124791145324707, -0.19352838397026062, 1.7502615451812744, -0.11171314865350723, -0.8220173120498657, 0.7975156307220459, -0.7685240507125854, 1.5375657081604004, -1.7771120071411133, -1.0646121501922607, 1.050782322883606, 1.3841030597686768, -1.5027097463607788, -1.0865437984466553, 2.1495704650878906, -0.9262224435806274, -0.8617974519729614, -0.013285640627145767, 0.976121723651886, -0.07730520516633987, -2.168846368789673, 1.213675856590271, -1.8085858821868896, 0.19425715506076813, 0.6679531335830688, -1.1588698625564575, -0.7162472605705261, -1.0271012783050537, -1.4785282611846924, 0.045808374881744385, -0.10694064944982529, 0.35308536887168884, 0.3301672339439392, -0.5309102535247803, 0.03632983937859535, 2.4672696590423584, -0.16547387838363647, -0.3069077730178833, 1.4188532829284668, -0.4566229581832886, -1.5976078510284424, 0.7735506892204285, -0.6360014081001282, -0.2509534955024719, 0.7005379796028137, 1.4387873411178589, -1.0684497356414795, -0.16634242236614227, 0.517611563205719, -0.7325262427330017, 0.33585336804389954, -0.7603669166564941, 0.056602153927087784, -1.5038570165634155, -0.4485261142253876, 0.5257315635681152, 0.2619018256664276, 0.7167068123817444, -0.696540355682373, 0.8436497449874878, 1.9249420166015625, -0.3405316174030304, -0.43293496966362, 1.3083903789520264, 0.4293099343776703, 0.07122802734375, -1.4018466472625732, 0.5611289739608765, 1.151316523551941, 0.698857843875885, -0.5897563099861145, -0.16460900008678436, -0.49309614300727844, 0.50408536195755, 0.13771948218345642, 0.27507975697517395, 0.4682971239089966, -0.7029951810836792, -0.179605171084404, 0.8973854184150696, 0.051693860441446304, -0.5315346121788025, 0.40693262219429016, 0.4082213044166565, -0.4960733652114868, -0.9290968179702759, -0.1992855966091156, 0.468250036239624, 1.0863715410232544, -0.48916497826576233, -0.08609210699796677, 0.60735023021698, 0.227834552526474, -0.6186387538909912, 1.1308897733688354, -0.12078773975372314, 1.6046744585037231, 0.08605138957500458, 0.2812545895576477, 0.08700139075517654, -0.25706610083580017, 2.2180261611938477, 1.240154504776001, -0.6573424935340881, 1.848445177078247, -1.1966158151626587, -0.45390239357948303, 1.4244478940963745, 2.269195318222046, 1.3104835748672485, -0.3178943395614624, -0.3773656487464905, 2.260444164276123, -0.3309515118598938, -0.7194162011146545, 1.2199066877365112, 1.435631513595581, -0.31398269534111023, 0.8979019522666931, 0.6358874440193176, -0.8475749492645264, -0.09313177317380905, -0.39359593391418457, -0.02484050951898098, -0.3632628321647644, -0.6941221952438354, -0.9816297292709351, -0.05556102097034454, -1.0469098091125488, -0.16152557730674744, -0.5717736482620239, -1.5560880899429321, -0.9632699489593506, -0.4366423487663269, -0.008548072539269924, 0.04459971562027931, -0.3537367880344391, 0.15752233564853668, -1.1567072868347168, 1.8155642747879028, -2.0921216011047363, -0.651735246181488, 1.1426492929458618, -0.7538284063339233, -1.4663174152374268, 0.08023621141910553, -0.6316997408866882, -0.7410086393356323, 1.8063979148864746, 0.9378061294555664, -0.38452786207199097, 0.658501386642456, 0.7616772055625916, -0.6450856328010559, -3.6307897567749023, -2.186370372772217, 0.26440107822418213, -0.5598823428153992, 1.4536970853805542, -0.2962888479232788, -0.4702155888080597, -1.499106526374817, 2.2968475818634033, 1.649484395980835, 1.3179066181182861, 0.7556464672088623, 1.2471561431884766, 0.7881364822387695, 1.5493229627609253, -0.6088662147521973, -2.7026309967041016, -0.6108700037002563, 1.1897660493850708, -0.4802001118659973, 2.2536263465881348, 1.1717573404312134, 0.8793008327484131, -0.7796709537506104, -0.07809803634881973, -0.3723330795764923, 0.36377331614494324, 1.2563191652297974, -0.1220834031701088, 0.10120877623558044, 0.47121289372444153, 0.6840168237686157, 0.5099198222160339, -0.7801733613014221, 0.6629142165184021, 0.6556671857833862, 0.058465536683797836, 0.7882350087165833, -1.0857888460159302, 1.0519514083862305, -0.38931718468666077, 1.4754453897476196, -0.17086558043956757, -2.0883853435516357, 0.7963455319404602, 0.4962165355682373, 0.6029451489448547, -0.5226418375968933, 1.0360978841781616, 0.5318379998207092, -0.31479185819625854, 0.02101830020546913, -0.054547298699617386, -0.8116031885147095, -0.26106584072113037, -0.6925831437110901, 1.5522570610046387, -2.3087081909179688, -2.195838451385498, 0.32025381922721863, 0.772721529006958, -0.16666415333747864, -0.011849306523799896, -0.11284743994474411, -0.6838181614875793, -1.2513858079910278, -0.0759536400437355, 0.3789231479167938, 0.6201399564743042, -0.08987566083669662, 1.209662675857544, 0.8767158389091492, 1.8312735557556152, -0.6159215569496155, -0.6072822213172913, -2.05973744392395, 1.5289140939712524, 0.3378683924674988, 0.1915358453989029, 0.16352267563343048, 0.6710167527198792, -0.4096096158027649, -0.530225396156311, 0.2532861530780792, -0.19900000095367432, 0.6101416945457458, -1.4391361474990845, 1.6620672941207886, 0.35557520389556885, -1.8119957447052002, 0.46456536650657654, -0.5480050444602966, -1.0596239566802979, 0.17400647699832916, 0.3821605145931244, -0.19578158855438232, -0.15132363140583038, 0.625577986240387, -0.6219039559364319, -1.087321400642395, -1.3252078294754028, 0.37722504138946533, -0.05841507390141487, -1.4766151905059814, -0.9860185384750366, 1.4865750074386597, 0.14713206887245178, -1.366032361984253, -0.6708536148071289, 0.9521092176437378, 1.4749457836151123, -1.4756296873092651, -0.8660301566123962, 1.278135895729065, 0.35259687900543213, -0.07500791549682617, 0.40587425231933594, 0.5351168513298035, -0.06878392398357391, -0.6154842972755432, 0.2695809602737427, -0.031602293252944946, -1.2756946086883545, -0.6372569799423218, -0.761553168296814, -0.4670298099517822, -1.2028323411941528, -2.4587764739990234, -0.489888072013855, -1.5937029123306274, 0.9481480121612549, -0.4264816343784332, -1.4827039241790771, -0.45044565200805664, 0.8889638781547546, -1.1525547504425049, 0.0294801015406847, -0.5199353098869324, -0.16537998616695404, -0.27732527256011963, -0.24465647339820862, -1.988023042678833, -1.266395926475525, -0.3072216808795929, 0.8398252725601196, -0.4688802659511566, 0.22658583521842957, 0.34186819195747375, 0.5933657884597778, 1.917343020439148, -0.47865501046180725, -0.0578240342438221, -1.7239394187927246, -0.9909027814865112, 1.9551998376846313, -0.06532658636569977, 0.14630116522312164, 1.1357200145721436, -0.2688539922237396, -0.9126741886138916, 0.6866339445114136, 1.5644149780273438, 1.013214111328125, -1.1486494541168213, -0.7915613055229187, -0.3213580846786499, 0.5456286668777466, -1.2671267986297607, 0.5779818296432495, -0.02104124240577221, -0.13801060616970062, 0.09937097132205963, -0.16284485161304474, 0.18977606296539307, -1.2571945190429688, 0.25705486536026, -1.0625808238983154, -0.632580041885376, -0.6293240189552307, -1.676796793937683, 0.6724022030830383, 1.988852858543396, 0.8156912922859192, -1.4682725667953491, 1.6630321741104126, -1.454459547996521, -0.23146040737628937, 0.5549997091293335, 0.32450324296951294, 1.4936802387237549, 0.5853366255760193, 0.7599589824676514, -1.0136138200759888, -1.391968846321106, 0.8856539726257324, 0.9161636829376221, 0.4850791096687317, -1.0356383323669434, 0.1620996594429016, -0.34564009308815, 0.7718657851219177, 0.01673818565905094, 0.6803566813468933, -0.12983323633670807, 0.09731336683034897, 0.7956935167312622, -2.1607372760772705, -0.5694004893302917, -2.002260208129883, -1.2304245233535767, 0.8770406246185303, -2.0921499729156494, 1.5937228202819824, 2.563725471496582, -0.12678508460521698, 0.2314254492521286, 0.7924410104751587, -0.3076462149620056, 0.6760215759277344, 2.6805763244628906, -0.870776891708374, 0.036105670034885406, 1.0989755392074585, -0.2800213098526001, 0.5310931205749512, 0.5320438146591187, -1.5853021144866943, 2.4220407009124756, 0.47723037004470825, 0.5956750512123108, 0.2792662978172302, 0.2393292933702469, 0.4738202393054962, 0.031055578961968422, -0.1489359736442566, -0.3652037978172302, -1.8156386613845825, 1.1129406690597534, 1.1716068983078003, -1.7179490327835083, 1.0239890813827515, -1.036582350730896, -1.997800350189209, 1.5087897777557373, 0.19652637839317322, 1.0685486793518066, 0.4850883483886719, 0.005962289869785309, 1.0006709098815918, 0.7048721313476562, -0.6978404521942139, 0.4728609323501587, -0.6566532254219055, -0.8678151965141296, -0.10431576520204544, 0.9755558371543884, -0.8829219937324524, -0.7062578201293945, -1.2799997329711914, 0.13592901825904846, -0.28107115626335144, 1.7253460884094238, 0.12699493765830994, -0.8810292482376099, -0.6380594372749329, 0.5336771607398987, 0.1680675745010376, -1.0805774927139282, 0.8652670383453369, 0.9823195338249207, 0.7240417003631592, 0.13301636278629303, -0.6277503967285156, -0.14591790735721588, -0.42359691858291626, -1.4881683588027954, 0.8582064509391785, 3.035121202468872, -1.1487538814544678, 0.22709836065769196, 0.030582552775740623, 0.01513738464564085, 1.1773208379745483, -0.9649611115455627, -0.24674776196479797, -0.6797627210617065, -1.0098387002944946, -0.3882693350315094, -1.3795819282531738, 1.0700255632400513, -0.9035424590110779, 0.7684311866760254, 0.43918681144714355, -0.5032515525817871, 2.1168277263641357, 1.2190254926681519, -0.7853313088417053, 1.0900859832763672, -0.06645472347736359, 1.2572640180587769, 0.1582425981760025, -1.742975115776062, -1.2938947677612305, 1.3074569702148438, 0.7085686922073364, 0.294900506734848, -0.6937687397003174, -0.8013211488723755, -0.07756809890270233, -0.5014570951461792, -2.2269856929779053, -0.17264695465564728, -0.6625555157661438, -0.5495360493659973, 0.05868193879723549, 1.5382329225540161, 1.0444575548171997, -0.26301294565200806, 0.2191448211669922, 0.05122251436114311, 1.1272135972976685, 0.5445007681846619, -0.2185828685760498, 0.4121098220348358, -1.132474660873413, -2.3891191482543945, 0.7177993655204773, -1.5831094980239868, -0.9634820222854614, -1.054305911064148, -0.6109879612922668, 0.11032737791538239, 0.12355764210224152, -1.4388847351074219, -0.45935776829719543, 0.719353199005127, -0.09622633457183838, -0.6806969046592712, 0.7339244484901428, 0.0939386859536171, 1.0834808349609375, 0.8089823126792908, -0.9773237109184265, -0.26083904504776, 0.9019067287445068, 0.3177003860473633, 1.5053801536560059, -0.0004540873342193663, -0.8399935364723206, -0.9963456988334656, 1.9695827960968018, -0.6241140961647034, 0.7812330722808838, -1.473711609840393, 0.9128003120422363, -0.8139405250549316, -0.32805025577545166, -1.6033560037612915, 0.15657921135425568, 1.240025281906128, -1.338854193687439, -0.10444001853466034, 0.15694653987884521, -1.5131834745407104, 0.9912806153297424, 0.5573175549507141, -0.6779595613479614, 0.968483567237854, 0.8363486528396606, -2.076538562774658, 0.9263569712638855, 1.882336139678955, 0.02799459546804428, -0.36298084259033203, 0.45504313707351685, 0.7594925165176392, -0.9625334739685059, 0.9539335370063782, -1.4123497009277344, 0.812851071357727, 1.4345933198928833, 0.05774686485528946, -0.8951465487480164, -0.08590231090784073, -0.6046251654624939, -0.6875012516975403, 0.20559696853160858, -0.7192203998565674, -1.1452873945236206, 0.888896107673645, 0.24766799807548523, 0.9761032462120056, -1.0025994777679443, -0.8691359758377075, 1.034902811050415, 1.141363263130188, -0.6113547086715698, 0.5669911503791809, -0.15298272669315338, -0.291658490896225, -1.206941843032837, -0.1684180051088333, -1.0213230848312378, 0.45474377274513245, 0.05628223717212677, 0.1908469796180725, -0.002813637489452958, -0.6423792839050293, -0.2348310351371765, 0.1834784746170044, 0.8270988464355469, 0.6817458271980286, 0.40630635619163513, 1.706158995628357, 1.165942907333374, -0.24008060991764069, 0.22484587132930756, -2.3762154579162598, 0.4015538990497589, -2.294616460800171, 0.9543997049331665, -0.3883368968963623, 2.195984125137329, 0.8412518501281738, -1.4890133142471313, 0.5850116610527039, -0.6405912041664124, -1.9063634872436523, -0.21498170495033264, 0.1672649085521698, 0.08594394475221634, -0.3800842761993408, -1.3825470209121704, 0.5667335987091064, -2.2063262462615967, 0.28583550453186035, 2.49943208694458, 0.05457804724574089, -1.1838287115097046, 0.8204308748245239, 0.7991358637809753, 0.34314191341400146, -0.7108830809593201, 0.4065439999103546, 0.956223726272583, 0.30748531222343445, 0.31810882687568665, -1.8297702074050903, 1.8508250713348389, -1.2886475324630737, 1.2673413753509521, -0.9688137173652649, -0.4609397351741791, 0.8407386541366577, -0.19394119083881378, -0.14037710428237915, 0.07062987983226776, -0.06806328892707825, 1.2692794799804688, 2.2910208702087402, -0.07968119531869888, -2.142704963684082, 1.5941132307052612, 2.5384137630462646, -0.49620160460472107, 1.5591261386871338, -1.202392816543579, -0.6351379156112671, 0.20571336150169373, 1.2084790468215942, -1.2975432872772217, -1.7842353582382202, -1.738688588142395, 1.7332375049591064, 0.7335371971130371, 0.9938647150993347, 0.00801965780556202, -0.28345587849617004, -1.0710505247116089, -0.39534568786621094, 0.7241055965423584, 1.134980320930481, 0.1372641772031784, -0.28324073553085327, 0.8449776768684387, -1.6651710271835327, 0.9013379216194153, 0.29200538992881775, 0.9830695986747742, -1.6503483057022095, -0.8447388410568237, -1.7777600288391113, 1.6797508001327515, -0.30756810307502747, 0.17818263173103333, 0.3059806525707245, 0.6469754576683044, 1.3000932931900024, 0.6430982351303101, -1.3191593885421753, -0.5693896412849426, -1.8995989561080933, 0.2520817816257477, 0.7248584032058716, -0.010661646723747253, 0.32635602355003357, -0.39913156628608704, -0.545810341835022, -0.9492759704589844, -0.5826377272605896, 0.6084815859794617, 1.8586663007736206, -2.0493991374969482, 1.2204482555389404, 1.375124216079712, 0.4969656765460968, -0.5050092339515686, 1.3103235960006714, -0.08344011753797531, 0.21578916907310486, 0.11008060723543167, -0.4993351697921753, 1.4105430841445923, 0.9097362756729126, 0.8360456228256226, -0.45466870069503784, -0.1434730738401413, 0.10889503359794617, 0.6914839744567871, 0.43387478590011597, 0.16470061242580414, 0.9299246072769165, 0.43112990260124207, -2.24063777923584, 0.3479395806789398, -1.4978502988815308, 0.28999093174934387, -0.2832452356815338, -0.7486765384674072, -1.4253593683242798, -0.3249308466911316, 0.24911366403102875, -1.4054443836212158, 0.007234930992126465, -0.6603827476501465, -0.9291712641716003, 1.041069507598877, 1.7303272485733032, -0.8963518738746643, -1.7486891746520996, 0.23789522051811218, -1.805619716644287, -0.41451194882392883, -1.7112841606140137, 0.3645228147506714, -0.5803453326225281, -0.7104259729385376, -0.27301856875419617, 0.8717845678329468, 0.21581949293613434, 0.5219618082046509, 0.34126174449920654, 1.010899543762207, -0.04691770300269127, 0.2992815375328064, -0.4206298589706421, -1.0377240180969238, 0.6390634179115295, 0.023252639919519424, -1.0357731580734253, 0.6917201280593872, -0.6789452433586121, -0.9247362613677979, -0.31068262457847595, 0.19665859639644623, 0.6306189298629761, -1.7228929996490479, -0.5210925936698914, 0.060717396438121796, 0.42114102840423584, 1.0576668977737427, 1.3254046440124512, 1.1331552267074585, 0.42492198944091797, 0.7735913395881653, 0.1407848447561264, -0.2778305411338806, 0.015577469952404499, -0.9061261415481567, -0.6032977104187012, 0.052168138325214386, -0.5992977023124695, -3.028942346572876, 0.26209768652915955, 2.572078227996826, -0.4753718972206116, 0.3616485893726349, -0.5793269276618958, -0.7253870964050293, 1.380509853363037, -0.1533069908618927, -1.384834885597229, 0.45417457818984985, 0.3879549503326416, -0.6664285659790039, -0.5420844554901123, 2.9302103519439697, 0.03980718180537224, -0.6927292346954346, -0.62174391746521, 0.7456277012825012, -0.46407395601272583, 0.354059636592865, 0.5733898282051086, -1.9253568649291992, 1.3460670709609985, 1.213753581047058, -0.08656994998455048, -0.7561046481132507, -0.6087163090705872, 0.06523545831441879, 0.2073671668767929, -0.4843977093696594, -0.4931473731994629, -0.6058419346809387, -0.384304404258728, -1.5004045963287354, 1.424126148223877, 0.4264316260814667, 1.725341796875, -1.1767886877059937, -0.5571966767311096, 0.6258861422538757, -0.021849583834409714, -1.742424488067627, -0.7672881484031677, 1.4304224252700806, 0.39234694838523865, -1.3970006704330444, -2.0020906925201416, 0.23755493760108948, 2.4901089668273926, 0.31265851855278015, -0.3883015811443329, 0.8928799033164978, 0.7249560952186584, 0.4335167407989502, 0.9744104146957397, -0.0040825954638421535, 0.07298417389392853, -0.2591007351875305, 0.7960927486419678, 0.5791953802108765, -0.13450507819652557, -1.3556065559387207, -0.394126832485199, 1.3682804107666016, 1.2855982780456543, -1.3335460424423218, 0.9432480931282043, -1.1379897594451904, -1.1207023859024048, -0.6621626615524292, 1.877629041671753, -0.9047854542732239, -0.010661765933036804, -0.3060213625431061, -2.0311243534088135, 0.28344160318374634, 0.38604170083999634, -0.23575513064861298, -1.2252846956253052, -0.7928091883659363, 0.47012677788734436, 0.0962943509221077, 1.7161751985549927, -0.15964199602603912, -0.17000924050807953, -0.8211831450462341, 0.02373058721423149, 0.5297332406044006, -0.3778044879436493, 1.417391061782837, 0.4910713732242584, 0.9159647822380066, 0.1735081523656845, 0.1896892935037613, -0.15840917825698853, -1.969196081161499, -0.2445336878299713, -0.6776713728904724, 0.47822806239128113, -1.8905819654464722, 0.2990115284919739, 1.5097410678863525, -0.40140989422798157, 0.3616181015968323, 0.4444722533226013, 0.37175261974334717, 0.17289385199546814, -1.2141680717468262, 1.9441745281219482, 1.1369043588638306, -0.9767837524414062, 0.9717959761619568, 0.15757296979427338, -0.1293685883283615, 0.9459248185157776, 0.7486283779144287, 1.3489890098571777, 1.659290075302124, -0.6820825934410095, 0.8591998815536499, 1.068840742111206, 1.035352349281311, -1.0888819694519043, -0.9833644032478333, 0.18595486879348755, 1.5627477169036865, -1.3852146863937378, -0.4541301131248474, 0.6979333758354187, 0.546902596950531, 0.5524624586105347, 0.2402925342321396, -1.1295276880264282, -0.2912011444568634, -1.4456785917282104, 0.3279983699321747, -0.22356857359409332, 0.6338478326797485, 1.2712687253952026, 0.5257611274719238, -0.08859143406152725, 0.08357129991054535, -0.5507245063781738, -0.4532349705696106, 1.0591752529144287, -1.7508755922317505, 0.8312178254127502, -0.20036070048809052, -1.2242252826690674, -0.16658450663089752, -0.6370474696159363, -0.8235613107681274, -0.3667309880256653, 0.5760944485664368, -0.54014652967453, 2.1436846256256104, -0.8468038439750671, 1.0048247575759888, 0.09949837625026703, -0.9246644377708435, 0.6715037226676941, 0.4190317988395691, -0.22128069400787354, 0.5416959524154663, 0.2708130478858948, 0.6526481509208679, -0.22562873363494873, -0.2700897455215454, 1.132546067237854, 1.2827181816101074, 0.40247759222984314, 1.5573612451553345, 2.0378758907318115, -0.11328133195638657, -1.0065470933914185, -0.3798253536224365, -0.6784350275993347, -1.0525683164596558, 0.22322431206703186, 1.0225255489349365, 0.7757742404937744, 0.645967423915863, 0.7403110861778259, -0.7495615482330322, -1.1345824003219604, 0.4310223162174225, -0.22314855456352234, 0.04046724736690521, 0.3553427457809448, 1.9382938146591187, -1.254912257194519, 0.45961233973503113, 1.5001028776168823, 0.38991880416870117, 0.40374335646629333, -0.47906389832496643, -0.5763978362083435, -2.3239030838012695, -0.28545263409614563, -0.5037038922309875, 0.5824847221374512, -2.674952745437622, 0.185286283493042, -1.3125312328338623, -0.7755544781684875, -0.09462077915668488, -1.171595811843872, 0.5121238231658936, 0.3450745940208435, -1.171151041984558, 0.2559703290462494, 0.4515315592288971, -0.7774103879928589, -2.5792624950408936, 1.3327691555023193, 0.3100223243236542, 0.08071848005056381, 0.13722558319568634, 1.2756917476654053, 0.4262869358062744, 0.1224641352891922, 0.5574866533279419, 0.30463987588882446, -0.508442759513855, -0.3841925263404846, -0.1862286925315857, -0.16418692469596863, 0.5037822723388672, 1.202155351638794, 0.5476107001304626, -0.5097048878669739, 1.6419692039489746, -1.3696054220199585, -0.1333233267068863, 0.6123214364051819, -2.772749185562134, 0.4360438287258148, 0.12461294233798981, 1.5179888010025024, 0.685245931148529, 1.6848376989364624, -0.5546149015426636, -0.3906600773334503, 1.0325298309326172, 0.16486017405986786, -0.5915296077728271, -0.014602077193558216, -0.38007304072380066, -0.2619936764240265, -0.5226048827171326, -0.42274436354637146, 0.0772174745798111, 1.6049801111221313, 1.2685400247573853, 0.1908181607723236, 0.36240431666374207, -1.1095906496047974, 0.15686734020709991, 0.6184999346733093, 1.4835309982299805, -0.26363199949264526, 0.918861985206604, 0.15021729469299316, -1.9761329889297485, -0.6592251062393188, -0.6763451099395752, 0.07715956121683121, -0.3971971273422241, -0.3989383280277252, -1.8668535947799683, 1.0313161611557007, -0.3005983531475067, -0.04975222051143646, 1.6682186126708984, 0.7086811661720276, 0.987306535243988, -0.662408173084259, 1.1836506128311157, -1.63896906375885, 0.7781904339790344, -0.865123450756073, -0.41890090703964233, 0.9132878184318542, 0.2694464921951294, 0.020374706014990807, 0.20942160487174988, 0.9820282459259033, -1.044813632965088, -0.8124385476112366, 0.49416670203208923, 1.2590702772140503, 0.06191536784172058, -1.3849509954452515, -1.4937485456466675, 0.9061921834945679, -0.7898518443107605, -0.42242521047592163, 2.0086865425109863, -0.6715307831764221, -2.07552170753479, -0.09107904881238937, -0.5991875529289246, -0.25139299035072327, -1.1771284341812134, -0.762600839138031, 1.0222612619400024, 0.5856817960739136, 0.772061824798584, -1.9583953619003296, 1.6242163181304932, -1.9035120010375977, -1.8807623386383057, 1.4751683473587036, 1.6362823247909546, -0.9645407795906067, 1.1407610177993774, 0.41566601395606995, 2.026231527328491, -1.0126259326934814, 0.34856998920440674, 0.581849217414856, -0.39347609877586365, 0.4535541534423828, -1.1785883903503418, 0.789819061756134, 1.1420683860778809, 0.5569579005241394, 0.12128926813602448, 0.44558772444725037, -0.9770270586013794, -0.584597110748291, -1.5499167442321777, 0.3021530210971832, -0.34727850556373596, -0.20263050496578217, -0.44323164224624634, 1.2706385850906372, -0.6773730516433716, 0.6478610038757324, -0.9755827188491821, 1.8391462564468384, -0.0034865080378949642, 0.4103865623474121, -0.7196370363235474, 0.6451484560966492, -1.0182898044586182, -1.101527452468872, 0.9167301654815674, 1.1085376739501953, -2.0887160301208496, 2.1544744968414307, 0.353022038936615, 0.4127030372619629, -0.9246350526809692, -1.5019725561141968, 0.7051315307617188, 0.37148424983024597, -0.714340090751648, -0.15940190851688385, -0.32855224609375, 0.6405972242355347, -0.2341606765985489, 0.18855510652065277, 0.019730882719159126, -0.24155908823013306, -1.8511996269226074, 0.2684777081012726, -0.02216072380542755, 0.4223840832710266, -0.8595789074897766, 0.2909909188747406, 0.6529440879821777, 2.0979738235473633, -0.9242795705795288, -0.459785133600235, 0.10670913010835648, 0.1493469625711441, 2.1157736778259277, 0.26433172821998596, -1.1166253089904785, 1.1401103734970093, -1.1499669551849365, 0.722631573677063, -0.821986973285675, 1.3310784101486206, -0.30205461382865906, -1.0948821306228638, 0.5978415012359619, -0.4906677007675171, -0.1961705982685089, -1.6427204608917236, -0.06794015318155289, -2.2453958988189697, 1.5783367156982422, -0.5161778330802917, -0.19803878664970398, 0.8383589386940002, -0.35426023602485657, 1.3937491178512573, -0.3902406692504883, 0.950104296207428, -2.147958517074585, -0.06174112856388092, 0.2618931531906128, 0.4153856635093689, -0.5498691201210022, 0.25587576627731323, 0.8093208074569702, -0.5293470621109009, 0.448284387588501, -0.8013262152671814, 0.6317405700683594, 1.3970056772232056, -1.1161184310913086, 0.14304663240909576, 0.8511560559272766, 1.1925286054611206, 0.5439687371253967, -1.2077754735946655, 1.1914323568344116, 1.2834670543670654, -1.4507311582565308, -0.4242385923862457, 0.9272356629371643, 0.026323553174734116, 0.7056452035903931, 1.0615094900131226, -1.6115124225616455, 0.45299890637397766, 1.1412599086761475, 0.2379687875509262, -0.1269814372062683, 0.05342012271285057, 1.0922929048538208, -1.3024108409881592, 1.3521305322647095, -1.1749324798583984, 1.5664156675338745, -0.22833675146102905, 1.1232846975326538, -0.7424628138542175, 0.22881479561328888, -0.4537980556488037, 0.797391414642334, 1.4051463603973389, -0.8773688077926636, -0.34968942403793335, -2.4602458477020264, 1.2475563287734985, 2.149627923965454, -0.320241779088974, 0.5928131341934204, 0.371157705783844, -1.5105466842651367, -0.6389901041984558, 1.1322118043899536, 0.42556077241897583, -0.2843257188796997, 0.3677023947238922, 1.0783737897872925, 0.36416593194007874, 1.4054851531982422, 1.0930505990982056, -1.0369137525558472, 0.9791541695594788, 0.4557051658630371, 0.2679769694805145, -2.5518243312835693, 0.07132068276405334, 0.3581060767173767, 1.880447506904602, -0.7462528347969055, -1.4819915294647217, 1.421317458152771, -1.9939244985580444, 0.07572164386510849, -0.5763441920280457, -0.5786607265472412, -1.460897445678711, -2.0522587299346924, -1.131065011024475, 1.2584720849990845, -0.5713672637939453, 0.7841975092887878, 0.40953299403190613, 0.3059408664703369, -0.23000852763652802, 0.23767738044261932, -1.8021960258483887, 0.3473411798477173, -0.2978079319000244, 0.2347842901945114, -0.10979556292295456, -1.323111653327942, -1.7342220544815063, -0.26579511165618896, -1.407547950744629, -0.7460381984710693, 1.4306825399398804, 1.9143685102462769, -1.5510687828063965, -1.430296540260315, 0.2052573710680008, -0.15919449925422668, 0.0515340156853199, 0.6379362940788269, 1.0802478790283203, -1.2114757299423218, 0.6006536483764648, 0.07689109444618225, -0.8847613334655762, 0.37095823884010315, 1.4747735261917114, -1.751050591468811, -0.028895698487758636, -0.6638352870941162, -1.484498143196106, 0.0809166356921196, -0.5466802716255188, -1.0514512062072754, -0.6481807231903076, -1.3570187091827393, -1.3314623832702637, 0.5109858512878418, -1.461991786956787, 1.4522364139556885, 1.4651625156402588, -0.19362880289554596, -0.0728548914194107, 0.061060722917318344, -0.6163668632507324, 1.015307068824768, 0.595319926738739, 0.7652292251586914, 1.9977002143859863, 0.3914867341518402, 1.995280146598816, -0.6346070170402527, 1.0690128803253174, 1.160980463027954, -1.7790907621383667, 0.8086466789245605, -0.1060129776597023, -0.02700735814869404, 0.3341250419616699, -0.27466103434562683, -0.29712751507759094, -0.5143458247184753, 1.5861903429031372, 1.3935821056365967, -0.5473349094390869, 2.069274663925171, -0.17883431911468506, 0.809699535369873, -0.4801141619682312, -0.6375554203987122, 1.179660439491272, -1.642134666442871, 0.890319287776947, 0.22640825808048248, 0.7069153189659119, 0.660965621471405, 0.4534458816051483, -1.3935517072677612, 0.6880179643630981, 0.24254998564720154, 0.060564182698726654, -0.561027467250824, -0.4829641580581665, 0.4769587814807892, 0.17047974467277527, 0.845003604888916, 1.797692060470581, 1.4310468435287476, -0.984367311000824, 0.0116643775254488, 0.3026885390281677, -0.7567965984344482, 0.7330871224403381, -1.003272533416748, 0.2109839767217636, 1.4640601873397827, -1.0836117267608643, -0.672996997833252, -0.25188785791397095, -2.2979846000671387, 1.5407487154006958, 0.45442286133766174, 0.15854018926620483, -0.08649589866399765, 0.2634923756122589, 0.49349725246429443, 1.3175126314163208, 0.4539925456047058, -0.4823808968067169, 2.9806666374206543, -0.8092124462127686, -0.7733491659164429, -1.038170576095581, 1.1797000169754028, -0.6921202540397644, -1.0847539901733398, 0.7788016200065613, -0.6461572051048279, -1.4155789613723755, 0.8664202690124512, -0.37611088156700134, -0.7895724773406982, -0.5277276635169983, -1.1287552118301392, -0.08878864347934723, 0.10756650567054749, 2.2006518840789795, -2.0411956310272217, 0.3962896168231964, -0.6138983368873596, 0.6420789957046509, -3.0800278186798096, -1.3616151809692383, -0.2960043251514435, 1.1283513307571411, -0.7886322736740112, -0.038704462349414825, -0.760986864566803, 0.5444626212120056, 0.454408198595047, 0.9106372594833374, 0.9990993142127991, -0.27131080627441406, -0.9536606073379517, -0.6736571192741394, 0.30757027864456177, -0.12765848636627197, 0.036591242998838425, 0.5164321660995483, 0.917169451713562, -0.5229107737541199, -0.18800176680088043, -0.9932076334953308, -0.5293033719062805, -1.3104248046875, -1.4173346757888794, -1.6074398756027222, -0.1671929657459259, -0.8684839606285095, -0.4027494490146637, -1.5072098970413208, 0.549104630947113, -1.27206289768219, -0.8282976150512695, -0.3439099192619324, -0.7324386835098267, -0.5639234185218811, 0.04004557058215141, -0.4779359698295593, -0.43515950441360474, 0.5881410837173462, -1.1904879808425903, 0.3113662600517273, -0.5756134390830994, 0.3273322880268097, 0.6297365427017212, 1.879461407661438, -2.474013328552246, 1.3029942512512207, -0.5952850580215454, 0.35621726512908936, 0.03240058198571205, -0.11962825059890747, 1.088623046875, -0.7917583584785461, -2.2979352474212646, 0.24021567404270172, 0.3993513286113739, -1.2249038219451904, 0.03601418063044548, 1.1788570880889893, -1.7664213180541992, -1.0022584199905396, 1.5534266233444214, 0.17955924570560455, -1.1150798797607422, -0.9356100559234619, -0.41874298453330994, -0.8159404993057251, 0.016923261806368828, -1.1842435598373413, 0.4831203520298004, -0.19080407917499542, -1.4719254970550537, -0.341061532497406, 1.297232985496521, -2.00603985786438, 0.2823708951473236, -0.811122477054596, -1.5486440658569336, 0.048511698842048645, -0.7712311148643494, 0.6420630812644958, 0.6150916218757629, -0.20334042608737946, -1.6620466709136963, 0.8806517720222473, -1.6280213594436646, -0.5138301253318787, 0.5380285382270813, -1.227219581604004, -1.1786675453186035, -1.171597957611084, 1.6002179384231567, -0.3856680691242218, -0.32529518008232117, 1.1171956062316895, -1.328344464302063, -1.2492866516113281, -0.1001700609922409, 1.251044750213623, -0.10994749516248703, -1.4054735898971558, -0.6069482564926147, 0.9367974996566772, 0.2646864056587219, -1.5075656175613403, -0.42303135991096497, -0.4520135819911957, -0.6815900802612305, -0.9597489833831787, 0.43964120745658875, -0.1476615071296692, -0.36421963572502136, -0.47195300459861755, -0.7329845428466797, 1.434804081916809, 0.01803939789533615, -0.08468178659677505, 1.6485515832901, -0.18079474568367004, -1.8577489852905273, 0.9701720476150513, -1.586909532546997, 0.04892049357295036, 1.1327776908874512, -1.9527696371078491, 0.562792956829071, -0.2522568106651306, 1.4471967220306396, 0.978323757648468, -1.3194098472595215, -0.07127540558576584, 0.26853591203689575, -0.6990516185760498, 1.5869791507720947, 0.14213266968727112, 0.376058965921402, -0.7916259765625, 2.667762517929077, -0.14031292498111725, 0.9416194558143616, -0.011842876672744751}; +static float axpy_X_dram [16384] __attribute__((section(".data"))) = {1.9269152879714966, 1.4872840642929077, 0.9007171988487244, -2.1055209636688232, 0.6784184575080872, -1.2345448732376099, -0.04306747764348984, -1.6046669483184814, -0.7521352767944336, 1.6487230062484741, -0.3924786448478699, -1.4036071300506592, -0.7278813123703003, -0.5594301819801331, -0.7688388824462891, 0.7624453902244568, 1.6423169374465942, -0.1595974713563919, -0.4973975419998169, 0.439589262008667, -0.7581311464309692, 1.078317642211914, 0.8008005619049072, 1.680620551109314, 1.27912437915802, 1.2964228391647339, 0.610466480255127, 1.334737777709961, -0.2316243201494217, 0.041759490966796875, -0.2515752911567688, 0.859858512878418, -1.3846737146377563, -0.8712361454963684, -0.223365917801857, 1.7173614501953125, 0.3188803195953369, -0.42451897263526917, 0.3057209253311157, -0.7745925188064575, -1.5575724840164185, 0.9956361055374146, -0.8797858357429504, -0.6011420488357544, -1.2741512060165405, 2.1227850914001465, -1.234653115272522, -0.4879138767719269, -0.9138230085372925, -0.6581372618675232, 0.07802387326955795, 0.5258087515830994, -0.48799172043800354, 1.1913690567016602, -0.8140076398849487, -0.7359927892684937, -1.4032478332519531, 0.03600366786122322, -0.06347727030515671, 0.6756148934364319, -0.0978068932890892, 1.8445940017700195, -1.184537410736084, 1.3835493326187134, 1.4451338052749634, 0.8564125299453735, 2.218075752258301, 0.5231655240058899, 0.34664666652679443, -0.19733144342899323, -1.0545889139175415, 1.2779955863952637, -0.1721901297569275, 0.5237884521484375, 0.056621819734573364, 0.4262961447238922, 0.575005054473877, -0.6417241096496582, -2.2063984870910645, -0.7508030533790588, 0.01086814422160387, -0.33874234557151794, -1.3406795263290405, -0.5853705406188965, 0.5361881256103516, 0.5246226191520691, 1.1412016153335571, 0.05164359509944916, 0.7439519762992859, -0.4815843999385834, -1.0494661331176758, 0.603898823261261, -1.7222950458526611, -0.827768862247467, 1.334702968597412, 0.48353928327560425, -2.5095443725585938, 0.4880010485649109, 0.7845868468284607, 0.02864718623459339, 0.640755295753479, 0.5832474231719971, 1.0669267177581787, -0.4501533806324005, -0.18526747822761536, 0.7527588605880737, 0.4047577977180481, 0.17846599221229553, 0.2649095058441162, 1.2731683254241943, -0.0013108636485412717, -0.30360376834869385, -1.457029104232788, -0.10233523696660995, -0.5991530418395996, 0.4770564138889313, 0.7261772155761719, 0.09115186333656311, -0.3890652060508728, 0.5279164910316467, -0.012685478664934635, 0.24083632230758667, 0.13253536820411682, 0.7642406225204468, 1.095009684562683, 0.3398909568786621, 0.7199674844741821, 0.41140761971473694, 1.931160569190979, 1.0118638277053833, -1.4364064931869507, -1.1298598051071167, -0.1360345333814621, 1.6354095935821533, 0.6547407507896423, 0.5760045647621155, 1.1415079832077026, 0.018564576283097267, -1.8058050870895386, 0.9254348874092102, -0.3753443658351898, 1.0330873727798462, -0.6866509318351746, 0.6368136405944824, -0.9726738929748535, 0.9584577679634094, 1.6192004680633545, 1.450609803199768, 0.2694815397262573, -0.21037597954273224, -0.7328027486801147, 0.10429783165454865, 0.3487516939640045, 0.9675941467285156, -0.46568843722343445, 1.6047972440719604, -2.4801201820373535, -0.4175437390804291, -1.1954537630081177, 0.8123369216918945, -1.9005532264709473, 0.22857652604579926, 0.02485940419137478, -0.34595024585723877, 0.2868328094482422, -0.7308424115180969, 0.17482025921344757, -1.0939292907714844, -1.6021603345870972, 1.3528969287872314, 1.288827657699585, 0.05229547247290611, -1.5468504428863525, 0.7567060589790344, 0.7755194902420044, 2.0265355110168457, 0.03581761196255684, 0.12058872729539871, -0.8056637048721313, -0.20757682621479034, -0.9319478273391724, -1.5909662246704102, -1.13597571849823, -0.52259761095047, -0.5187733173370361, -1.5012763738632202, -1.9266542196273804, 0.1278512328863144, 1.0229133367538452, -0.5557951331138611, 0.7042727470397949, 0.7098760008811951, 1.7743884325027466, -0.921550989151001, 0.9624499082565308, -0.33701515197753906, -1.1753336191177368, 0.35805708169937134, 0.47876790165901184, 1.353700041770935, 0.5260620713233948, 2.1120378971099854, -0.5207571387290955, -0.9320061206817627, 0.18516133725643158, 1.0686918497085571, 1.3065344095230103, 0.4598345160484314, -0.8146268725395203, -1.0212392807006836, -0.49492356181144714, -0.5922516584396362, 0.15431594848632812, 0.4407670795917511, -0.14829230308532715, -2.3184432983398438, -0.39799532294273376, 1.0804862976074219, -1.7808643579483032, 1.5080454349517822, 0.30942854285240173, -0.5003090500831604, 1.0350031852722168, 1.6896470785140991, -0.004505051765590906, 1.666792392730713, 0.15392017364501953, -1.0602530241012573, -0.572657585144043, 0.0835680365562439, 0.39990535378456116, 1.989207148551941, -0.07198750972747803, -0.906094491481781, -2.0487122535705566, -1.0810555219650269, 0.01762307994067669, 0.0782259851694107, 0.19315829873085022, 0.40967342257499695, -0.9291303157806396, 0.2761908769607544, -0.5388752818107605, 0.4625823199748993, -0.8718891143798828, -0.027118360623717308, -0.3532457649707794, 1.4638569355010986, 1.255434274673462, -0.7149558067321777, 0.8539193272590637, 0.512991189956665, 0.5397310256958008, 0.5655050277709961, 0.5057917237281799, 0.22245365381240845, -0.685481607913971, 0.5635589957237244, -1.507175087928772, -1.610666036605835, -1.4790465831756592, 0.4322742819786072, -0.1250254064798355, 0.7821183800697327, -1.598767638206482, -0.10912995040416718, 0.7151994705200195, 0.03913922235369682, 1.305860161781311, 0.24659274518489838, -1.9775909185409546, 0.01789604313671589, -1.3793021440505981, 0.625802755355835, -2.5849502086639404, -0.02399955503642559, -0.1221928745508194, -0.7469954490661621, 1.7093087434768677, 0.05792269483208656, 1.1929805278778076, 1.9372931718826294, 0.7287133932113647, 0.9808937907218933, 0.41459226608276367, 1.15656316280365, 0.2690545618534088, -0.036629438400268555, 0.9732939004898071, -1.0150787830352783, -0.5419175624847412, -0.44102486968040466, -0.3136177957057953, -0.12925422191619873, -0.7149624228477478, -0.047562163323163986, 2.0207436084747314, 0.25391900539398193, 0.9364385008811951, 0.7122363448143005, -0.031765542924404144, 0.10164086520671844, 1.3433040380477905, 0.7132695913314819, 0.4038029611110687, -0.7139783501625061, 0.8337291479110718, -0.9585452079772949, 0.45363426208496094, 1.2460919618606567, -2.3065085411071777, -1.2868918180465698, 0.17988650500774384, -2.126762628555298, -0.13408313691616058, -1.0407685041427612, -0.7647228837013245, -0.05528254434466362, 1.204850673675537, -0.982473611831665, 0.4334380030632019, -0.7171905636787415, 1.055369257926941, -1.4533969163894653, 0.46515071392059326, 0.37139150500297546, -0.004656785633414984, 0.07954943925142288, 0.3781784772872925, 0.7051141262054443, -1.7236974239349365, -0.8434810638427734, 0.4351435601711273, 0.26588720083236694, -0.5870985388755798, 0.0826888456940651, 0.8853808045387268, 0.1824439913034439, 0.7863810062408447, -0.057920295745134354, 0.5666652917861938, -0.7097623348236084, -0.4875054359436035, 0.050095997750759125, 0.6084084510803223, 1.6308681964874268, -0.08472306281328201, 1.0844124555587769, 0.9477656483650208, -0.676629364490509, -0.5730168223381042, -0.3303174376487732, -0.7939430475234985, 0.3752319812774658, 0.08790969103574753, -1.241483449935913, -0.32025346159935, -0.844377875328064, -0.5513465404510498, 1.9889612197875977, 1.900311827659607, 1.6950805187225342, 0.028089528903365135, -0.17536965012550354, -1.7734959125518799, -0.7046414017677307, -0.39465200901031494, 1.8868111371994019, -0.21844321489334106, 0.16629981994628906, 2.1441681385040283, 1.7045671939849854, 0.3459012508392334, 0.6424751281738281, -0.20395424962043762, 0.6853673458099365, -0.13968797028064728, -1.1807502508163452, -1.282929539680481, 0.448485791683197, -0.590737521648407, 0.8540631532669067, -0.4900680184364319, -0.35945725440979004, 0.6663737893104553, -0.07426456362009048, -0.20960482954978943, 0.16632132232189178, 1.4703037738800049, -0.9390866756439209, -0.6013189554214478, -0.09964022785425186, -0.9851518273353577, -2.488459348678589, -0.33131900429725647, 0.8435799479484558, 0.9874473810195923, -0.33197471499443054, -0.8076189756393433, 0.824364185333252, 0.024699924513697624, -1.0641486644744873, -0.7601934671401978, -0.4075061082839966, 0.9623646140098572, -0.14264194667339325, 0.15271379053592682, -0.0388023778796196, 0.9446058869361877, -1.5824053287506104, 0.9871290922164917, 1.1456739902496338, -0.14181147515773773, -0.2763414680957794, -0.19321373105049133, 0.7767809629440308, 0.6838752627372742, -1.3245893716812134, -0.5160817503929138, 0.6001842617988586, -0.4702208340167999, -0.6086435317993164, -0.046192023903131485, -1.6457397937774658, -0.4833274185657501, -0.740294337272644, 0.31428107619285583, 0.1415553092956543, 1.0348176956176758, -0.626437783241272, -0.5150922536849976, 0.6902899742126465, -0.4939993619918823, 1.1366126537322998, -0.46184006333351135, 1.419979453086853, 0.848518967628479, -0.047891248017549515, 0.6685602068901062, 1.0429801940917969, 0.6899017095565796, -1.3129348754882812, 0.03780364990234375, -1.1702114343643188, -0.10318559408187866, 1.1894739866256714, 0.7606944441795349, -0.7463049292564392, -1.3838845491409302, 0.4868715703487396, -1.0020296573638916, 0.0329488180577755, -0.42919591069221497, -0.9817978739738464, -0.6420586109161377, 0.8265887498855591, 1.591395616531372, -0.1208132952451706, -0.48302069306373596, 0.11329790204763412, 0.0771508663892746, -0.9228128790855408, -1.2619991302490234, 1.0860532522201538, 1.096641182899475, -0.6836934685707092, 0.06604336202144623, -0.0007737990817986429, 0.1620604395866394, 1.195958137512207, -1.3061535358428955, -1.4039719104766846, -1.0597201585769653, 0.3057299852371216, 0.4150581359863281, -0.7174144983291626, 2.833967924118042, 1.9534740447998047, 2.0486814975738525, -1.0880382061004639, 1.621694564819336, 0.8512656688690186, -0.40046969056129456, -0.6088271737098694, -0.508095383644104, -0.6184902191162109, -1.647040605545044, -1.0362098217010498, -0.4503057301044464, -0.0729660615324974, -0.5479549169540405, -1.1425532102584839, -0.44875210523605347, -0.03045438416302204, 0.3830311596393585, -0.04476971551775932, 1.179942011833191, -0.33142781257629395, 0.6495042443275452, 0.09495851397514343, -0.7525874376296997, -0.647229790687561, -1.2822614908218384, 1.96529221534729, -0.9638485312461853, -2.5667941570281982, 0.7096128463745117, 0.8198426961898804, 0.6214459538459778, 0.42318588495254517, -0.33889833092689514, 0.5179733633995056, -1.363769769668579, 0.1929578185081482, -0.6103342771530151, 0.16323445737361908, 1.51017165184021, 0.21230429410934448, -0.7252011299133301, -0.9527732729911804, 0.5216943025588989, -0.46386733651161194, 0.18237744271755219, -0.38666075468063354, -1.7906768321990967, 0.09329313784837723, -1.9152568578720093, -0.6421752572059631, 1.3438509702682495, -1.2922308444976807, 0.766244113445282, 0.64540034532547, 0.353316068649292, -2.6474881172180176, -1.4575366973876953, -0.9712379574775696, 0.25403109192848206, -0.1790592074394226, 1.1992844343185425, -0.4292171895503998, 1.010284185409546, 0.6110401153564453, 1.2208385467529297, -0.6076440215110779, -1.7376028299331665, -0.12535162270069122, -1.3658148050308228, 1.111746072769165, -0.6227966547012329, -0.7891808748245239, -0.167823925614357, 1.6433145999908447, 2.0070879459381104, -1.2531019449234009, 1.118869423866272, 1.7732776403427124, -2.071660280227661, -0.4125255346298218, -0.9769555926322937, -0.03363388776779175, 1.8594977855682373, 2.6221468448638916, 0.36905255913734436, 0.3802972435951233, 0.19898031651973724, -0.23609064519405365, 0.30340856313705444, -0.45007675886154175, 0.47390419244766235, 0.6503364443778992, 1.1662380695343018, 0.01693599671125412, 0.5325868129730225, -0.6035352349281311, -0.1742597371339798, 0.6092063784599304, -0.8032152652740479, -1.1209005117416382, 0.1956406533718109, -0.7815181016921997, -1.7898789644241333, -0.26157355308532715, -0.44025033712387085, 2.1848294734954834, -0.48009708523750305, -1.2871733903884888, 0.7388824224472046, 0.03389474004507065, -0.31229403614997864, -0.2541753351688385, -1.205536127090454, -0.9542103409767151, 0.061276569962501526, 0.08526104688644409, 0.7481252551078796, -0.16356196999549866, -0.9085567593574524, 0.3129958212375641, 0.8050477504730225, -1.1133604049682617, 0.4981626570224762, -1.1999552249908447, 0.12711313366889954, 0.4403660297393799, 0.6377718448638916, 0.15978877246379852, 1.7697970867156982, 0.6268176436424255, -1.8736529350280762, 2.3259060382843018, -0.9203909635543823, 0.6661149263381958, -0.44026491045951843, -2.3179564476013184, 1.294582724571228, 0.22267311811447144, -0.8483412265777588, 1.6489421129226685, 1.6005686521530151, -0.07858924567699432, 0.43104586005210876, 0.3683530390262604, 0.7637977004051208, 1.1792222261428833, -0.4137862026691437, 0.5184088349342346, -0.7015367746353149, -0.4323408901691437, 0.1414770483970642, 0.07110362499952316, 0.5633530616760254, -0.5786357522010803, -1.083811640739441, -0.3889259994029999, 0.8126105666160583, 1.4981187582015991, 0.043896086513996124, 1.4443233013153076, 0.23202891647815704, 0.5064983367919922, -1.2786966562271118, -0.03842746838927269, 1.9138009548187256, 0.3378446102142334, 0.12505611777305603, -0.7621514797210693, -1.190559983253479, 0.7756073474884033, 0.455719918012619, 0.2503303289413452, -1.3610970973968506, 1.8018341064453125, -0.07434194535017014, -0.15664155781269073, -0.8708454966545105, -0.6410972476005554, -0.414562851190567, -0.6902380585670471, -0.22995619475841522, -2.172283887863159, 0.08768323808908463, 1.0937845706939697, -0.1177205815911293, -0.29864323139190674, -0.9536206126213074, -0.09247277677059174, -1.01665461063385, -0.007675689645111561, -0.518220841884613, 0.83954256772995, 0.05852266773581505, -1.6682480573654175, 2.129624843597412, -1.5181471109390259, 0.1387282907962799, -1.1797568798065186, -0.5297411680221558, 0.9625157713890076, 0.2794382870197296, -0.5718191266059875, -2.7936289310455322, -0.7111545205116272, 0.5235219597816467, -1.71055006980896, 0.8384853601455688, -0.2698453664779663, 0.12306158244609833, 0.8757511377334595, 0.15132997930049896, 0.739393413066864, 0.27310314774513245, 2.7312309741973877, 0.43200522661209106, -0.30918216705322266, -0.09658124297857285, 1.541925072669983, -0.108744777739048, -0.4189043343067169, 1.4384385347366333, -0.7068426609039307, -1.2519514560699463, 3.0250484943389893, 1.3462589979171753, 0.8556069731712341, 0.3220294117927551, 0.44605663418769836, 1.5229592323303223, 1.2804899215698242, -0.11616043001413345, 1.3705363273620605, -0.4809381365776062, -0.9903622269630432, -1.3641812801361084, 0.008205652236938477, -0.40586018562316895, -0.7110859751701355, -0.3495793640613556, 0.3797488212585449, 0.9993040561676025, 1.2751853466033936, 0.9594927430152893, 0.10350999981164932, 0.8290349841117859, 2.0921294689178467, 0.7953095436096191, 0.2792847752571106, 0.1864478439092636, 0.3547132909297943, 0.09063850343227386, 1.7422553300857544, -1.2660012245178223, 0.38916081190109253, 0.34287506341934204, -1.4590637683868408, -1.4936561584472656, -0.22138521075248718, 0.22523505985736847, -0.07724537700414658, 0.9856945276260376, 1.2783364057540894, 0.28815189003944397, 0.869049608707428, -0.8097057938575745, -1.4298604726791382, 0.45901596546173096, 0.5309328436851501, -1.3614802360534668, 1.9562491178512573, 1.7684898376464844, -0.9857985377311707, -1.2370758056640625, -2.301875114440918, -0.0010087001137435436, -0.8494256734848022, -1.6593921184539795, 0.3062905967235565, 1.182044506072998, 0.32602694630622864, -0.3894469738006592, 2.8543806076049805, 0.8243650794029236, 0.7983470559120178, 1.8890222311019897, 0.5934628248214722, 0.0696544423699379, -1.6034338474273682, -0.42982181906700134, 0.5761587619781494, 0.34436315298080444, -3.1016058921813965, -1.4587225914001465, -1.4318257570266724, -0.6071268916130066, -0.25973787903785706, -0.7190185785293579, -0.38583096861839294, 0.5233525037765503, -0.8211768269538879, -0.47086891531944275, 0.6016423106193542, -0.28251126408576965, 0.7692679762840271, -0.7668924331665039, -0.9494866728782654, 0.01691739819943905, 0.08027740567922592, 0.7448412775993347, 1.345484972000122, 0.12682189047336578, -2.4520716667175293, 0.4159761369228363, 1.9025356769561768, -0.7346699833869934, 0.044657133519649506, -1.5211198329925537, 0.3478375971317291, 0.7401772737503052, 1.4161995649337769, 0.6833979487419128, -0.13825182616710663, 0.9212995171546936, 0.5282443761825562, -0.008228386752307415, -1.4493319988250732, -0.605182409286499, -0.17924511432647705, 0.19955870509147644, -1.2461947202682495, -0.41459938883781433, 1.4558700323104858, 0.3316534161567688, -1.00010085105896, -0.6919524669647217, -0.47199076414108276, -1.2894343137741089, 1.0762810707092285, -1.0667427778244019, -1.9893426895141602, 0.29731303453445435, 0.4344584047794342, 0.0033933203667402267, -1.0240145921707153, 0.22404761612415314, -0.7554785013198853, 1.3675810098648071, -0.3197358250617981, -0.9130924344062805, 1.919209361076355, -1.6514869928359985, 2.1477253437042236, -0.6604134440422058, 0.11352583765983582, -0.22056575119495392, 0.7118127346038818, 0.3415871560573578, 1.5885895490646362, -0.3488781750202179, -0.45791950821876526, -1.2322070598602295, -0.598077118396759, -0.28154700994491577, 0.05281926319003105, 0.42497751116752625, 0.4825834333896637, 0.48813387751579285, 1.0082393884658813, -0.595004141330719, 0.3926331400871277, 0.8229668736457825, -0.886031985282898, 1.4801039695739746, 0.8391514420509338, -0.20004984736442566, 0.9949536919593811, 0.7201864719390869, -0.13413065671920776, -1.4067999124526978, -2.3609628677368164, -0.2904934287071228, -0.13345853984355927, -0.15693345665931702, 1.138344645500183, -0.2505214214324951, 1.6704555749893188, -0.545271098613739, -2.15816330909729, -1.6607975959777832, -0.6637441515922546, 0.3657907545566559, -0.39920157194137573, 0.49674081802368164, -2.369169235229492, -0.5614708065986633, -0.5949130654335022, 1.2687278985977173, 1.2904434204101562, -1.1755682229995728, -0.0783226415514946, -0.9705761075019836, 1.4723693132400513, 1.4108561277389526, -1.3143675327301025, -1.31621515750885, -1.2524477243423462, -1.5844100713729858, -2.5446670055389404, 1.3719074726104736, -0.5379461050033569, 0.7378400564193726, -0.8505349159240723, 0.03610055148601532, 1.3406710624694824, 0.9199973940849304, -0.3787555396556854, -1.5597758293151855, -0.8009540438652039, -0.7111086845397949, -0.3866667151451111, 0.9578314423561096, -0.8225308656692505, -2.3908050060272217, 0.322247713804245, 1.875388741493225, 1.1042989492416382, -0.5223758816719055, -0.7401803731918335, 0.16235657036304474, -0.2369976043701172, 0.5099347233772278, 1.670624852180481, 1.5921050310134888, -0.41619211435317993, 1.861944556236267, -1.077892780303955, 0.8848565220832825, -0.8342104554176331, 1.0300744771957397, -0.8680985569953918, -0.5701602697372437, 0.32332202792167664, 1.1284750699996948, -1.2123126983642578, 2.602391004562378, -0.09572362899780273, -0.08114803582429886, 1.2586976289749146, 0.8691263794898987, -0.9609367251396179, 0.05182264745235443, -0.3284812867641449, -2.247206211090088, -0.4478967487812042, 0.4234687089920044, -0.3874586224555969, -0.22963792085647583, -0.40709349513053894, 0.8702965974807739, -1.0552809238433838, -1.3284013271331787, 0.7060741186141968, 0.35730111598968506, 0.5892837643623352, 0.9187757968902588, 0.6662830114364624, 0.24650610983371735, 0.1328691989183426, 0.12191462516784668, 0.47808775305747986, 0.2761341631412506, -0.5895728468894958, 0.569182813167572, -0.7911050319671631, -0.19896702468395233, -1.3615714311599731, -0.5193602442741394, 0.07648162543773651, 0.34005025029182434, 1.4557304382324219, -0.3461014926433563, -0.2633814215660095, -0.447700172662735, -0.7288169264793396, -0.16066236793994904, -0.32063713669776917, -0.6307737827301025, -0.788766622543335, 1.3061575889587402, -0.9275763630867004, -0.26273947954177856, 0.9314952492713928, -0.4593467116355896, -0.9419456720352173, -0.7089186310768127, 2.1860759258270264, -0.6493158936500549, 0.45214036107063293, 0.8520749807357788, -1.6946725845336914, 1.1805996894836426, -2.8929238319396973, -0.3875778615474701, -0.7124031782150269, -1.6171332597732544, -0.35899198055267334, 0.051366694271564484, 0.6950237154960632, 1.835181474685669, -1.9180361032485962, -1.3923954963684082, 0.540465772151947, 0.4350730776786804, -2.2717032432556152, -0.13386189937591553, -0.058557309210300446, 0.12574470043182373, -0.5525766611099243, 0.07448001205921173, -0.1492866724729538, -0.5522539615631104, -0.09342008084058762, -1.0284309387207031, 0.40444278717041016, 2.1425962448120117, -0.5153723955154419, 1.0827196836471558, 1.2498642206192017, 0.9821351766586304, 0.22690092027187347, 0.4927920699119568, -0.5128253102302551, 0.3006223440170288, 0.07734657824039459, 0.6477669477462769, -0.4324244260787964, 1.1740480661392212, 0.7011352777481079, 0.6674330234527588, -0.8035953640937805, -1.3776048421859741, -0.4410470724105835, 0.1417587399482727, 1.1084681749343872, 0.5544233322143555, 1.5817502737045288, -1.2247875928878784, 0.962885856628418, -1.5785412788391113, 0.6715953946113586, -0.060151856392621994, 0.06978437304496765, -1.6634936332702637, -0.7650561332702637, 1.2306435108184814, 0.4252126216888428, -0.016383398324251175, -0.10749480873346329, -1.3085604906082153, 0.659813642501831, -0.07032525539398193, 0.27448296546936035, -0.3450125455856323, -0.11961783468723297, 1.1861584186553955, -1.2203160524368286, 0.2909986078739166, -0.07964225858449936, 1.3200364112854004, -1.5196866989135742, -0.29335519671440125, 2.106604814529419, -0.10875027626752853, 0.608341634273529, 0.7894347310066223, 0.7824702858924866, -0.06465863436460495, -0.0002302070497535169, 0.6830949187278748, 0.1063748151063919, 0.3503226041793823, 0.12109924107789993, 0.2984321117401123, 1.3447729349136353, 1.4614392518997192, 1.0566132068634033, 0.8155362606048584, -0.8240620493888855, 0.8932762742042542, -0.386881560087204, -0.35717684030532837, -1.1568186283111572, -1.7659958600997925, -2.5379507541656494, 0.09694309532642365, -0.7912065982818604, 0.37119555473327637, 1.5117958784103394, -0.8914596438407898, 0.5247467160224915, 0.3517809212207794, 0.2491273283958435, 1.1900452375411987, 1.410936951637268, 0.7980097532272339, 0.49413225054740906, -0.18495284020900726, -1.0380902290344238, -0.10130416601896286, -0.9271824359893799, 0.23484112322330475, 0.08861476927995682, -0.3476867079734802, 0.8490674495697021, 0.2014705091714859, 0.3839779198169708, 1.2309634685516357, 1.2286686897277832, 0.7042104005813599, -0.05628490820527077, -1.4897207021713257, -1.5194628238677979, 0.32580918073654175, -1.458429217338562, 1.8989076614379883, -0.04056643322110176, -0.2933650016784668, 1.397810459136963, -0.9166569709777832, -0.7793720364570618, -0.41753849387168884, 1.1059718132019043, 0.2528532147407532, -0.10754015296697617, 0.7705280780792236, -1.1304327249526978, 0.996456503868103, -1.1809622049331665, 0.9626035690307617, -1.1049346923828125, -0.7909473180770874, -0.21609316766262054, 0.0019485306693241, -0.20979070663452148, 1.2010222673416138, 0.675596296787262, -1.8900177478790283, 0.19431965053081512, 1.6020095348358154, -1.0371782779693604, -0.7486876249313354, -0.3844030499458313, 0.14350247383117676, -0.0812682956457138, 1.1261653900146484, 0.04061844199895859, -0.06464217603206635, 3.445625066757202, -1.1129159927368164, -0.4341987073421478, -0.015211731195449829, 0.5427215099334717, 0.12508316338062286, -0.8761705756187439, 1.2222594022750854, 0.32681646943092346, -0.10487240552902222, 2.476804733276367, 0.5769069790840149, 0.14730526506900787, -1.3136197328567505, -0.6061143279075623, 0.6449755430221558, -0.24771355092525482, -1.407819390296936, -0.0801108106970787, 0.5194124579429626, 1.170888900756836, 2.1779797077178955, 1.7791979312896729, 0.25832492113113403, -2.4340736865997314, -0.3497500419616699, -1.338055968284607, -0.4389103353023529, -0.5850174427032471, 1.8071491718292236, -0.7326241135597229, 0.4093967378139496, -0.5840954780578613, 0.10613418370485306, -0.3067088723182678, 0.8642276525497437, -1.0658658742904663, -1.0129939317703247, -0.9939178228378296, 2.9082677364349365, 1.4483332633972168, -0.5614521503448486, -0.9464563131332397, -0.7419731020927429, 0.15562251210212708, -0.25843867659568787, -0.7501540780067444, 1.2354754209518433, 1.0141247510910034, 1.01323664188385, 0.6346396803855896, 0.8768793344497681, 0.8142848610877991, 0.19737061858177185, -0.6367602348327637, -0.8768263459205627, -1.5509816408157349, -0.7881835699081421, 0.5684375166893005, 0.7622402906417847, 0.5568539500236511, 1.2983627319335938, 1.7561308145523071, 0.21129246056079865, 1.4860185384750366, 0.5585124492645264, 0.3491472005844116, 0.8483667373657227, 2.0354838371276855, 0.3772087097167969, 0.48434850573539734, -0.030398759990930557, 1.0925219058990479, -0.5064011812210083, -0.8441710472106934, -0.22143854200839996, 2.274590015411377, -0.783242404460907, -0.26778313517570496, 1.5684525966644287, -0.283514142036438, -0.09603477269411087, 1.0644340515136719, 1.4888246059417725, 0.8825610876083374, -0.23840203881263733, 0.5468734502792358, -0.06058019772171974, -0.5304896831512451, -2.0363707542419434, 0.5246880650520325, -0.6970252990722656, -0.08793152123689651, -0.27431318163871765, 1.2922906875610352, -1.4458993673324585, -0.31466683745384216, 0.11260014772415161, -1.4679176807403564, -1.716816782951355, -0.5502451658248901, 0.535078763961792, -1.3392163515090942, 1.2357676029205322, -2.0370566844940186, 1.4171453714370728, 0.1686755269765854, -1.1421011686325073, 0.6069639921188354, -0.8331825137138367, -0.47921040654182434, 0.2998451292514801, 0.721377432346344, -0.6184468865394592, 0.545662522315979, -0.7691330313682556, 0.0793362557888031, -0.7584667801856995, 0.941990315914154, 0.43399056792259216, 1.1234275102615356, 0.5057575702667236, -1.137097716331482, -0.758182168006897, 0.04228341206908226, -0.6900910139083862, -0.5621538162231445, 0.825295627117157, 2.268347978591919, -1.7732727527618408, -0.9907275438308716, 0.634861409664154, 1.0238486528396606, 0.9574744701385498, 0.019129564985632896, -1.0700304508209229, -0.7518913149833679, 2.4400694370269775, -1.912861704826355, 0.31076598167419434, -1.4762635231018066, -0.47829392552375793, -0.11727923899888992, -0.630508303642273, -1.265464186668396, -0.294853538274765, -0.2798626720905304, 1.0837124586105347, 0.17298388481140137, 0.5123522281646729, -0.9818529486656189, 1.1258721351623535, 0.25538599491119385, -0.4588965177536011, -0.9283785820007324, -0.17175325751304626, -0.6866653561592102, -0.1326882690191269, 1.6295740604400635, -1.5456795692443848, -0.16959930956363678, 0.02781728096306324, 0.0910743772983551, 0.6718529462814331, 0.9851812124252319, -0.7609738707542419, -1.2726119756698608, -0.6267421841621399, 1.3712586164474487, 0.23598231375217438, -0.446566104888916, -1.1778020858764648, 1.4125137329101562, -0.02316661737859249, -0.011093219742178917, -0.9952824711799622, -0.29935362935066223, 0.7670295834541321, -0.937210738658905, -2.330476999282837, -0.7808834314346313, 0.8250064849853516, 1.2206652164459229, -0.06297583878040314, 1.1463638544082642, 1.2215378284454346, -0.31372663378715515, -0.7234253287315369, -0.3627345860004425, 0.4424906373023987, 0.19418247044086456, -0.4999869465827942, -0.5500510334968567, 0.023851748555898666, -1.5203826427459717, 0.5293999314308167, -0.39082857966423035, -1.9291036128997803, 0.03497670218348503, -0.4833625555038452, -1.2260730266571045, -0.33963847160339355, 0.007326157763600349, -0.052180398255586624, 1.167490005493164, 1.730208158493042, 2.056168794631958, -0.23472319543361664, -1.345624327659607, -0.5165784358978271, -0.6881742477416992, 0.4755038022994995, -1.4316335916519165, 0.1427735835313797, 0.6328914165496826, -1.048923373222351, -0.5224623084068298, -1.1338030099868774, -0.14128278195858002, -0.6456266641616821, 0.4101375937461853, 0.32671934366226196, -0.8344282507896423, -0.4921732246875763, 0.658042311668396, 0.5361921191215515, 1.2350000143051147, -0.21214154362678528, 1.387345790863037, -0.8248465657234192, 0.3544987440109253, -0.28073710203170776, -0.243259459733963, -0.29366371035575867, -0.6286743879318237, -0.04226749762892723, -0.27004849910736084, 1.4387904405593872, 0.03258634731173515, -0.5479734539985657, -0.49368005990982056, 2.8818862438201904, -1.1672022342681885, 1.9413354396820068, -1.163609266281128, -1.5966553688049316, 0.08320564776659012, -0.9222075343132019, -0.3711417317390442, -0.9714295268058777, 0.15282166004180908, 0.7250988483428955, -1.3895257711410522, 1.1874427795410156, 0.027558235451579094, 2.0010547637939453, -0.12460697442293167, -1.156516194343567, 0.9009959697723389, -0.18842656910419464, -1.2726235389709473, 0.5764585137367249, -0.35594069957733154, -0.5188538432121277, -0.3927396237850189, 1.7511601448059082, 0.19589348137378693, 1.7757917642593384, -0.18522614240646362, 1.0595130920410156, 1.2978315353393555, 0.3285580277442932, 0.14869070053100586, 0.2704370319843292, -1.1818499565124512, -1.0340323448181152, -0.4947497546672821, -0.8951197266578674, 0.5340191125869751, -0.88661128282547, 0.7963698506355286, 0.6588250994682312, -0.2966694235801697, 0.23320063948631287, -1.3473118543624878, -0.8231000304222107, -0.539470374584198, 0.09215065091848373, 0.8539144992828369, -1.0331605672836304, 0.5837976336479187, 1.0166347026824951, -0.794191300868988, 0.36742645502090454, 0.42930465936660767, 2.0288591384887695, -0.7683921456336975, -1.2262547016143799, -0.11334973573684692, 0.30849045515060425, -0.4410642385482788, -0.77969890832901, -0.756567120552063, 1.514818549156189, -1.5796416997909546, 0.6386357545852661, -0.4361383616924286, -1.0022987127304077, 0.3780289888381958, 0.04276036471128464, 0.5858650803565979, -1.3687634468078613, 1.1168509721755981, 0.27692535519599915, 1.0580254793167114, -0.05147926136851311, 0.17128385603427887, -0.21165163815021515, -0.1787608563899994, -0.8498311638832092, 1.166976809501648, -0.34847185015678406, 0.07418181002140045, 1.757521390914917, -0.6254484057426453, 0.20935998857021332, 1.505028486251831, -1.1346086263656616, -0.7111839056015015, 1.3401062488555908, 1.5108956098556519, -1.198473572731018, 0.37665531039237976, -0.251127690076828, -0.527733564376831, -0.47698524594306946, -0.5625298023223877, -1.0562596321105957, 0.24130821228027344, 0.18275369703769684, 0.6246524453163147, -0.7939775586128235, -0.674835205078125, -0.3876877427101135, 0.44965043663978577, 0.3726101517677307, -1.9104946851730347, 0.26085028052330017, 1.4177610874176025, 0.6738032102584839, 1.4665507078170776, -1.1077474355697632, -0.7443782091140747, 1.0188977718353271, -1.8317182064056396, 0.2806217670440674, 0.6909231543540955, 0.7183824777603149, -0.5719326138496399, -0.46663370728492737, 0.1017654687166214, 0.38033702969551086, -1.962886095046997, -0.7805798053741455, -0.1343953162431717, -0.3609391152858734, 0.104627326130867, -0.325872004032135, 0.3189202845096588, -0.10977188497781754, 0.0964970588684082, -1.4932167530059814, 0.5237964987754822, 0.7530690431594849, -0.2219216674566269, 0.5819101333618164, -1.9369032382965088, -1.5334482192993164, -0.17965702712535858, -0.6577823162078857, -1.2317392826080322, -1.2463988065719604, -1.4996418952941895, -0.5403968691825867, 1.2409895658493042, -1.6212294101715088, -0.9035959839820862, 1.3967915773391724, 0.9178156852722168, 0.5120382905006409, -0.8405776023864746, -1.0445209741592407, 0.5547724366188049, -0.9492565989494324, 1.0457415580749512, -1.1297553777694702, -2.800556182861328, 1.2796905040740967, 0.2199985533952713, 0.3249095380306244, 1.319007396697998, -0.8496796488761902, -0.6987038254737854, -0.20516234636306763, -0.7811664342880249, 0.6872723698616028, 0.7835897207260132, -1.1108732223510742, -3.106328010559082, -0.9897713661193848, -0.6022037863731384, -0.7153372168540955, -0.467404842376709, 0.551419734954834, 2.654942035675049, 1.0582451820373535, -0.1468161642551422, -0.8913255929946899, 0.1937909871339798, 1.9681813716888428, -0.7403607368469238, -0.8665743470191956, -0.30639445781707764, -0.5359372496604919, -0.35750812292099, -1.2398927211761475, -1.523536205291748, -0.8158796429634094, 1.1372771263122559, 0.21925963461399078, 0.4133651852607727, 0.0061524491757154465, -0.5672794580459595, -0.17038141191005707, -0.3027929663658142, -1.2868070602416992, -1.3662828207015991, -0.04625223949551582, -0.6149584650993347, 1.2366944551467896, -0.8143561482429504, 1.146209955215454, -1.178733229637146, -0.036672789603471756, 0.6718097925186157, 0.9242297410964966, 0.26971569657325745, 0.628537118434906, -0.7066188454627991, -0.8558416366577148, 0.9040606021881104, -0.5659265518188477, 0.3840969204902649, -0.7815778851509094, -0.15094131231307983, 0.4199317395687103, 1.4059346914291382, -0.8270853161811829, 1.560778021812439, -1.0952261686325073, 1.1855055093765259, 1.1880545616149902, 2.055619716644287, 0.6602651476860046, -1.1078379154205322, -0.2919580638408661, 0.45643651485443115, -0.3147018849849701, -0.41328999400138855, 0.3946205973625183, 1.1304746866226196, 0.8258382678031921, 0.9458276629447937, -0.15447334945201874, -1.6013476848602295, -0.059470854699611664, -0.9928666353225708, 1.1634254455566406, 1.609459400177002, -0.29416555166244507, 1.0819309949874878, 0.8866236805915833, -0.8611426949501038, -0.27264782786369324, 0.9804211258888245, -0.17533333599567413, -0.12276917695999146, 0.7414069175720215, 0.35395190119743347, -0.5345798134803772, 0.645367443561554, -2.9890658855438232, 0.18370741605758667, -0.4726978540420532, -0.958824634552002, -1.5123530626296997, 1.5067633390426636, -0.938051164150238, -0.638506293296814, 0.21946865320205688, -0.439242959022522, -0.1391070932149887, -0.018692156299948692, 1.6560721397399902, 1.0661178827285767, -0.18189188838005066, -1.2379671335220337, 0.514220118522644, -0.15104348957538605, 0.13773603737354279, 1.2250828742980957, -0.7642602920532227, 0.9183834791183472, 0.40576910972595215, 0.25104597210884094, 0.1281542032957077, -0.19802889227867126, -1.478035569190979, -0.5910253524780273, 0.8357481956481934, -0.22924941778182983, -1.2403943538665771, 0.24919238686561584, -1.1415528059005737, 0.7821402549743652, 0.010817415080964565, 0.3816293179988861, -1.652677059173584, -0.38139888644218445, 0.10698884725570679, -0.10149910300970078, 0.08301469683647156, 0.712009072303772, -0.9005926847457886, 0.8906894326210022, 0.47655177116394043, -0.8396266102790833, 0.33320167660713196, -1.2525506019592285, -0.5745509266853333, -1.9059150218963623, -0.9665390253067017, 0.367727130651474, -0.5785751342773438, 1.2373405694961548, 0.8713390231132507, -0.522757887840271, 1.2400078773498535, -0.905766487121582, 0.768028736114502, 1.62221360206604, 0.08158037811517715, 0.20281589031219482, 0.33024173974990845, -0.9533721804618835, 1.5734566450119019, 1.8697383403778076, -1.0638948678970337, -0.2272576242685318, 0.25006136298179626, 1.161847710609436, -0.11422315984964371, -0.05629456788301468, 0.8497498631477356, -0.8599120378494263, -0.6105663776397705, 1.0629346370697021, 1.2221823930740356, 0.771891176700592, -1.2797164916992188, -1.5433486700057983, -0.6020243763923645, 0.3213997781276703, -0.060615699738264084, -1.170371651649475, -2.773613929748535, -0.02982438914477825, -0.91661536693573, 0.4702746272087097, 1.8777929544448853, 0.5223742127418518, 0.051756951957941055, 0.4260155260562897, 0.9475129246711731, 0.4364280700683594, -0.2053070068359375, -1.4739270210266113, 0.5066304802894592, 0.2779245674610138, 1.351500153541565, -0.8949641585350037, -1.596110463142395, 0.673722505569458, -0.9970720410346985, -0.34807199239730835, 0.2176828533411026, 1.1277714967727661, -1.5005147457122803, -0.2404831200838089, -0.4854878783226013, -0.06616523861885071, -0.9029294848442078, 0.6440190076828003, 0.7591805458068848, -2.0202691555023193, -0.6739510893821716, -0.9192121624946594, 1.212047815322876, -1.3463493585586548, -0.4831593930721283, 1.7186238765716553, -0.5684311389923096, -2.9151322841644287, 1.0834310054779053, 0.07731132954359055, 1.2316521406173706, 2.9194436073303223, 1.9377914667129517, -0.5536230206489563, -1.3029974699020386, 1.0696011781692505, -0.4561823606491089, 1.3634916543960571, -2.4219810962677, -0.08308011293411255, 0.1034913882613182, 0.11661393195390701, -0.02522038109600544, 0.3788713812828064, 0.24455690383911133, -0.8915789723396301, 1.4343817234039307, -1.9291707277297974, -0.5713837146759033, -0.6671661734580994, -0.09203547239303589, 0.9548746347427368, 0.18482893705368042, -0.11677189916372299, -0.22911065816879272, -0.3448553681373596, -1.0765177011489868, -0.5477657318115234, -0.3289257884025574, 0.05841278284788132, 2.1100034713745117, 0.7726138234138489, -0.34265488386154175, 1.2370060682296753, -0.2497664988040924, 0.22397132217884064, -0.6875526905059814, -0.489844411611557, 0.399687796831131, 0.6981958150863647, 0.05211031809449196, 0.2882064878940582, 0.05950453504920006, 1.7285562753677368, 0.2920781075954437, -0.6925867199897766, -0.8442767858505249, -0.32920387387275696, -0.1140289157629013, -0.8452204465866089, 0.3004419207572937, 1.6395184993743896, -1.0744175910949707, 0.32121846079826355, 0.2892301678657532, 0.35196706652641296, 2.0987792015075684, -0.5285679697990417, -1.7715193033218384, 0.0968942642211914, 0.36735019087791443, 0.47318676114082336, 0.5876799821853638, 0.183979332447052, -0.8425887823104858, -1.6971954107284546, 1.0871516466140747, 0.6801379919052124, 1.1616994142532349, -0.17657233774662018, 0.5214431285858154, -2.3571479320526123, -0.8351162672042847, -2.262038469314575, -1.2966164350509644, 0.3269132375717163, 0.6064606308937073, -0.46068817377090454, -0.8800807595252991, -1.4766337871551514, 0.982934296131134, 0.034095875918865204, 1.1689343452453613, 0.9025653600692749, -1.7167327404022217, 0.04617787152528763, 0.09389957040548325, -1.35635244846344, -1.0603324174880981, 1.0654057264328003, 0.5447612404823303, 1.522364616394043, 0.02351505309343338, 0.28558799624443054, 0.020343216136097908, 0.9289091229438782, -0.9238923788070679, 1.1473842859268188, -0.7054344415664673, 1.1544770002365112, -1.7462857961654663, 0.7103408575057983, -0.10175959765911102, -0.9663392901420593, -1.4231536388397217, -0.7846477627754211, 0.6107109189033508, 0.2142704576253891, -0.17470814287662506, -1.7561272382736206, 1.4259073734283447, 0.5127183794975281, -0.4026731848716736, 1.9770677089691162, 0.0267170500010252, -0.25020739436149597, -0.09136287868022919, -0.5283262729644775, -0.46433013677597046, -0.15669254958629608, -1.5964131355285645, -1.5322294235229492, 0.8562206029891968, 0.4322175085544586, 0.24113479256629944, -0.05469474941492081, 0.04771281033754349, -0.8637551665306091, -1.141897201538086, -0.8292406797409058, 1.3149042129516602, 1.2470786571502686, -0.25818052887916565, -1.340453863143921, -0.5561836957931519, 1.173104166984558, 0.6859942674636841, 0.8626111745834351, -0.41023650765419006, -0.7588294148445129, 1.6981608867645264, 0.7436892986297607, -0.03276701644062996, 1.0600273609161377, 0.003909424878656864, -0.6951659917831421, -1.8829604387283325, 0.304572194814682, -0.7002271413803101, 1.7811017036437988, -0.2936820983886719, 0.5242968797683716, 1.0186315774917603, -0.15129554271697998, 1.1705763339996338, 1.6411151885986328, 0.428303986787796, -1.0703928470611572, -0.6159464716911316, -1.0194525718688965, 0.3848164975643158, 0.29434895515441895, -1.7715047597885132, 0.4349344074726105, 0.06312361359596252, -0.6894504427909851, -0.2829807698726654, 0.6600029468536377, -0.16193436086177826, 0.886084258556366, 0.5484238862991333, 0.45765289664268494, 0.9676891565322876, -0.6673583388328552, 1.6183183193206787, -0.26440465450286865, 1.354137897491455, -0.07092823088169098, -0.3697128891944885, -0.24597389996051788, 0.3293827772140503, 0.6973733305931091, 0.8342204093933105, -1.098692774772644, 0.5674765110015869, 0.8283826112747192, -3.832531690597534, 0.11584769189357758, 1.9915446043014526, 1.0238094329833984, 2.1327197551727295, 0.23347528278827667, 1.2004878520965576, -1.2668757438659668, -0.9444983005523682, -0.1793764978647232, 0.31204938888549805, 0.717379093170166, -0.2302778661251068, 0.7147674560546875, 1.443666696548462, 0.196146160364151, -0.8332573175430298, -0.952683687210083, -0.36366915702819824, -1.2479252815246582, -0.09261447191238403, 0.6533640027046204, 0.16369947791099548, 1.3456140756607056, -0.369537353515625, -0.47141557931900024, 0.4779217541217804, 0.8509474396705627, 0.36884430050849915, 1.0622302293777466, 1.763922929763794, -0.048379626125097275, 0.9127081632614136, -1.3906173706054688, -0.7096118927001953, 0.9307976365089417, -0.4243218004703522, -0.4821736812591553, 0.4773769974708557, -0.1789979487657547, 0.4937354028224945, 0.5612083077430725, -1.7986558675765991, -0.6078459620475769, -0.5876336097717285, -0.3451770842075348, -0.7287773489952087, -1.4957531690597534, 0.7350953221321106, -0.269319087266922, 0.45085909962654114, 0.04091703146696091, -0.06933283060789108, 0.2585761547088623, 0.4775455594062805, -1.4889180660247803, -1.2930028438568115, 0.8795658946037292, 0.07306429743766785, 0.9967362284660339, 1.0237910747528076, -1.2132517099380493, 0.9744538068771362, 0.45322731137275696, -0.9927570819854736, -0.2557562291622162, -0.9585699439048767, -0.5702749490737915, 0.19281719624996185, 0.3847457766532898, -0.8595814108848572, 1.2814747095108032, 0.1276102066040039, 0.6101059317588806, -1.2942535877227783, 0.6038413643836975, 1.117246150970459, 0.4163925051689148, 0.6747081875801086, 0.2249874323606491, -0.9556332230567932, -0.7779799103736877, 0.6935068964958191, -0.4358613193035126, -0.9824548959732056, -0.9062879085540771, 1.25956130027771, 0.34626761078834534, -2.0042972564697266, 0.005512263625860214, 0.46033239364624023, 1.179113507270813, -1.0105520486831665, -0.620258629322052, -1.4548780918121338, -0.5897568464279175, -0.6960206627845764, 0.5155009627342224, 0.723761260509491, -0.18846826255321503, -0.5821011662483215, -0.4351550042629242, -1.2878144979476929, 1.9290111064910889, -0.17678959667682648, 0.6398148536682129, 0.6912683248519897, 0.5198960900306702, -0.7546091079711914, -0.033411234617233276, -0.8276495337486267, -0.3524166941642761, -0.6002296209335327, -0.05797451362013817, 0.2974875271320343, 1.6327744722366333, -1.4954025745391846, -0.29969948530197144, -0.4144572615623474, -0.7832543253898621, -1.508280873298645, -1.3664311170578003, 0.9639950394630432, 0.04045804589986801, 0.24693161249160767, 0.11251302808523178, -0.534939169883728, 0.9171100854873657, 2.065865993499756, 1.0178154706954956, -1.9378867149353027, -0.5477144718170166, -0.18265697360038757, -1.2373387813568115, -0.16857317090034485, 0.688886284828186, 0.10380307585000992, -0.6988955140113831, -0.5013832449913025, -0.1714278757572174, -1.5410068035125732, -0.3302071988582611, -0.7394251227378845, -1.5103271007537842, 0.17837846279144287, -0.1793491244316101, -0.5583686828613281, -0.880412757396698, -0.8791263699531555, 1.5490630865097046, 0.015372429974377155, -0.027610722929239273, -0.4480331838130951, 1.8764188289642334, -0.8918796181678772, 0.43931636214256287, -1.030982494354248, -1.4263975620269775, 0.7195857763290405, 0.5959717631340027, -1.3461240530014038, -0.05369861051440239, -1.0822854042053223, 0.3181943893432617, -2.230900526046753, -1.0310343503952026, 0.20588766038417816, -0.8329556584358215, 0.960921049118042, -1.2231221199035645, 0.0782814472913742, -0.15562321245670319, 0.40913498401641846, 0.4989188015460968, -1.0028913021087646, 0.06786083430051804, -0.5345580577850342, -1.4051520824432373, 1.8911962509155273, 1.3595441579818726, -0.8487699627876282, -1.841177225112915, 1.3572540283203125, 1.1483440399169922, -0.8582409024238586, -0.47482022643089294, -0.9581076502799988, -0.3348093032836914, -1.7462626695632935, 0.2912493050098419, -0.4571112394332886, 0.9602430462837219, 0.4171837866306305, 1.6657739877700806, -1.8149548768997192, -0.48883718252182007, 0.3190588653087616, 0.8207234740257263, 0.26855215430259705, 0.5349103808403015, -1.9663856029510498, 0.3475550413131714, -0.3839770257472992, 0.9996393918991089, -0.411981463432312, 1.7920981645584106, -0.7672699093818665, -1.307977557182312, -1.3159326314926147, -0.1285407692193985, 1.3067461252212524, 0.1913401335477829, 1.3767470121383667, 0.6892044544219971, 0.43921586871147156, -0.04813985526561737, 1.1221709251403809, 2.794304609298706, -0.08464081585407257, -0.2434539496898651, -1.4118940830230713, -1.0503880977630615, 1.110618233680725, 0.21369199454784393, 0.5067698955535889, 0.2828640341758728, -1.1814165115356445, -0.9001671671867371, 2.1022186279296875, 0.13313262164592743, 0.022425031289458275, -0.2799490988254547, -0.6847841143608093, -0.7950332760810852, -1.7333787679672241, 1.1858826875686646, 0.4465799629688263, 1.1301037073135376, -0.3333589434623718, 0.8935588598251343, -1.4335700273513794, -0.8756160736083984, 0.009384111501276493, 0.6304294466972351, 0.8632985949516296, 1.1419644355773926, 0.3109254240989685, -0.10642467439174652, -0.7953895330429077, -1.1213213205337524, 0.8579769134521484, -1.6933249235153198, 0.28935855627059937, 0.12979160249233246, 0.802577018737793, 0.20192822813987732, 0.3846955895423889, 0.17066167294979095, 1.3613444566726685, -0.2574329078197479, 0.006673657335340977, -0.5435441136360168, -1.4135205745697021, 2.787760019302368, -1.013744592666626, 1.001903772354126, 0.8672234416007996, 0.2449631243944168, 1.3056882619857788, -1.0610337257385254, -0.040719106793403625, -1.9681334495544434, 0.646186888217926, 0.9474418759346008, -0.9643430113792419, -0.05544678866863251, 0.6487414836883545, -1.5244767665863037, -0.4629494845867157, -1.6245776414871216, 1.4023377895355225, 0.38867735862731934, 0.7254555821418762, -1.389511227607727, -0.8552696704864502, -1.5335310697555542, 0.1288852095603943, 0.2891088128089905, -0.7377328872680664, 0.8807763457298279, -0.7662639021873474, 1.4585676193237305, 1.7723872661590576, 0.32965973019599915, 0.6587340831756592, 0.6428387761116028, 0.40178006887435913, 0.6623095273971558, -0.8157885074615479, 1.7509973049163818, -0.07119281589984894, -0.3190559148788452, 0.9801297783851624, 0.11956664174795151, -0.06124546006321907, -0.9422666430473328, 1.4318883419036865, 0.13153165578842163, -0.18222470581531525, 0.3660371005535126, -0.6753576993942261, -0.32092228531837463, -1.2091906070709229, 0.07767737656831741, 0.17619068920612335, 0.578863799571991, 1.5511679649353027, 0.08444352447986603, -0.28734639286994934, -0.6621134877204895, -0.01396828331053257, -0.2777872681617737, -0.7856798768043518, 0.46062397956848145, 0.566218376159668, 1.1541569232940674, -2.3971786499023438, 0.38038408756256104, -1.0242971181869507, 0.7994512319564819, 0.8700053095817566, 0.07007400691509247, -0.338508278131485, -0.09547755867242813, 0.15840405225753784, -0.8398545384407043, 1.6116095781326294, -0.07980115711688995, 1.6326349973678589, -0.2204407900571823, -0.22251465916633606, 0.1314752995967865, 0.683012068271637, -0.1380276381969452, 1.4818451404571533, 1.6592570543289185, 0.40998774766921997, -0.0009331775945611298, -0.1083751767873764, 0.4794066250324249, -1.2922874689102173, 0.3474847674369812, -0.006388451438397169, -0.3764995038509369, 2.26790452003479, 0.9991294741630554, -0.29818224906921387, -0.7564722895622253, -0.20476730167865753, 1.405016303062439, -0.9434769749641418, 2.028413772583008, 0.3931215703487396, 0.4133126139640808, 0.4638987183570862, -0.02982708252966404, 1.2715272903442383, 1.0848652124404907, -1.0124340057373047, -0.14667245745658875, -0.49658793210983276, 0.36382463574409485, 0.3396982252597809, 1.273138165473938, -1.5371414422988892, 1.0402002334594727, -0.7641999125480652, -0.44291654229164124, -0.009090420790016651, 0.253021240234375, 0.8895691633224487, 2.015190601348877, 0.12288182973861694, 0.283156156539917, 0.5790372490882874, -0.19989891350269318, -2.4690840244293213, -1.6101100444793701, 1.7436981201171875, -0.38771313428878784, 0.7723278403282166, 0.22671592235565186, 0.8466978073120117, 0.8503185510635376, 1.8044092655181885, 1.4646685123443604, 0.2913444936275482, -1.1624996662139893, -0.4783642590045929, 1.3870203495025635, -0.05114508792757988, -0.6013848185539246, -1.144444465637207, -1.1233654022216797, -0.7945832014083862, -0.5694751739501953, 0.0549420528113842, -0.7646363377571106, -1.4184188842773438, -0.8973153829574585, -0.0699654296040535, 0.4944293797016144, 2.1871159076690674, 1.1617707014083862, 0.6346917748451233, -0.43252453207969666, -1.411285400390625, 1.8677223920822144, -0.9821573495864868, -0.958099365234375, 1.774613618850708, 1.5161906480789185, -1.0465848445892334, 1.3759163618087769, -0.23219886422157288, 0.8947616219520569, -0.11953603476285934, 0.7854304909706116, -0.5617773532867432, -0.4788385033607483, -0.7523331046104431, -0.47686854004859924, 0.7134581804275513, -1.9506268501281738, -0.6031076312065125, -0.7942026853561401, 0.023615580052137375, -0.7165514230728149, 0.9313072562217712, 0.11275313794612885, 0.2154434323310852, -1.2841796875, 2.2291040420532227, 0.8249357342720032, 0.4553866684436798, 0.5599141120910645, 0.21209175884723663, 1.1861251592636108, 0.2881997525691986, 1.6998308897018433, 2.432499408721924, 0.2585121691226959, 1.3330131769180298, 0.12649448215961456, -0.15770527720451355, 0.5783246755599976, 1.2233283519744873, 0.791765034198761, 0.5074418187141418, 0.4391697347164154, -0.8357943892478943, -0.6900646686553955, 0.4646506905555725, 0.9826740026473999, 0.41359904408454895, -0.5239112377166748, 0.13422854244709015, -1.1245696544647217, 1.3094075918197632, -0.07285541296005249, 1.1227099895477295, -0.24534782767295837, 0.2722645699977875, -0.428587943315506, 0.8239985108375549, -1.3989880084991455, -0.6815629601478577, 0.8538435697555542, 1.5678290128707886, -0.5997704267501831, 0.23984402418136597, 2.1043002605438232, -0.7700114250183105, -1.5130436420440674, -0.403571754693985, -0.442477822303772, -1.2654074430465698, 1.4840714931488037, -0.2043316662311554, -1.1885942220687866, 0.14065788686275482, 0.64668208360672, -0.23070071637630463, 0.3957928717136383, -0.13584411144256592, 0.2491820603609085, -0.3809734880924225, -1.632828712463379, -0.32575365900993347, 2.110002040863037, -0.5582384467124939, 0.3878994286060333, -2.2768142223358154, 0.37306782603263855, 0.2061154842376709, -1.0159707069396973, -0.599851667881012, 0.17797666788101196, -1.143309235572815, 1.3394620418548584, -0.996752142906189, -0.6021904945373535, 0.8778835535049438, 0.7318398952484131, 0.27381452918052673, 1.7188366651535034, 1.3450311422348022, -1.2653104066848755, 0.45117413997650146, 0.6597211360931396, -0.6524525284767151, -0.8879274725914001, -1.0803741216659546, 1.424518346786499, -1.1213253736495972, 0.3918372392654419, -0.49036842584609985, -0.2890629470348358, -0.20463405549526215, -0.1949448138475418, 1.668276309967041, 0.674127995967865, 1.1708104610443115, 0.02161409705877304, -0.9156265258789062, 0.21846671402454376, 1.2556324005126953, -0.8077448010444641, 2.0619850158691406, 0.3048802316188812, -1.4610782861709595, -0.20266015827655792, 0.03146770969033241, 0.2910449504852295, 0.4191838204860687, -0.8154667019844055, -0.6950612664222717, 0.8987486362457275, -0.763476550579071, -0.19663105905056, -0.3633078634738922, -1.5530608892440796, -0.8605437278747559, -0.400406152009964, 1.6809849739074707, -0.11213088780641556, -0.5451535582542419, 0.5253366231918335, 0.6945744156837463, 0.730522096157074, 1.6037938594818115, 0.7152358293533325, 1.9036880731582642, -1.1197839975357056, -2.211786985397339, -0.5754631757736206, 0.4319401681423187, 0.8337973356246948, 0.3153077960014343, 1.3361929655075073, 0.5864706635475159, 0.21032211184501648, -0.06552600860595703, 0.6110420823097229, 0.6648997068405151, -0.2499617040157318, 0.5851041674613953, 1.2774115800857544, 0.8801257610321045, 0.5200220942497253, -1.0255969762802124, 1.7627143859863281, -2.815762519836426, -0.28375279903411865, -0.6867133378982544, 1.02428138256073, 1.7308460474014282, -0.32468941807746887, 0.3143851161003113, -0.0669134333729744, -0.6546841263771057, 1.0335131883621216, -2.1418421268463135, 0.7724379897117615, -0.6358500719070435, 0.2520127594470978, 0.9832903742790222, 0.27252840995788574, 0.7202309370040894, 0.8769089579582214, -1.6670103073120117, -2.2226719856262207, -1.0847980976104736, 0.612401008605957, -0.5785004496574402, -0.725740909576416, 1.8645329475402832, -0.8439115881919861, 1.395574688911438, -0.4016047418117523, -0.47602152824401855, 0.6024074554443359, -0.13895398378372192, -0.5199072957038879, -0.4297706186771393, -0.9330264925956726, -0.3255579173564911, 0.9303890466690063, -0.2840443551540375, 0.8463886380195618, 0.018565375357866287, -1.6755516529083252, -1.9437434673309326, 0.09865526109933853, -0.6744462847709656, -1.8892930746078491, -1.842443585395813, 0.13227719068527222, -0.792870283126831, 1.2297093868255615, 0.07773400843143463, 1.8036106824874878, -0.3388381898403168, -0.46696820855140686, -0.40187644958496094, -1.3109723329544067, 0.03079218976199627, -0.5921895503997803, -1.1771180629730225, 1.7409440279006958, -0.29608187079429626, -0.3473694622516632, -0.49671268463134766, -1.301006555557251, 1.3098556995391846, -0.2666304111480713, 0.19697873294353485, -0.6992143392562866, 1.1395643949508667, 0.1911749392747879, -0.009462441317737103, 0.35460788011550903, -0.42382940649986267, 1.0711755752563477, 2.7124791145324707, -0.19352838397026062, 1.7502615451812744, -0.11171314865350723, -0.8220173120498657, 0.7975156307220459, -0.7685240507125854, 1.5375657081604004, -1.7771120071411133, -1.0646121501922607, 1.050782322883606, 1.3841030597686768, -1.5027097463607788, -1.0865437984466553, 2.1495704650878906, -0.9262224435806274, -0.8617974519729614, -0.013285640627145767, 0.976121723651886, -0.07730520516633987, -2.168846368789673, 1.213675856590271, -1.8085858821868896, 0.19425715506076813, 0.6679531335830688, -1.1588698625564575, -0.7162472605705261, -1.0271012783050537, -1.4785282611846924, 0.045808374881744385, -0.10694064944982529, 0.35308536887168884, 0.3301672339439392, -0.5309102535247803, 0.03632983937859535, 2.4672696590423584, -0.16547387838363647, -0.3069077730178833, 1.4188532829284668, -0.4566229581832886, -1.5976078510284424, 0.7735506892204285, -0.6360014081001282, -0.2509534955024719, 0.7005379796028137, 1.4387873411178589, -1.0684497356414795, -0.16634242236614227, 0.517611563205719, -0.7325262427330017, 0.33585336804389954, -0.7603669166564941, 0.056602153927087784, -1.5038570165634155, -0.4485261142253876, 0.5257315635681152, 0.2619018256664276, 0.7167068123817444, -0.696540355682373, 0.8436497449874878, 1.9249420166015625, -0.3405316174030304, -0.43293496966362, 1.3083903789520264, 0.4293099343776703, 0.07122802734375, -1.4018466472625732, 0.5611289739608765, 1.151316523551941, 0.698857843875885, -0.5897563099861145, -0.16460900008678436, -0.49309614300727844, 0.50408536195755, 0.13771948218345642, 0.27507975697517395, 0.4682971239089966, -0.7029951810836792, -0.179605171084404, 0.8973854184150696, 0.051693860441446304, -0.5315346121788025, 0.40693262219429016, 0.4082213044166565, -0.4960733652114868, -0.9290968179702759, -0.1992855966091156, 0.468250036239624, 1.0863715410232544, -0.48916497826576233, -0.08609210699796677, 0.60735023021698, 0.227834552526474, -0.6186387538909912, 1.1308897733688354, -0.12078773975372314, 1.6046744585037231, 0.08605138957500458, 0.2812545895576477, 0.08700139075517654, -0.25706610083580017, 2.2180261611938477, 1.240154504776001, -0.6573424935340881, 1.848445177078247, -1.1966158151626587, -0.45390239357948303, 1.4244478940963745, 2.269195318222046, 1.3104835748672485, -0.3178943395614624, -0.3773656487464905, 2.260444164276123, -0.3309515118598938, -0.7194162011146545, 1.2199066877365112, 1.435631513595581, -0.31398269534111023, 0.8979019522666931, 0.6358874440193176, -0.8475749492645264, -0.09313177317380905, -0.39359593391418457, -0.02484050951898098, -0.3632628321647644, -0.6941221952438354, -0.9816297292709351, -0.05556102097034454, -1.0469098091125488, -0.16152557730674744, -0.5717736482620239, -1.5560880899429321, -0.9632699489593506, -0.4366423487663269, -0.008548072539269924, 0.04459971562027931, -0.3537367880344391, 0.15752233564853668, -1.1567072868347168, 1.8155642747879028, -2.0921216011047363, -0.651735246181488, 1.1426492929458618, -0.7538284063339233, -1.4663174152374268, 0.08023621141910553, -0.6316997408866882, -0.7410086393356323, 1.8063979148864746, 0.9378061294555664, -0.38452786207199097, 0.658501386642456, 0.7616772055625916, -0.6450856328010559, -3.6307897567749023, -2.186370372772217, 0.26440107822418213, -0.5598823428153992, 1.4536970853805542, -0.2962888479232788, -0.4702155888080597, -1.499106526374817, 2.2968475818634033, 1.649484395980835, 1.3179066181182861, 0.7556464672088623, 1.2471561431884766, 0.7881364822387695, 1.5493229627609253, -0.6088662147521973, -2.7026309967041016, -0.6108700037002563, 1.1897660493850708, -0.4802001118659973, 2.2536263465881348, 1.1717573404312134, 0.8793008327484131, -0.7796709537506104, -0.07809803634881973, -0.3723330795764923, 0.36377331614494324, 1.2563191652297974, -0.1220834031701088, 0.10120877623558044, 0.47121289372444153, 0.6840168237686157, 0.5099198222160339, -0.7801733613014221, 0.6629142165184021, 0.6556671857833862, 0.058465536683797836, 0.7882350087165833, -1.0857888460159302, 1.0519514083862305, -0.38931718468666077, 1.4754453897476196, -0.17086558043956757, -2.0883853435516357, 0.7963455319404602, 0.4962165355682373, 0.6029451489448547, -0.5226418375968933, 1.0360978841781616, 0.5318379998207092, -0.31479185819625854, 0.02101830020546913, -0.054547298699617386, -0.8116031885147095, -0.26106584072113037, -0.6925831437110901, 1.5522570610046387, -2.3087081909179688, -2.195838451385498, 0.32025381922721863, 0.772721529006958, -0.16666415333747864, -0.011849306523799896, -0.11284743994474411, -0.6838181614875793, -1.2513858079910278, -0.0759536400437355, 0.3789231479167938, 0.6201399564743042, -0.08987566083669662, 1.209662675857544, 0.8767158389091492, 1.8312735557556152, -0.6159215569496155, -0.6072822213172913, -2.05973744392395, 1.5289140939712524, 0.3378683924674988, 0.1915358453989029, 0.16352267563343048, 0.6710167527198792, -0.4096096158027649, -0.530225396156311, 0.2532861530780792, -0.19900000095367432, 0.6101416945457458, -1.4391361474990845, 1.6620672941207886, 0.35557520389556885, -1.8119957447052002, 0.46456536650657654, -0.5480050444602966, -1.0596239566802979, 0.17400647699832916, 0.3821605145931244, -0.19578158855438232, -0.15132363140583038, 0.625577986240387, -0.6219039559364319, -1.087321400642395, -1.3252078294754028, 0.37722504138946533, -0.05841507390141487, -1.4766151905059814, -0.9860185384750366, 1.4865750074386597, 0.14713206887245178, -1.366032361984253, -0.6708536148071289, 0.9521092176437378, 1.4749457836151123, -1.4756296873092651, -0.8660301566123962, 1.278135895729065, 0.35259687900543213, -0.07500791549682617, 0.40587425231933594, 0.5351168513298035, -0.06878392398357391, -0.6154842972755432, 0.2695809602737427, -0.031602293252944946, -1.2756946086883545, -0.6372569799423218, -0.761553168296814, -0.4670298099517822, -1.2028323411941528, -2.4587764739990234, -0.489888072013855, -1.5937029123306274, 0.9481480121612549, -0.4264816343784332, -1.4827039241790771, -0.45044565200805664, 0.8889638781547546, -1.1525547504425049, 0.0294801015406847, -0.5199353098869324, -0.16537998616695404, -0.27732527256011963, -0.24465647339820862, -1.988023042678833, -1.266395926475525, -0.3072216808795929, 0.8398252725601196, -0.4688802659511566, 0.22658583521842957, 0.34186819195747375, 0.5933657884597778, 1.917343020439148, -0.47865501046180725, -0.0578240342438221, -1.7239394187927246, -0.9909027814865112, 1.9551998376846313, -0.06532658636569977, 0.14630116522312164, 1.1357200145721436, -0.2688539922237396, -0.9126741886138916, 0.6866339445114136, 1.5644149780273438, 1.013214111328125, -1.1486494541168213, -0.7915613055229187, -0.3213580846786499, 0.5456286668777466, -1.2671267986297607, 0.5779818296432495, -0.02104124240577221, -0.13801060616970062, 0.09937097132205963, -0.16284485161304474, 0.18977606296539307, -1.2571945190429688, 0.25705486536026, -1.0625808238983154, -0.632580041885376, -0.6293240189552307, -1.676796793937683, 0.6724022030830383, 1.988852858543396, 0.8156912922859192, -1.4682725667953491, 1.6630321741104126, -1.454459547996521, -0.23146040737628937, 0.5549997091293335, 0.32450324296951294, 1.4936802387237549, 0.5853366255760193, 0.7599589824676514, -1.0136138200759888, -1.391968846321106, 0.8856539726257324, 0.9161636829376221, 0.4850791096687317, -1.0356383323669434, 0.1620996594429016, -0.34564009308815, 0.7718657851219177, 0.01673818565905094, 0.6803566813468933, -0.12983323633670807, 0.09731336683034897, 0.7956935167312622, -2.1607372760772705, -0.5694004893302917, -2.002260208129883, -1.2304245233535767, 0.8770406246185303, -2.0921499729156494, 1.5937228202819824, 2.563725471496582, -0.12678508460521698, 0.2314254492521286, 0.7924410104751587, -0.3076462149620056, 0.6760215759277344, 2.6805763244628906, -0.870776891708374, 0.036105670034885406, 1.0989755392074585, -0.2800213098526001, 0.5310931205749512, 0.5320438146591187, -1.5853021144866943, 2.4220407009124756, 0.47723037004470825, 0.5956750512123108, 0.2792662978172302, 0.2393292933702469, 0.4738202393054962, 0.031055578961968422, -0.1489359736442566, -0.3652037978172302, -1.8156386613845825, 1.1129406690597534, 1.1716068983078003, -1.7179490327835083, 1.0239890813827515, -1.036582350730896, -1.997800350189209, 1.5087897777557373, 0.19652637839317322, 1.0685486793518066, 0.4850883483886719, 0.005962289869785309, 1.0006709098815918, 0.7048721313476562, -0.6978404521942139, 0.4728609323501587, -0.6566532254219055, -0.8678151965141296, -0.10431576520204544, 0.9755558371543884, -0.8829219937324524, -0.7062578201293945, -1.2799997329711914, 0.13592901825904846, -0.28107115626335144, 1.7253460884094238, 0.12699493765830994, -0.8810292482376099, -0.6380594372749329, 0.5336771607398987, 0.1680675745010376, -1.0805774927139282, 0.8652670383453369, 0.9823195338249207, 0.7240417003631592, 0.13301636278629303, -0.6277503967285156, -0.14591790735721588, -0.42359691858291626, -1.4881683588027954, 0.8582064509391785, 3.035121202468872, -1.1487538814544678, 0.22709836065769196, 0.030582552775740623, 0.01513738464564085, 1.1773208379745483, -0.9649611115455627, -0.24674776196479797, -0.6797627210617065, -1.0098387002944946, -0.3882693350315094, -1.3795819282531738, 1.0700255632400513, -0.9035424590110779, 0.7684311866760254, 0.43918681144714355, -0.5032515525817871, 2.1168277263641357, 1.2190254926681519, -0.7853313088417053, 1.0900859832763672, -0.06645472347736359, 1.2572640180587769, 0.1582425981760025, -1.742975115776062, -1.2938947677612305, 1.3074569702148438, 0.7085686922073364, 0.294900506734848, -0.6937687397003174, -0.8013211488723755, -0.07756809890270233, -0.5014570951461792, -2.2269856929779053, -0.17264695465564728, -0.6625555157661438, -0.5495360493659973, 0.05868193879723549, 1.5382329225540161, 1.0444575548171997, -0.26301294565200806, 0.2191448211669922, 0.05122251436114311, 1.1272135972976685, 0.5445007681846619, -0.2185828685760498, 0.4121098220348358, -1.132474660873413, -2.3891191482543945, 0.7177993655204773, -1.5831094980239868, -0.9634820222854614, -1.054305911064148, -0.6109879612922668, 0.11032737791538239, 0.12355764210224152, -1.4388847351074219, -0.45935776829719543, 0.719353199005127, -0.09622633457183838, -0.6806969046592712, 0.7339244484901428, 0.0939386859536171, 1.0834808349609375, 0.8089823126792908, -0.9773237109184265, -0.26083904504776, 0.9019067287445068, 0.3177003860473633, 1.5053801536560059, -0.0004540873342193663, -0.8399935364723206, -0.9963456988334656, 1.9695827960968018, -0.6241140961647034, 0.7812330722808838, -1.473711609840393, 0.9128003120422363, -0.8139405250549316, -0.32805025577545166, -1.6033560037612915, 0.15657921135425568, 1.240025281906128, -1.338854193687439, -0.10444001853466034, 0.15694653987884521, -1.5131834745407104, 0.9912806153297424, 0.5573175549507141, -0.6779595613479614, 0.968483567237854, 0.8363486528396606, -2.076538562774658, 0.9263569712638855, 1.882336139678955, 0.02799459546804428, -0.36298084259033203, 0.45504313707351685, 0.7594925165176392, -0.9625334739685059, 0.9539335370063782, -1.4123497009277344, 0.812851071357727, 1.4345933198928833, 0.05774686485528946, -0.8951465487480164, -0.08590231090784073, -0.6046251654624939, -0.6875012516975403, 0.20559696853160858, -0.7192203998565674, -1.1452873945236206, 0.888896107673645, 0.24766799807548523, 0.9761032462120056, -1.0025994777679443, -0.8691359758377075, 1.034902811050415, 1.141363263130188, -0.6113547086715698, 0.5669911503791809, -0.15298272669315338, -0.291658490896225, -1.206941843032837, -0.1684180051088333, -1.0213230848312378, 0.45474377274513245, 0.05628223717212677, 0.1908469796180725, -0.002813637489452958, -0.6423792839050293, -0.2348310351371765, 0.1834784746170044, 0.8270988464355469, 0.6817458271980286, 0.40630635619163513, 1.706158995628357, 1.165942907333374, -0.24008060991764069, 0.22484587132930756, -2.3762154579162598, 0.4015538990497589, -2.294616460800171, 0.9543997049331665, -0.3883368968963623, 2.195984125137329, 0.8412518501281738, -1.4890133142471313, 0.5850116610527039, -0.6405912041664124, -1.9063634872436523, -0.21498170495033264, 0.1672649085521698, 0.08594394475221634, -0.3800842761993408, -1.3825470209121704, 0.5667335987091064, -2.2063262462615967, 0.28583550453186035, 2.49943208694458, 0.05457804724574089, -1.1838287115097046, 0.8204308748245239, 0.7991358637809753, 0.34314191341400146, -0.7108830809593201, 0.4065439999103546, 0.956223726272583, 0.30748531222343445, 0.31810882687568665, -1.8297702074050903, 1.8508250713348389, -1.2886475324630737, 1.2673413753509521, -0.9688137173652649, -0.4609397351741791, 0.8407386541366577, -0.19394119083881378, -0.14037710428237915, 0.07062987983226776, -0.06806328892707825, 1.2692794799804688, 2.2910208702087402, -0.07968119531869888, -2.142704963684082, 1.5941132307052612, 2.5384137630462646, -0.49620160460472107, 1.5591261386871338, -1.202392816543579, -0.6351379156112671, 0.20571336150169373, 1.2084790468215942, -1.2975432872772217, -1.7842353582382202, -1.738688588142395, 1.7332375049591064, 0.7335371971130371, 0.9938647150993347, 0.00801965780556202, -0.28345587849617004, -1.0710505247116089, -0.39534568786621094, 0.7241055965423584, 1.134980320930481, 0.1372641772031784, -0.28324073553085327, 0.8449776768684387, -1.6651710271835327, 0.9013379216194153, 0.29200538992881775, 0.9830695986747742, -1.6503483057022095, -0.8447388410568237, -1.7777600288391113, 1.6797508001327515, -0.30756810307502747, 0.17818263173103333, 0.3059806525707245, 0.6469754576683044, 1.3000932931900024, 0.6430982351303101, -1.3191593885421753, -0.5693896412849426, -1.8995989561080933, 0.2520817816257477, 0.7248584032058716, -0.010661646723747253, 0.32635602355003357, -0.39913156628608704, -0.545810341835022, -0.9492759704589844, -0.5826377272605896, 0.6084815859794617, 1.8586663007736206, -2.0493991374969482, 1.2204482555389404, 1.375124216079712, 0.4969656765460968, -0.5050092339515686, 1.3103235960006714, -0.08344011753797531, 0.21578916907310486, 0.11008060723543167, -0.4993351697921753, 1.4105430841445923, 0.9097362756729126, 0.8360456228256226, -0.45466870069503784, -0.1434730738401413, 0.10889503359794617, 0.6914839744567871, 0.43387478590011597, 0.16470061242580414, 0.9299246072769165, 0.43112990260124207, -2.24063777923584, 0.3479395806789398, -1.4978502988815308, 0.28999093174934387, -0.2832452356815338, -0.7486765384674072, -1.4253593683242798, -0.3249308466911316, 0.24911366403102875, -1.4054443836212158, 0.007234930992126465, -0.6603827476501465, -0.9291712641716003, 1.041069507598877, 1.7303272485733032, -0.8963518738746643, -1.7486891746520996, 0.23789522051811218, -1.805619716644287, -0.41451194882392883, -1.7112841606140137, 0.3645228147506714, -0.5803453326225281, -0.7104259729385376, -0.27301856875419617, 0.8717845678329468, 0.21581949293613434, 0.5219618082046509, 0.34126174449920654, 1.010899543762207, -0.04691770300269127, 0.2992815375328064, -0.4206298589706421, -1.0377240180969238, 0.6390634179115295, 0.023252639919519424, -1.0357731580734253, 0.6917201280593872, -0.6789452433586121, -0.9247362613677979, -0.31068262457847595, 0.19665859639644623, 0.6306189298629761, -1.7228929996490479, -0.5210925936698914, 0.060717396438121796, 0.42114102840423584, 1.0576668977737427, 1.3254046440124512, 1.1331552267074585, 0.42492198944091797, 0.7735913395881653, 0.1407848447561264, -0.2778305411338806, 0.015577469952404499, -0.9061261415481567, -0.6032977104187012, 0.052168138325214386, -0.5992977023124695, -3.028942346572876, 0.26209768652915955, 2.572078227996826, -0.4753718972206116, 0.3616485893726349, -0.5793269276618958, -0.7253870964050293, 1.380509853363037, -0.1533069908618927, -1.384834885597229, 0.45417457818984985, 0.3879549503326416, -0.6664285659790039, -0.5420844554901123, 2.9302103519439697, 0.03980718180537224, -0.6927292346954346, -0.62174391746521, 0.7456277012825012, -0.46407395601272583, 0.354059636592865, 0.5733898282051086, -1.9253568649291992, 1.3460670709609985, 1.213753581047058, -0.08656994998455048, -0.7561046481132507, -0.6087163090705872, 0.06523545831441879, 0.2073671668767929, -0.4843977093696594, -0.4931473731994629, -0.6058419346809387, -0.384304404258728, -1.5004045963287354, 1.424126148223877, 0.4264316260814667, 1.725341796875, -1.1767886877059937, -0.5571966767311096, 0.6258861422538757, -0.021849583834409714, -1.742424488067627, -0.7672881484031677, 1.4304224252700806, 0.39234694838523865, -1.3970006704330444, -2.0020906925201416, 0.23755493760108948, 2.4901089668273926, 0.31265851855278015, -0.3883015811443329, 0.8928799033164978, 0.7249560952186584, 0.4335167407989502, 0.9744104146957397, -0.0040825954638421535, 0.07298417389392853, -0.2591007351875305, 0.7960927486419678, 0.5791953802108765, -0.13450507819652557, -1.3556065559387207, -0.394126832485199, 1.3682804107666016, 1.2855982780456543, -1.3335460424423218, 0.9432480931282043, -1.1379897594451904, -1.1207023859024048, -0.6621626615524292, 1.877629041671753, -0.9047854542732239, -0.010661765933036804, -0.3060213625431061, -2.0311243534088135, 0.28344160318374634, 0.38604170083999634, -0.23575513064861298, -1.2252846956253052, -0.7928091883659363, 0.47012677788734436, 0.0962943509221077, 1.7161751985549927, -0.15964199602603912, -0.17000924050807953, -0.8211831450462341, 0.02373058721423149, 0.5297332406044006, -0.3778044879436493, 1.417391061782837, 0.4910713732242584, 0.9159647822380066, 0.1735081523656845, 0.1896892935037613, -0.15840917825698853, -1.969196081161499, -0.2445336878299713, -0.6776713728904724, 0.47822806239128113, -1.8905819654464722, 0.2990115284919739, 1.5097410678863525, -0.40140989422798157, 0.3616181015968323, 0.4444722533226013, 0.37175261974334717, 0.17289385199546814, -1.2141680717468262, 1.9441745281219482, 1.1369043588638306, -0.9767837524414062, 0.9717959761619568, 0.15757296979427338, -0.1293685883283615, 0.9459248185157776, 0.7486283779144287, 1.3489890098571777, 1.659290075302124, -0.6820825934410095, 0.8591998815536499, 1.068840742111206, 1.035352349281311, -1.0888819694519043, -0.9833644032478333, 0.18595486879348755, 1.5627477169036865, -1.3852146863937378, -0.4541301131248474, 0.6979333758354187, 0.546902596950531, 0.5524624586105347, 0.2402925342321396, -1.1295276880264282, -0.2912011444568634, -1.4456785917282104, 0.3279983699321747, -0.22356857359409332, 0.6338478326797485, 1.2712687253952026, 0.5257611274719238, -0.08859143406152725, 0.08357129991054535, -0.5507245063781738, -0.4532349705696106, 1.0591752529144287, -1.7508755922317505, 0.8312178254127502, -0.20036070048809052, -1.2242252826690674, -0.16658450663089752, -0.6370474696159363, -0.8235613107681274, -0.3667309880256653, 0.5760944485664368, -0.54014652967453, 2.1436846256256104, -0.8468038439750671, 1.0048247575759888, 0.09949837625026703, -0.9246644377708435, 0.6715037226676941, 0.4190317988395691, -0.22128069400787354, 0.5416959524154663, 0.2708130478858948, 0.6526481509208679, -0.22562873363494873, -0.2700897455215454, 1.132546067237854, 1.2827181816101074, 0.40247759222984314, 1.5573612451553345, 2.0378758907318115, -0.11328133195638657, -1.0065470933914185, -0.3798253536224365, -0.6784350275993347, -1.0525683164596558, 0.22322431206703186, 1.0225255489349365, 0.7757742404937744, 0.645967423915863, 0.7403110861778259, -0.7495615482330322, -1.1345824003219604, 0.4310223162174225, -0.22314855456352234, 0.04046724736690521, 0.3553427457809448, 1.9382938146591187, -1.254912257194519, 0.45961233973503113, 1.5001028776168823, 0.38991880416870117, 0.40374335646629333, -0.47906389832496643, -0.5763978362083435, -2.3239030838012695, -0.28545263409614563, -0.5037038922309875, 0.5824847221374512, -2.674952745437622, 0.185286283493042, -1.3125312328338623, -0.7755544781684875, -0.09462077915668488, -1.171595811843872, 0.5121238231658936, 0.3450745940208435, -1.171151041984558, 0.2559703290462494, 0.4515315592288971, -0.7774103879928589, -2.5792624950408936, 1.3327691555023193, 0.3100223243236542, 0.08071848005056381, 0.13722558319568634, 1.2756917476654053, 0.4262869358062744, 0.1224641352891922, 0.5574866533279419, 0.30463987588882446, -0.508442759513855, -0.3841925263404846, -0.1862286925315857, -0.16418692469596863, 0.5037822723388672, 1.202155351638794, 0.5476107001304626, -0.5097048878669739, 1.6419692039489746, -1.3696054220199585, -0.1333233267068863, 0.6123214364051819, -2.772749185562134, 0.4360438287258148, 0.12461294233798981, 1.5179888010025024, 0.685245931148529, 1.6848376989364624, -0.5546149015426636, -0.3906600773334503, 1.0325298309326172, 0.16486017405986786, -0.5915296077728271, -0.014602077193558216, -0.38007304072380066, -0.2619936764240265, -0.5226048827171326, -0.42274436354637146, 0.0772174745798111, 1.6049801111221313, 1.2685400247573853, 0.1908181607723236, 0.36240431666374207, -1.1095906496047974, 0.15686734020709991, 0.6184999346733093, 1.4835309982299805, -0.26363199949264526, 0.918861985206604, 0.15021729469299316, -1.9761329889297485, -0.6592251062393188, -0.6763451099395752, 0.07715956121683121, -0.3971971273422241, -0.3989383280277252, -1.8668535947799683, 1.0313161611557007, -0.3005983531475067, -0.04975222051143646, 1.6682186126708984, 0.7086811661720276, 0.987306535243988, -0.662408173084259, 1.1836506128311157, -1.63896906375885, 0.7781904339790344, -0.865123450756073, -0.41890090703964233, 0.9132878184318542, 0.2694464921951294, 0.020374706014990807, 0.20942160487174988, 0.9820282459259033, -1.044813632965088, -0.8124385476112366, 0.49416670203208923, 1.2590702772140503, 0.06191536784172058, -1.3849509954452515, -1.4937485456466675, 0.9061921834945679, -0.7898518443107605, -0.42242521047592163, 2.0086865425109863, -0.6715307831764221, -2.07552170753479, -0.09107904881238937, -0.5991875529289246, -0.25139299035072327, -1.1771284341812134, -0.762600839138031, 1.0222612619400024, 0.5856817960739136, 0.772061824798584, -1.9583953619003296, 1.6242163181304932, -1.9035120010375977, -1.8807623386383057, 1.4751683473587036, 1.6362823247909546, -0.9645407795906067, 1.1407610177993774, 0.41566601395606995, 2.026231527328491, -1.0126259326934814, 0.34856998920440674, 0.581849217414856, -0.39347609877586365, 0.4535541534423828, -1.1785883903503418, 0.789819061756134, 1.1420683860778809, 0.5569579005241394, 0.12128926813602448, 0.44558772444725037, -0.9770270586013794, -0.584597110748291, -1.5499167442321777, 0.3021530210971832, -0.34727850556373596, -0.20263050496578217, -0.44323164224624634, 1.2706385850906372, -0.6773730516433716, 0.6478610038757324, -0.9755827188491821, 1.8391462564468384, -0.0034865080378949642, 0.4103865623474121, -0.7196370363235474, 0.6451484560966492, -1.0182898044586182, -1.101527452468872, 0.9167301654815674, 1.1085376739501953, -2.0887160301208496, 2.1544744968414307, 0.353022038936615, 0.4127030372619629, -0.9246350526809692, -1.5019725561141968, 0.7051315307617188, 0.37148424983024597, -0.714340090751648, -0.15940190851688385, -0.32855224609375, 0.6405972242355347, -0.2341606765985489, 0.18855510652065277, 0.019730882719159126, -0.24155908823013306, -1.8511996269226074, 0.2684777081012726, -0.02216072380542755, 0.4223840832710266, -0.8595789074897766, 0.2909909188747406, 0.6529440879821777, 2.0979738235473633, -0.9242795705795288, -0.459785133600235, 0.10670913010835648, 0.1493469625711441, 2.1157736778259277, 0.26433172821998596, -1.1166253089904785, 1.1401103734970093, -1.1499669551849365, 0.722631573677063, -0.821986973285675, 1.3310784101486206, -0.30205461382865906, -1.0948821306228638, 0.5978415012359619, -0.4906677007675171, -0.1961705982685089, -1.6427204608917236, -0.06794015318155289, -2.2453958988189697, 1.5783367156982422, -0.5161778330802917, -0.19803878664970398, 0.8383589386940002, -0.35426023602485657, 1.3937491178512573, -0.3902406692504883, 0.950104296207428, -2.147958517074585, -0.06174112856388092, 0.2618931531906128, 0.4153856635093689, -0.5498691201210022, 0.25587576627731323, 0.8093208074569702, -0.5293470621109009, 0.448284387588501, -0.8013262152671814, 0.6317405700683594, 1.3970056772232056, -1.1161184310913086, 0.14304663240909576, 0.8511560559272766, 1.1925286054611206, 0.5439687371253967, -1.2077754735946655, 1.1914323568344116, 1.2834670543670654, -1.4507311582565308, -0.4242385923862457, 0.9272356629371643, 0.026323553174734116, 0.7056452035903931, 1.0615094900131226, -1.6115124225616455, 0.45299890637397766, 1.1412599086761475, 0.2379687875509262, -0.1269814372062683, 0.05342012271285057, 1.0922929048538208, -1.3024108409881592, 1.3521305322647095, -1.1749324798583984, 1.5664156675338745, -0.22833675146102905, 1.1232846975326538, -0.7424628138542175, 0.22881479561328888, -0.4537980556488037, 0.797391414642334, 1.4051463603973389, -0.8773688077926636, -0.34968942403793335, -2.4602458477020264, 1.2475563287734985, 2.149627923965454, -0.320241779088974, 0.5928131341934204, 0.371157705783844, -1.5105466842651367, -0.6389901041984558, 1.1322118043899536, 0.42556077241897583, -0.2843257188796997, 0.3677023947238922, 1.0783737897872925, 0.36416593194007874, 1.4054851531982422, 1.0930505990982056, -1.0369137525558472, 0.9791541695594788, 0.4557051658630371, 0.2679769694805145, -2.5518243312835693, 0.07132068276405334, 0.3581060767173767, 1.880447506904602, -0.7462528347969055, -1.4819915294647217, 1.421317458152771, -1.9939244985580444, 0.07572164386510849, -0.5763441920280457, -0.5786607265472412, -1.460897445678711, -2.0522587299346924, -1.131065011024475, 1.2584720849990845, -0.5713672637939453, 0.7841975092887878, 0.40953299403190613, 0.3059408664703369, -0.23000852763652802, 0.23767738044261932, -1.8021960258483887, 0.3473411798477173, -0.2978079319000244, 0.2347842901945114, -0.10979556292295456, -1.323111653327942, -1.7342220544815063, -0.26579511165618896, -1.407547950744629, -0.7460381984710693, 1.4306825399398804, 1.9143685102462769, -1.5510687828063965, -1.430296540260315, 0.2052573710680008, -0.15919449925422668, 0.0515340156853199, 0.6379362940788269, 1.0802478790283203, -1.2114757299423218, 0.6006536483764648, 0.07689109444618225, -0.8847613334655762, 0.37095823884010315, 1.4747735261917114, -1.751050591468811, -0.028895698487758636, -0.6638352870941162, -1.484498143196106, 0.0809166356921196, -0.5466802716255188, -1.0514512062072754, -0.6481807231903076, -1.3570187091827393, -1.3314623832702637, 0.5109858512878418, -1.461991786956787, 1.4522364139556885, 1.4651625156402588, -0.19362880289554596, -0.0728548914194107, 0.061060722917318344, -0.6163668632507324, 1.015307068824768, 0.595319926738739, 0.7652292251586914, 1.9977002143859863, 0.3914867341518402, 1.995280146598816, -0.6346070170402527, 1.0690128803253174, 1.160980463027954, -1.7790907621383667, 0.8086466789245605, -0.1060129776597023, -0.02700735814869404, 0.3341250419616699, -0.27466103434562683, -0.29712751507759094, -0.5143458247184753, 1.5861903429031372, 1.3935821056365967, -0.5473349094390869, 2.069274663925171, -0.17883431911468506, 0.809699535369873, -0.4801141619682312, -0.6375554203987122, 1.179660439491272, -1.642134666442871, 0.890319287776947, 0.22640825808048248, 0.7069153189659119, 0.660965621471405, 0.4534458816051483, -1.3935517072677612, 0.6880179643630981, 0.24254998564720154, 0.060564182698726654, -0.561027467250824, -0.4829641580581665, 0.4769587814807892, 0.17047974467277527, 0.845003604888916, 1.797692060470581, 1.4310468435287476, -0.984367311000824, 0.0116643775254488, 0.3026885390281677, -0.7567965984344482, 0.7330871224403381, -1.003272533416748, 0.2109839767217636, 1.4640601873397827, -1.0836117267608643, -0.672996997833252, -0.25188785791397095, -2.2979846000671387, 1.5407487154006958, 0.45442286133766174, 0.15854018926620483, -0.08649589866399765, 0.2634923756122589, 0.49349725246429443, 1.3175126314163208, 0.4539925456047058, -0.4823808968067169, 2.9806666374206543, -0.8092124462127686, -0.7733491659164429, -1.038170576095581, 1.1797000169754028, -0.6921202540397644, -1.0847539901733398, 0.7788016200065613, -0.6461572051048279, -1.4155789613723755, 0.8664202690124512, -0.37611088156700134, -0.7895724773406982, -0.5277276635169983, -1.1287552118301392, -0.08878864347934723, 0.10756650567054749, 2.2006518840789795, -2.0411956310272217, 0.3962896168231964, -0.6138983368873596, 0.6420789957046509, -3.0800278186798096, -1.3616151809692383, -0.2960043251514435, 1.1283513307571411, -0.7886322736740112, -0.038704462349414825, -0.760986864566803, 0.5444626212120056, 0.454408198595047, 0.9106372594833374, 0.9990993142127991, -0.27131080627441406, -0.9536606073379517, -0.6736571192741394, 0.30757027864456177, -0.12765848636627197, 0.036591242998838425, 0.5164321660995483, 0.917169451713562, -0.5229107737541199, -0.18800176680088043, -0.9932076334953308, -0.5293033719062805, -1.3104248046875, -1.4173346757888794, -1.6074398756027222, -0.1671929657459259, -0.8684839606285095, -0.4027494490146637, -1.5072098970413208, 0.549104630947113, -1.27206289768219, -0.8282976150512695, -0.3439099192619324, -0.7324386835098267, -0.5639234185218811, 0.04004557058215141, -0.4779359698295593, -0.43515950441360474, 0.5881410837173462, -1.1904879808425903, 0.3113662600517273, -0.5756134390830994, 0.3273322880268097, 0.6297365427017212, 1.879461407661438, -2.474013328552246, 1.3029942512512207, -0.5952850580215454, 0.35621726512908936, 0.03240058198571205, -0.11962825059890747, 1.088623046875, -0.7917583584785461, -2.2979352474212646, 0.24021567404270172, 0.3993513286113739, -1.2249038219451904, 0.03601418063044548, 1.1788570880889893, -1.7664213180541992, -1.0022584199905396, 1.5534266233444214, 0.17955924570560455, -1.1150798797607422, -0.9356100559234619, -0.41874298453330994, -0.8159404993057251, 0.016923261806368828, -1.1842435598373413, 0.4831203520298004, -0.19080407917499542, -1.4719254970550537, -0.341061532497406, 1.297232985496521, -2.00603985786438, 0.2823708951473236, -0.811122477054596, -1.5486440658569336, 0.048511698842048645, -0.7712311148643494, 0.6420630812644958, 0.6150916218757629, -0.20334042608737946, -1.6620466709136963, 0.8806517720222473, -1.6280213594436646, -0.5138301253318787, 0.5380285382270813, -1.227219581604004, -1.1786675453186035, -1.171597957611084, 1.6002179384231567, -0.3856680691242218, -0.32529518008232117, 1.1171956062316895, -1.328344464302063, -1.2492866516113281, -0.1001700609922409, 1.251044750213623, -0.10994749516248703, -1.4054735898971558, -0.6069482564926147, 0.9367974996566772, 0.2646864056587219, -1.5075656175613403, -0.42303135991096497, -0.4520135819911957, -0.6815900802612305, -0.9597489833831787, 0.43964120745658875, -0.1476615071296692, -0.36421963572502136, -0.47195300459861755, -0.7329845428466797, 1.434804081916809, 0.01803939789533615, -0.08468178659677505, 1.6485515832901, -0.18079474568367004, -1.8577489852905273, 0.9701720476150513, -1.586909532546997, 0.04892049357295036, 1.1327776908874512, -1.9527696371078491, 0.562792956829071, -0.2522568106651306, 1.4471967220306396, 0.978323757648468, -1.3194098472595215, -0.07127540558576584, 0.26853591203689575, -0.6990516185760498, 1.5869791507720947, 0.14213266968727112, 0.376058965921402, -0.7916259765625, 2.667762517929077, -0.14031292498111725, 0.9416194558143616, -0.011842876672744751, -0.5196930766105652, 1.8524175882339478, 1.8365377187728882, 2.074131727218628, -0.7373097538948059, -0.7686780691146851, -0.05119974538683891, 1.5985578298568726, 0.2122737318277359, 1.1059595346450806, 1.311963438987732, 0.424176424741745, -0.4922901690006256, 1.6562608480453491, 0.4111401438713074, -0.2428770512342453, 0.8634518384933472, -1.4491990804672241, 0.3142701983451843, -1.005286455154419, -1.3435431718826294, 1.2677130699157715, -1.2937111854553223, -0.7414584755897522, -0.3299030065536499, 0.3301123380661011, 0.9814369082450867, -1.4912174940109253, 0.5385298132896423, 1.3361884355545044, -0.5637743473052979, 0.663472592830658, 0.43149101734161377, -0.7728766202926636, -0.8030177354812622, 0.46446937322616577, -0.17089581489562988, 2.706796407699585, 0.6624157428741455, -0.654021143913269, 0.7278003692626953, 0.09257330745458603, -0.1797974407672882, 0.7003864645957947, -1.250577688217163, 0.9090378284454346, -0.15779435634613037, -0.43905171751976013, 0.7388755679130554, -0.46826601028442383, -1.59627366065979, -1.6671663522720337, 0.3388274610042572, 0.3750116229057312, -1.3291982412338257, 0.5636889338493347, 0.8051766753196716, 0.7449150681495667, -0.1739114224910736, 1.1078135967254639, 0.5147720575332642, 0.8934884667396545, -1.5113967657089233, -0.8514725565910339, 2.081841230392456, 1.0677173137664795, -1.4276772737503052, -0.33180344104766846, 1.7054011821746826, 0.6059234738349915, 1.1122153997421265, -0.5635794997215271, -1.364527702331543, 0.17917323112487793, 0.5652397871017456, 0.3271985352039337, 0.01357425469905138, 2.691838502883911, 1.2729166746139526, -0.6343013048171997, 0.5684458613395691, 0.5110347270965576, 0.9753285646438599, 1.9773973226547241, -1.2740811109542847, -0.7873809337615967, 2.1380560398101807, 0.3426303565502167, 0.9504527449607849, -1.5345426797866821, -0.17094235122203827, 0.9801839590072632, -0.05862395092844963, 2.073120594024658, -0.3976811170578003, -0.20158079266548157, -0.16366083920001984, -1.2389750480651855, -1.0557494163513184, 1.2461082935333252, -0.7245869040489197, -1.044531226158142, 0.5626670122146606, 0.17291614413261414, 1.9904685020446777, -1.181625247001648, 0.30392396450042725, 2.225896120071411, 0.30583375692367554, -0.6297805309295654, 0.7762312889099121, -0.5106104016304016, 0.3001462519168854, 0.17312221229076385, 0.08587908744812012, 0.10766935348510742, 1.0629868507385254, 1.841042399406433, -0.5686787366867065, -1.44584059715271, -0.2561878263950348, 1.1728384494781494, -0.428275465965271, -0.2985764443874359, 0.14890146255493164, 1.661197304725647, -2.622263193130493, -0.43193310499191284, -0.10098669677972794, -0.43878018856048584, -1.9775099754333496, -0.03591495007276535, -0.787344753742218, -0.11653880029916763, 1.899356484413147, 0.45238569378852844, -0.5850009918212891, 0.17411360144615173, -0.2031504362821579, -1.271364688873291, 0.8729038834571838, 0.14136412739753723, -1.4058030843734741, 0.4083256125450134, 1.4582887887954712, -0.5315611362457275, 0.8970864415168762, -1.1392240524291992, -1.309956669807434, -0.0961947962641716, -2.4108095169067383, -0.009612545371055603, -0.6612817049026489, 0.8028563857078552, -0.0704915001988411, -1.5173195600509644, 0.20034420490264893, -0.24687924981117249, 0.23627454042434692, 0.7618011236190796, -0.5062925219535828, 0.7492969632148743, 1.0681610107421875, -2.636631488800049, 0.6954406499862671, -0.32303762435913086, 0.5969774723052979, -0.6247759461402893, 1.647339940071106, -1.7078118324279785, 0.09625459462404251, -0.28645211458206177, 1.0167992115020752, -0.6579540371894836, -0.3999125063419342, -1.7973577976226807, -0.3783835768699646, 0.23239530622959137, -0.7321792840957642, -0.40373992919921875, 1.2062691450119019, -0.6938396096229553, -1.5164529085159302, 2.0242106914520264, -0.8075132966041565, 0.09412498027086258, 0.27298247814178467, 0.5441054701805115, -2.4758286476135254, 0.21060240268707275, 0.2536042630672455, -0.7318422794342041, -1.3799183368682861, -0.21034009754657745, 0.8919094204902649, 0.18319325149059296, 0.5042280554771423, -1.007485270500183, -1.3393090963363647, -0.4502287805080414, -0.16011619567871094, -1.608611822128296, 0.1806594282388687, 1.864193320274353, -1.201043963432312, -0.07190026342868805, 0.14386875927448273, -0.1494988203048706, -0.4506336748600006, 0.3065869212150574, 0.9810793399810791, -0.5398741960525513, -0.42057791352272034, 0.4069875180721283, -0.3191024363040924, 0.8826714158058167, 0.6646241545677185, -2.1909663677215576, 0.4844568967819214, 0.6883501410484314, 1.6444298028945923, -0.09725860506296158, -0.972576379776001, 0.9053502678871155, -0.9080927968025208, 1.1592087745666504, -1.467360258102417, 1.7191014289855957, -0.3673190176486969, 2.3575830459594727, -0.459722101688385, -0.058640673756599426, -1.2418878078460693, -0.023634955286979675, -2.0428922176361084, 0.19053655862808228, 0.5756992697715759, -0.5177616477012634, 0.9197074770927429, 0.9861821532249451, 0.05695872753858566, 0.15881912410259247, 0.6510986685752869, 0.020065616816282272, 0.8478670120239258, 2.0752015113830566, -0.38090255856513977, -0.9460003972053528, -0.4590383470058441, -0.6942368745803833, 0.30009862780570984, -1.389849305152893, 1.2990328073501587, -1.8040062189102173, 1.837660551071167, -0.7219073176383972, 0.6289454698562622, -0.0685209259390831, -1.2115036249160767, -0.5285655856132507, -1.5568547248840332, -1.2001843452453613, 0.2736855447292328, -0.6129935383796692, 0.9846591353416443, 1.6213051080703735, 0.5066256523132324, -0.126494362950325, 0.03450252488255501, 1.3147404193878174, -0.6877241134643555, -0.10271778702735901, 0.3767105042934418, 0.6639789342880249, 0.05910481512546539, 0.6989551782608032, -0.16035781800746918, -1.092200756072998, 0.02478332258760929, 0.4938628077507019, -0.5733305811882019, 0.6898083090782166, 0.6767069697380066, 0.5416850447654724, -0.17832504212856293, -0.7599223852157593, 0.4881221354007721, -1.4561625719070435, 0.9352383017539978, 0.30303436517715454, -0.5343928933143616, -0.2886335253715515, 0.9647671580314636, 1.7285969257354736, -0.8043005466461182, -0.28731220960617065, -1.2584627866744995, 0.08387812972068787, 0.9973886609077454, -0.7661278247833252, -0.3684079647064209, -0.16042770445346832, 0.731488823890686, -0.4989534318447113, -0.3056040108203888, 0.9780712127685547, 0.9052547812461853, -0.901805579662323, -0.2280527949333191, -0.948022723197937, -0.15365807712078094, 1.032126545906067, 0.17955327033996582, -0.2721782922744751, 0.15856090188026428, 1.1139295101165771, -0.5713488459587097, -0.9870969653129578, -1.6782877445220947, 1.8284801244735718, -1.401864767074585, -0.134507417678833, -0.5799044966697693, 1.0302584171295166, 0.16683164238929749, 0.13005995750427246, 0.3381371796131134, 1.025931715965271, -1.1549469232559204, 0.21058911085128784, 0.0752936601638794, 0.4396262466907501, 0.029413584619760513, 0.18214739859104156, 0.03471093624830246, -1.8955014944076538, 0.5495442748069763, 0.1979023516178131, -0.33105704188346863, -1.3217003345489502, -0.24350062012672424, -0.4956028163433075, 1.6506794691085815, 0.7990935444831848, 0.6958364844322205, -0.9322998523712158, 0.18523266911506653, 0.039212681353092194, -1.0234346389770508, 0.31960463523864746, -0.8433935046195984, -2.1094107627868652, 0.5183284878730774, 0.6223585605621338, 0.017574317753314972, 1.3758805990219116, -0.024098770692944527, -0.11441737413406372, -0.2840602993965149, -0.10638472437858582, 0.3422453701496124, -0.21973253786563873, -0.09440521895885468, -0.514839768409729, 0.5137941241264343, 0.3945278227329254, 0.5612776279449463, -0.006535662803798914, -0.4982566237449646, 0.47082117199897766, -0.591300904750824, -0.41513174772262573, 0.5321887731552124, 1.317676305770874, -0.7898051142692566, -0.5088178515434265, 0.23661386966705322, 1.2172428369522095, 0.2148703932762146, 1.2481820583343506, 2.4610743522644043, 0.14153295755386353, 1.837676763534546, -0.2276618480682373, 0.9624823927879333, 0.04533285275101662, -2.03769850730896, -1.0922635793685913, -0.17383840680122375, -1.065432071685791, 0.926749587059021, -0.16234397888183594, 0.36853712797164917, -0.2603956162929535, 0.12695534527301788, -1.7026076316833496, 0.6422180533409119, -0.6823133230209351, -1.6458345651626587, 0.15697401762008667, -0.36244451999664307, -0.12838764488697052, -0.30779823660850525, -1.713757038116455, 0.23439815640449524, -0.40255531668663025, -1.402301549911499, -0.8660640120506287, 0.8337363600730896, 0.1786288470029831, 1.002273440361023, -0.676571249961853, 0.02751591056585312, -1.0370279550552368, 1.4250038862228394, 0.3661484718322754, 0.8489260077476501, 0.4735972583293915, 0.5418957471847534, 0.0022529142443090677, -0.5435486435890198, 0.18075302243232727, -0.7696079015731812, -1.0346392393112183, 0.7314628958702087, 1.6726516485214233, 0.35546284914016724, 0.30347952246665955, 0.04806268960237503, 0.5032230019569397, -0.025565603747963905, -1.8534711599349976, 0.2129386067390442, 0.8061029314994812, 0.7021245956420898, -0.6485925316810608, -0.47295162081718445, 2.2190706729888916, -1.868390679359436, -1.3732751607894897, -1.013265609741211, 1.365964651107788, 1.1893959045410156, -2.7800850868225098, -1.0310310125350952, -0.702293336391449, 0.8099242448806763, 0.8948581218719482, -1.2265124320983887, 0.7861263155937195, -2.095715284347534, 0.4538240432739258, -0.4390734136104584, 0.4836112856864929, 0.9263717532157898, 0.06930986046791077, 1.4818024635314941, -0.9132123589515686, -1.606928825378418, -0.5966755747795105, 0.7912061810493469, 1.1138908863067627, 1.4326399564743042, 0.34999290108680725, 0.2926573157310486, 0.9981994032859802, 0.9345365762710571, 0.08568228036165237, -0.5905015468597412, -0.603374183177948, 0.6896741390228271, 0.7225849628448486, -0.4239010512828827, 1.3261003494262695, -1.067254662513733, 0.6243192553520203, -2.4506609439849854, 1.3008989095687866, -1.3570464849472046, 0.4011816382408142, 1.0129450559616089, -0.07218152284622192, 0.03116939589381218, -0.755984902381897, -0.7956190705299377, -0.6868169903755188, 1.9091075658798218, -0.4942598342895508, -0.06308790296316147, 0.9503589868545532, 0.6692175269126892, 0.12500713765621185, 0.5085462331771851, -1.0646655559539795, -0.4647725224494934, -0.9152674674987793, -0.40090087056159973, -0.08886078000068665, -0.9508647918701172, 0.28014296293258667, 0.06467004120349884, -2.057403087615967, 1.1129963397979736, -0.7580298185348511, 0.0977560505270958, 0.8891482949256897, 0.3460270166397095, -0.11394428461790085, 1.4566701650619507, -1.1309462785720825, 1.2330803871154785, 0.8789023160934448, 0.7664128541946411, 0.4324735403060913, -1.018404245376587, 0.012393372133374214, 0.6695152521133423, 1.2033629417419434, 0.8298293352127075, -0.9191604256629944, 0.958231508731842, 0.2724153697490692, 0.9915789365768433, 0.3825709819793701, -0.5029784440994263, 1.5228251218795776, -2.502131938934326, -0.6252055764198303, -0.4519657790660858, -0.5720081329345703, 1.7311065196990967, 0.40453165769577026, 0.5910705924034119, 0.5206778049468994, 0.26302585005760193, 1.462548851966858, 0.806793212890625, 2.1060609817504883, 0.1250244528055191, -1.0741941928863525, -0.04460187256336212, -0.7740861177444458, 1.4476147890090942, -1.8094489574432373, 0.7144214510917664, 1.472544550895691, -1.7723859548568726, 0.0483609139919281, 0.20343580842018127, -0.6890958547592163, -0.5412123203277588, 2.0465071201324463, -0.5884720087051392, -0.8352398872375488, 0.0502433180809021, 0.4375612735748291, 1.3833050727844238, -1.3894257545471191, 0.3897654116153717, -1.5383917093276978, -2.017490863800049, 0.856982946395874, 0.04652906581759453, -0.3498983681201935, -0.8770002722740173, -0.38342466950416565, -0.05906866118311882, 0.7605865001678467, -0.9748004078865051, 0.9013839960098267, -0.43347686529159546, -1.508413314819336, 2.2633509635925293, 0.88945072889328, 0.7355136275291443, -0.6224141120910645, -0.5682758688926697, -1.4246463775634766, 0.4983586370944977, -1.3945032358169556, -2.804393768310547, 0.4811320900917053, 0.3296896517276764, 1.4936070442199707, 1.691656231880188, 0.1595890074968338, 1.024785041809082, 0.12853768467903137, -0.46378275752067566, 1.3842717409133911, -0.6843701601028442, -0.3655944764614105, 0.40884074568748474, -0.13771961629390717, -0.48222219944000244, -0.9596375823020935, 0.88399738073349, -1.1032230854034424, 0.4613407552242279, -0.6266279220581055, -0.059072766453027725, 1.432356357574463, -0.3214779198169708, -0.32065340876579285, -1.381635308265686, -0.36659157276153564, -1.3767681121826172, -0.6575090289115906, 1.8197290897369385, -0.5204964280128479, 1.4799479246139526, 0.4248008131980896, -0.30355918407440186, 0.07046826928853989, -1.5127924680709839, 1.3581115007400513, 0.32402706146240234, -1.0680902004241943, 0.08959023654460907, 3.1311562061309814, -0.8544708490371704, -0.8357695937156677, 1.2985942363739014, -0.7110929489135742, 0.2808963656425476, 0.1529616415500641, 0.09397149085998535, 0.8745917677879333, -1.226547122001648, 1.3209213018417358, 1.0341976881027222, -0.49460795521736145, -3.3865373134613037, 2.0950191020965576, 0.2728019058704376, -0.030417079105973244, 0.08612233400344849, -1.7298319339752197, 0.08109258860349655, 1.2689827680587769, -0.34340038895606995, 0.9877837896347046, 1.3732529878616333, -0.32952919602394104, -0.540728747844696, 0.5175154209136963, -1.1346107721328735, 0.4711856544017792, 1.920310378074646, 0.4751400351524353, 0.5673654675483704, 0.2462792843580246, 2.888267755508423, -0.5556330680847168, 0.017246929928660393, -0.3958292305469513, -1.0047813653945923, 0.15763555467128754, -2.729581594467163, -0.12834908068180084, -0.4433963894844055, -1.7998695373535156, -0.4873202443122864, -0.360196590423584, -0.2588382959365845, 1.3558588027954102, -0.6274254322052002, 0.47283434867858887, -0.6854686141014099, 1.4168455600738525, 0.8578910827636719, 0.8829494118690491, -0.9653112292289734, -1.340206503868103, 0.03399703651666641, -0.4458200931549072, 0.151767760515213, 1.6231015920639038, -0.429679274559021, -0.16159531474113464, -1.0276970863342285, -0.9918712973594666, 0.29410406947135925, -0.3595946729183197, -0.13289135694503784, -0.09766664355993271, -1.9935065507888794, 0.11608057469129562, -0.6729629635810852, 0.8545035123825073, -1.1793856620788574, -0.9540548324584961, -0.969673752784729, 0.3141362965106964, 1.2000207901000977, -0.1831716001033783, -0.18045181035995483, -0.1034746766090393, -0.10869846493005753, 0.5782245993614197, 1.7499538660049438, 0.002034955658018589, 0.36500951647758484, -0.8946718573570251, -0.3625917434692383, 1.179726004600525, 0.8268131613731384, 1.457729697227478, -1.029435634613037, -1.2957319021224976, 0.2842133045196533, -2.20194673538208, 0.5491127967834473, -1.2930694818496704, 0.4510805904865265, -0.3953653573989868, 1.7161552906036377, 0.15392881631851196, -1.4651801586151123, -0.5170696377754211, -0.8793548941612244, -0.8100994825363159, 0.6788665652275085, 0.33716168999671936, -0.5290454030036926, 0.9324967265129089, 0.6762214303016663, 0.14378660917282104, 2.18232798576355, 0.037647929042577744, 0.21775013208389282, 2.395190954208374, 0.4023849368095398, 1.6874338388442993, -2.743908405303955, -1.9040486812591553, 0.9187806844711304, 0.12344544380903244, 2.8273682594299316, 0.47407886385917664, -1.9149128198623657, -1.5384353399276733, -0.6529980897903442, 0.16414928436279297, -0.19700200855731964, 1.038715124130249, -0.3908529281616211, 1.0207839012145996, -1.2268577814102173, -2.0579991340637207, 0.5987722277641296, -0.13595744967460632, -0.219259113073349, -0.47011202573776245, -0.9500816464424133, -0.6808398962020874, -0.9808987379074097, -0.7519583702087402, -1.0324863195419312, -1.8080289363861084, 0.21794334053993225, 0.6857624053955078, 0.43733805418014526, -1.9561761617660522, 1.2915894985198975, -1.6977379322052002, -1.2025177478790283, -1.646543025970459, -0.789655864238739, -1.313944935798645, 1.211775779724121, 0.9332025647163391, -0.2605237364768982, 1.5151708126068115, -1.521173357963562, -1.1669979095458984, -2.0334060192108154, -0.08260460197925568, 1.4479997158050537, 0.1535591334104538, -0.19014781713485718, -0.9898110032081604, 0.3790753483772278, 1.921068549156189, 0.03124547004699707, 0.3133164942264557, -1.2002359628677368, -0.22739538550376892, -0.23840101063251495, -0.682720422744751, 0.46212872862815857, -0.9165003299713135, -1.665152907371521, 0.7060098052024841, 0.9033956527709961, -0.3824882507324219, 0.1978939324617386, -0.032255567610263824, -0.9255485534667969, 1.1699923276901245, 0.24731674790382385, -2.150991439819336, 1.2150318622589111, -0.33008328080177307, -0.5156939625740051, 0.022917015478014946, -0.6746217608451843, 1.309282898902893, -1.6657313108444214, 0.32850465178489685, -0.8491258025169373, 0.9747982025146484, -0.37322181463241577, 0.6090918779373169, -0.7589855194091797, -0.6492360830307007, -1.3370387554168701, 1.169712781906128, -0.5579738020896912, 0.38680657744407654, -0.4910755455493927, -0.32521501183509827, 0.13093096017837524, 0.624261200428009, 0.6792762279510498, 0.3959449231624603, 0.66949063539505, -0.19622361660003662, -0.32004305720329285, 0.20433473587036133, -1.9621531963348389, -0.5470468401908875, -0.13450416922569275, 0.5259649753570557, 1.2340812683105469, 0.19075801968574524, -0.19310960173606873, 1.5767059326171875, 0.11904352903366089, 0.2899794280529022, -2.0928122997283936, -0.0447654202580452, 0.11111800372600555, 0.38851943612098694, 0.5478768348693848, -1.3495665788650513, -0.44743525981903076, -0.7099960446357727, -1.751257061958313, 0.9016333222389221, -1.3840047121047974, -0.8077333569526672, 1.2065165042877197, 0.1894015073776245, -0.6532776355743408, -0.2359209805727005, 0.6057451367378235, -0.3558703064918518, 1.4644410610198975, -0.8236719965934753, -0.3576895296573639, -0.9380688667297363, 1.4365062713623047, 1.5855119228363037, -1.2674946784973145, -0.382905513048172, 0.8315308690071106, 1.8437397480010986, 0.021685972809791565, 0.28762421011924744, 0.39912644028663635, 2.4587013721466064, 0.4567311406135559, 1.106606125831604, 0.9169553518295288, -0.23127765953540802, -1.498667597770691, 0.6427391171455383, 0.18222902715206146, -1.0757185220718384, 1.5721423625946045, 1.0094727277755737, 0.4029425382614136, 1.6145533323287964, 0.4475519359111786, 0.5499113202095032, 0.21999366581439972, -0.019641423597931862, 0.20199595391750336, -0.305207222700119, -0.11879883706569672, 0.8017854690551758, -0.3870505392551422, 1.560797929763794, 0.04964430257678032, -0.33324524760246277, 0.9817765355110168, 1.0983757972717285, -0.25671127438545227, -2.2621774673461914, 0.16864269971847534, -1.6143133640289307, -0.011093960143625736, 1.2098065614700317, -1.4476906061172485, 1.3612878322601318, -1.3062708377838135, 1.6407432556152344, -0.33931660652160645, 0.13347899913787842, -0.9141297936439514, -0.15479597449302673, 0.39503413438796997, -0.09881415218114853, -1.8138774633407593, -0.6933608055114746, 1.3770830631256104, 1.0369852781295776, 0.522045910358429, -2.008735179901123, -0.7871567606925964, 0.07242458313703537, -1.9583851099014282, -0.1682676076889038, -0.09407275170087814, -1.5021157264709473, 0.9083237051963806, -0.627318799495697, 1.345549464225769, 0.32771772146224976, 1.3408823013305664, 1.2158557176589966, 0.95885169506073, 0.5136737823486328, 0.5763065814971924, 0.0778578370809555, -1.061161994934082, 2.042306900024414, 0.6509259343147278, -1.0072094202041626, 0.35781362652778625, -1.0799492597579956, 0.04710597172379494, 2.201401472091675, 0.7588040828704834, -1.5863133668899536, -0.6730678081512451, -1.2264569997787476, 1.3401838541030884, -1.162084698677063, 1.4780147075653076, 1.5603762865066528, -0.3231433033943176, 2.119018793106079, 0.7877871990203857, -1.9625552892684937, -0.526032567024231, 2.639968156814575, 1.171855092048645, 1.2722933292388916, -0.699771523475647, -1.096972107887268, -0.55166095495224, -0.3263216018676758, 0.6205294132232666, -1.4098610877990723, -0.41539478302001953, 3.068681478500366, 0.3701395094394684, -0.7284356355667114, -0.9289583563804626, 0.8983376026153564, -0.48385927081108093, 0.032833296805620193, -0.10948953032493591, 0.46667027473449707, -1.1001535654067993, 0.8703535795211792, 0.9143402576446533, -0.9333758354187012, -1.9243427515029907, 0.5441842079162598, 1.674156904220581, -0.1457550972700119, -0.663499116897583, 1.2121490240097046, 0.9221742749214172, 0.5710628032684326, -0.7049512267112732, 2.053586959838867, 0.9570578932762146, -1.0222344398498535, 0.2908516526222229, -0.08251824229955673, 1.5195056200027466, -0.3584267199039459, -0.6102548837661743, -1.5060930252075195, -0.7732543349266052, 1.0847911834716797, 1.4872429370880127, 0.6041548848152161, -1.1257244348526, 0.3761575222015381, 1.959293246269226, -0.7958163619041443, 0.4539487659931183, -2.5069937705993652, 0.4042589068412781, -1.5217982530593872, -0.5133728981018066, -1.3806458711624146, -0.5332193970680237, 1.0821458101272583, 0.6407410502433777, -1.729612946510315, -2.14782977104187, 0.4973359704017639, -1.268904685974121, -0.24232769012451172, -1.0745846033096313, -0.6819858551025391, 1.0070197582244873, -0.8279359936714172, 0.6613953113555908, -0.03297487273812294, -0.13994985818862915, 1.0257868766784668, -1.9717178344726562, 0.5998077988624573, 1.361150860786438, -0.173064723610878, 0.7555463910102844, -0.14636565744876862, -0.09429822862148285, 0.29657915234565735, 0.11924134939908981, 0.1167483851313591, -0.5673035979270935, -1.7532938718795776, -0.5004768371582031, -0.8111429214477539, 2.0269458293914795, -2.568801164627075, 1.0234973430633545, -0.5307855606079102, 2.509202480316162, 0.9496951103210449, 1.4498697519302368, 1.9099774360656738, -0.41487377882003784, -2.154376745223999, -1.1843920946121216, -0.13271500170230865, -0.6129738092422485, 1.0654757022857666, -1.361000418663025, 1.183761477470398, -1.1673825979232788, -0.25077250599861145, 0.33264845609664917, 1.1230510473251343, 1.8761743307113647, 0.273639976978302, 0.2801147401332855, 0.7281787991523743, 0.02403142862021923, 2.3937904834747314, -1.3129955530166626, -0.48624828457832336, 0.4012764096260071, 1.0767757892608643, 2.587069511413574, -0.7386665940284729, 0.3296529948711395, 2.8114237785339355, -0.879487931728363, -0.33060166239738464, 0.6457027792930603, 0.17840361595153809, -1.1289931535720825, -0.3602311313152313, -2.383305549621582, 1.3506853580474854, -0.4065081775188446, -0.30150434374809265, -1.5348396301269531, 1.6021355390548706, -1.0694472789764404, 0.08452916890382767, 0.33887022733688354, -1.223060965538025, 0.19821691513061523, 0.38515371084213257, -0.07315804064273834, 0.8780375719070435, 0.12963341176509857, -0.6663824319839478, 0.4921484887599945, -0.9834228754043579, -1.2953417301177979, -1.5002580881118774, 1.1558160781860352, -0.7528656125068665, 0.589937686920166, -1.330565333366394, 1.276455044746399, -1.1509960889816284, 1.4542961120605469, 0.21978320181369781, -0.26515746116638184, 0.7749262452125549, 0.12985540926456451, 1.0439496040344238, -1.7788974046707153, 0.3559875190258026, -0.05403977632522583, 0.07127834856510162, -0.8058504462242126, -0.35074129700660706, 1.1279785633087158, 0.02586616761982441, -0.14947263896465302, 0.39506977796554565, 1.9742354154586792, -0.4404173791408539, 2.3815414905548096, -0.34589144587516785, 2.694831609725952, -0.8544124364852905, -0.27781322598457336, 0.7772916555404663, -0.43923330307006836, 1.1729096174240112, 1.397361159324646, -0.41255858540534973, 0.6893559694290161, 0.033526014536619186, -0.2977294325828552, -1.1700760126113892, 1.5237687826156616, 1.2984881401062012, 0.6588833928108215, -0.8222662210464478, -0.14632517099380493, 0.7788861393928528, 0.6403340101242065, 0.8075235486030579, 0.5731524229049683, 1.3903805017471313, -0.23513318598270416, -0.5386273264884949, -1.160732388496399, -1.486362099647522, 0.13496126234531403, 1.354621410369873, 1.9504122734069824, 1.0814120769500732, 0.7843427658081055, 1.8615505695343018, 0.6727956533432007, -0.4322131276130676, 1.8005059957504272, 1.3338027000427246, -0.3184575140476227, 1.1182889938354492, 1.8907326459884644, 0.19988827407360077, -0.17826782166957855, -0.2625838816165924, 0.7513576745986938, 0.18278615176677704, -0.9977543950080872, 0.45807182788848877, 0.7924372553825378, -0.9643475413322449, 0.46591266989707947, 1.3539252281188965, -1.459295630455017, 0.37469375133514404, 0.7460726499557495, 0.26590028405189514, -1.0854178667068481, -1.5084643363952637, -0.4339471161365509, 0.9574882388114929, 1.254128336906433, -1.0384563207626343, 0.5251074433326721, -0.8383287787437439, 1.7227904796600342, -1.1731188297271729, 0.7272883057594299, -1.7194626331329346, 2.383774995803833, -1.1649107933044434, -0.20503655076026917, -0.5375787019729614, 1.2967820167541504, -1.6138769388198853, -0.8155969977378845, -0.1509992778301239, -0.5020706653594971, 0.8036778569221497, -1.1333380937576294, -0.7435185313224792, -0.7013434171676636, -0.42178988456726074, -1.5332636833190918, -1.9342981576919556, 0.3510490953922272, -0.6404718160629272, 0.019135747104883194, -0.7149955034255981, -0.7025302648544312, 0.7700265645980835, 0.9396787285804749, 1.0826958417892456, -0.6519155502319336, 0.2863307297229767, 0.10145679116249084, 0.09791278839111328, -0.8082833886146545, 1.441809892654419, -0.6460452675819397, 0.04811352491378784, 1.382570505142212, -0.6665758490562439, 0.7876792550086975, -0.15957334637641907, -0.10303135216236115, 0.27407389879226685, 1.1576530933380127, -0.21968594193458557, 0.4215473532676697, -0.32665783166885376, 2.3412764072418213, -0.5351880192756653, 1.0548261404037476, -0.4031357765197754, 0.6454427242279053, -0.6314883828163147, -1.2809436321258545, 0.009232764132320881, 1.2330046892166138, 0.6238497495651245, 1.5213422775268555, -0.4976206421852112, 0.7535606026649475, -0.38888975977897644, 2.5921998023986816, 0.08543383330106735, -2.0308878421783447, 0.6461803913116455, 1.217452883720398, 0.03121299482882023, 1.082465648651123, -1.8998514413833618, -2.7074427604675293, -0.7867730259895325, -0.6195639967918396, 1.8281400203704834, 0.4877544343471527, 0.1366790533065796, -0.7571792006492615, -1.0642281770706177, 1.9611531496047974, -0.4390997588634491, 0.8920031785964966, 1.8720558881759644, 0.24501417577266693, 0.7017510533332825, 0.9099079370498657, -0.7323962450027466, -0.6663155555725098, -0.3277064561843872, -1.439155101776123, -1.2797472476959229, -0.19622953236103058, 0.19903564453125, -0.5971476435661316, -1.0348221063613892, 0.42101818323135376, -0.548947811126709, -1.2540193796157837, -1.2696188688278198, 0.6492337584495544, 0.2340298593044281, -0.1553155928850174, -0.4344737231731415, -1.0962450504302979, -1.122981309890747, -1.865479826927185, -2.1207547187805176, -0.6719433665275574, -0.7559810280799866, -1.0449823141098022, -0.27992430329322815, 1.2101659774780273, -0.9885204434394836, 0.350207656621933, -0.2644238770008087, -1.0631657838821411, -0.7474985122680664, 0.3266002833843231, -0.49929699301719666, -1.4715039730072021, 0.5023646950721741, 0.4279913902282715, 1.0040385723114014, 1.708235263824463, -0.2667044997215271, -0.5910199284553528, 2.3618080615997314, 1.2076122760772705, -0.5487976670265198, 1.5311497449874878, 0.44362834095954895, -2.4579336643218994, 0.6496618390083313, 0.02449978142976761, 0.25982725620269775, 0.7348682284355164, -0.006029692944139242, -0.7884382605552673, 1.1371253728866577, -1.7366209030151367, 0.9614791870117188, -0.48808231949806213, -0.6568363904953003, -0.4720822274684906, 0.35971710085868835, 0.5307507514953613, 1.0079243183135986, 1.6095112562179565, 1.6016820669174194, 0.020138248801231384, 0.573236346244812, 0.37203314900398254, 0.22609540820121765, -0.754463255405426, -0.3379971385002136, 0.41659975051879883, 0.22890278697013855, 0.1943359375, 1.0423648357391357, -1.1257092952728271, -0.04083564877510071, 0.7159355282783508, 0.3418067395687103, -0.6778577566146851, 0.17783714830875397, -0.16209769248962402, -0.026765741407871246, -0.33800795674324036, 0.6160297393798828, -1.5755101442337036, -1.1856271028518677, 0.3281179666519165, -0.03522142022848129, 0.18906556069850922, 1.0375696420669556, 0.7793638706207275, -0.6498270630836487, -0.48095330595970154, 0.13058727979660034, 0.8354679346084595, 1.6123450994491577, -0.4939593970775604, 0.30456098914146423, 2.1306238174438477, 0.026654772460460663, 0.7856671810150146, 0.8128212690353394, 0.04477962106466293, -1.7439521551132202, -1.3104760646820068, -1.4218922853469849, -0.3201002776622772, 0.011662798002362251, 0.7528783082962036, -0.8849666118621826, 0.8982152342796326, -1.6744109392166138, -1.1447242498397827, 0.22346419095993042, -0.9369489550590515, -0.17544429004192352, 0.8354768753051758, -1.2516489028930664, 0.26434803009033203, 1.2949540615081787, -0.5443984270095825, 2.050001859664917, 0.06459449976682663, 1.448185920715332, -0.20686893165111542, 1.5211719274520874, -1.2341058254241943, -0.7871248126029968, -0.7268313765525818, -0.26047298312187195, -0.1815493106842041, 0.40858983993530273, 0.21912771463394165, -0.45708730816841125, -0.651996910572052, -0.32107922434806824, -0.5061670541763306, 0.8149546980857849, 0.6836134195327759, -1.2236212491989136, 0.9091914892196655, -0.6015433669090271, 0.8525826930999756, -0.6866192817687988, 1.1305443048477173, -0.3458130359649658, -0.673958420753479, -0.16243989765644073, 1.8315014839172363, -0.7265217900276184, -0.44100794196128845, -1.1563644409179688, -1.1074808835983276, -0.6043308973312378, 0.0144581263884902, -0.2186170518398285, -1.0052590370178223, 1.2696419954299927, 1.212203025817871, -0.3618496060371399, 1.1163915395736694, 0.4140532910823822, 0.12140734493732452, 0.836719274520874, -0.6638585925102234, -2.0399112701416016, -0.6206883192062378, -0.9584940075874329, -0.5020677447319031, 0.45930108428001404, -1.3068112134933472, 0.9302626848220825, 1.0709624290466309, 1.3384746313095093, 0.27829068899154663, -1.328766107559204, -0.2891634404659271, -2.518200635910034, -2.533468723297119, -0.022988365963101387, -0.5563327670097351, 2.0752601623535156, -0.8403553366661072, 0.9517558813095093, 0.20424777269363403, -0.8860291838645935, 1.0496968030929565, 0.5568186640739441, 0.13751773536205292, -0.15331187844276428, 1.2169883251190186, 1.7785981893539429, -0.709164023399353, -0.9840890765190125, -0.17089858651161194, 0.2695762813091278, 0.3193606436252594, -0.6905565857887268, 0.3500501811504364, -0.32124459743499756, -1.1872515678405762, -0.83109050989151, -1.1144185066223145, 1.2617039680480957, 0.6814237833023071, 2.0168917179107666, 0.481290727853775, 0.313506156206131, -0.5229234099388123, -0.26092126965522766, -2.14499831199646, 1.0247118473052979, 2.1447153091430664, -0.34384623169898987, 0.9131002426147461, -2.4607415199279785, -0.45995032787323, 0.06401844322681427, -0.4596543312072754, -0.5983195900917053, 0.844002366065979, -0.6386957168579102, -1.218558430671692, -0.1842191219329834, -1.7404849529266357, -0.43988513946533203, 0.978778600692749, 1.003504991531372, 1.839215874671936, -0.7032709121704102, -2.1381378173828125, 0.3657694160938263, -2.1648738384246826, 0.9794597625732422, 0.38047143816947937, -1.9277540445327759, 0.9197121858596802, -1.6067678928375244, -1.3559657335281372, -0.25936615467071533, 0.0861901044845581, -0.37659966945648193, 0.9756653904914856, -0.8411983847618103, -0.9621247053146362, -0.5808306932449341, 1.1524169445037842, -0.5585207939147949, -0.25051894783973694, 2.1708250045776367, -1.229917049407959, 0.3085583448410034, -0.4612124264240265, -1.0031081438064575, -3.3694987297058105, 0.7832650542259216, -0.34354400634765625, 0.34807446599006653, -1.7184555530548096, -0.8929248452186584, -0.7456709742546082, 0.1508672833442688, -0.7863383889198303, -0.350765198469162, 1.2518025636672974, -0.35988521575927734, -0.43994462490081787, 1.9879144430160522, -0.692777693271637, 0.4603208601474762, 0.6009213924407959, 0.8063201308250427, 0.979621946811676, 0.9170622229576111, -0.11666277050971985, 0.2106197327375412, 0.5228466987609863, -1.7686034440994263, -0.8117372393608093, -0.877277135848999, -0.01325446367263794, 1.1153861284255981, -0.4465695023536682, 1.315346598625183, 1.038090705871582, 2.2033896446228027, -0.907842755317688, 2.0937135219573975, -0.9239965677261353, -0.553339421749115, 0.09259668737649918, 1.0563279390335083, 0.8357012271881104, 0.39962950348854065, -0.5224289298057556, 1.8076858520507812, -0.13208438456058502, -1.0929938554763794, -2.4860122203826904, -0.038849566131830215, 0.8021038770675659, -0.18547378480434418, 0.534229576587677, -0.49810588359832764, 0.8963930010795593, -1.2691730260849, 0.042280785739421844, 0.9680984020233154, -1.7608284950256348, 1.0980932712554932, 0.8579375743865967, -0.9562888145446777, -0.04678618907928467, -0.6908267736434937, -0.38857290148735046, 0.04591083899140358, -0.3794901967048645, 1.5770217180252075, -0.042833227664232254, 1.353956699371338, -1.124684453010559, -0.17863909900188446, 0.20412735641002655, 0.9273927807807922, -0.32438376545906067, 0.6851024031639099, 1.0722298622131348, -1.000272512435913, 0.9701831936836243, -1.7244060039520264, 1.61734139919281, -0.9298601746559143, 0.41942062973976135, 2.0680532455444336, -1.2342560291290283, 2.678502321243286, 0.3004297614097595, -1.303833246231079, 0.7512189745903015, 0.3729371726512909, 0.17139992117881775, 0.5995815396308899, -0.19726276397705078, -0.11891679465770721, 0.973744809627533, 1.072774887084961, -1.0264922380447388, -1.1302908658981323, -0.2908953130245209, -1.6867245435714722, 1.5600812435150146, 1.8405765295028687, -0.7730143070220947, -0.2413354218006134, 2.3902435302734375, -0.5483986139297485, 0.643738329410553, 0.7495583891868591, -1.2053273916244507, 1.4264872074127197, -0.7236151099205017, 0.2793406844139099, -0.8662601113319397, 0.8459339737892151, 0.6116387844085693, -0.8089803457260132, -0.20030127465724945, -2.4035587310791016, 1.5633418560028076, -0.6294617652893066, 0.8042920231819153, 0.6676139235496521, -0.5181459784507751, 0.9539962410926819, 0.7256157398223877, -0.5767995715141296, -0.8011578917503357, -1.122711181640625, -0.7824243903160095, -0.5122944712638855, -0.8242338299751282, -1.0234198570251465, -1.3928337097167969, -0.4097520112991333, 0.8509801030158997, 0.8881950378417969, 0.5827952027320862, 0.785405158996582, 1.5136889219284058, 1.251064419746399, -1.9372137784957886, -1.2051048278808594, -1.0388710498809814, -0.1431705802679062, 1.1298640966415405, 0.587632417678833, 0.020525068044662476, 0.12478796392679214, 0.2550857663154602, -0.4017896056175232, -1.7806396484375, -0.08095061779022217, -1.759868860244751, 0.820906937122345, -0.15008316934108734, -1.8686583042144775, -0.6503500938415527, 0.6934462189674377, -1.1080999374389648, -0.1472785621881485, -2.2464029788970947, 0.40276598930358887, 0.1035822331905365, 0.3125080168247223, 0.19359846413135529, -0.40304437279701233, 0.7284680604934692, 1.4359182119369507, -1.437017560005188, 0.5239248275756836, -0.26981568336486816, 0.017309220507740974, 1.2778736352920532, -0.30529654026031494, -0.01296310406178236, 1.34257972240448, 0.8595607280731201, 0.24403470754623413, 1.9769524335861206, 0.5327519178390503, -0.8788067102432251, -0.2609003186225891, -0.1277228742837906, -0.38701093196868896, -0.10875839740037918, 0.7135027647018433, 0.037005677819252014, 0.7367677688598633, -1.073968529701233, -0.8155962824821472, 1.1982215642929077, -1.376950740814209, 1.0670830011367798, 0.507300615310669, 0.4764442443847656, 0.9100666046142578, -1.501094937324524, -0.11335238814353943, -1.2001420259475708, -0.7933986186981201, -2.7309763431549072, -0.014749551191926003, -0.04001638665795326, -0.11494863778352737, 1.458335041999817, 0.4836253225803375, 1.4084579944610596, -2.2690858840942383, 0.39256006479263306, -0.4266415536403656, 0.36491602659225464, 0.807181715965271, -1.5602378845214844, -0.055706802755594254, -0.712527871131897, 0.2629498243331909, 1.1325268745422363, 0.8828094601631165, 0.9763681888580322, 0.35255447030067444, -0.5103265047073364, 0.048219867050647736, 0.7788206934928894, -0.08426624536514282, 0.8460555672645569, 1.1856203079223633, -0.4465353190898895, 0.8045015335083008, 0.830817461013794, -0.4116531312465668, -0.19528378546237946, 1.0318245887756348, -0.6419956088066101, -1.068763256072998, -0.038084980100393295, -0.8322976231575012, 0.8178791999816895, 0.14809109270572662, 0.3688916862010956, 0.5232675075531006, -1.1358050107955933, 2.01452898979187, -0.5778390765190125, -0.2187574803829193, 0.35567042231559753, 0.7510744333267212, 0.2171924114227295, 0.4019394814968109, 0.9250243306159973, -0.24931922554969788, -0.3473069369792938, 0.8122217059135437, -0.6078834533691406, -0.8096639513969421, 1.7024855613708496, -0.9683796763420105, 1.086437702178955, 1.8661012649536133, 1.4962280988693237, 1.022226333618164, 1.5485637187957764, -0.08855976164340973, -0.88787841796875, -0.6835475564002991, -0.015076662413775921, -0.030384592711925507, -0.8306879997253418, -1.7835168838500977, -1.239241361618042, 0.9374039173126221, -0.8320447206497192, 0.9133552312850952, 0.35530006885528564, 1.5981814861297607, 0.6848441362380981, -0.238090381026268, -0.8003812432289124, 0.22974559664726257, -0.19079573452472687, -0.364820271730423, -0.658343493938446, -1.2190016508102417, -1.0552600622177124, -0.9370644688606262, 0.07260560989379883, 0.24500113725662231, -1.4732903242111206, -0.10355143249034882, -0.5564566254615784, 0.3039886951446533, 0.9035240411758423, 0.5980544090270996, -1.4176050424575806, -0.0560019314289093, -1.3687875270843506, -0.5567623376846313, -0.36739063262939453, 1.5230790376663208, 1.4708421230316162, -0.4366856515407562, -1.1750401258468628, 0.24909113347530365, -0.4163765013217926, -0.9129456877708435, -1.0231975317001343, 0.15973883867263794, 1.5596561431884766, 0.2012278288602829, 0.9135115742683411, -0.7519399523735046, 2.7958858013153076, 0.41675102710723877, 0.0033115341793745756, -1.398554801940918, 1.5899420976638794, -0.7806249856948853, -0.7495994567871094, 0.2814400792121887, -0.12884187698364258, 0.9388713836669922, 0.2919924259185791, 1.7478625774383545, -0.8145643472671509, 0.9247024655342102, -1.5529402494430542, 0.13943159580230713, 1.0945836305618286, 1.0349615812301636, 0.03250877186655998, 0.9967503547668457, 0.6327362060546875, 0.7831454873085022, 0.5098334550857544, 1.5633010864257812, 1.690338134765625, 1.8711999654769897, 1.2940467596054077, 0.49941954016685486, -0.5331865549087524, -0.26645612716674805, 0.3932536244392395, 0.45422324538230896, 0.4487703740596771, -0.43473342061042786, 1.8583357334136963, 0.35827821493148804, 0.1845020353794098, 1.472187876701355, 0.9056950807571411, -2.0051839351654053, 1.8527616262435913, -1.680544137954712, 0.659866988658905, -0.5326191782951355, 0.5515633225440979, 1.6462424993515015, 0.2259528934955597, 0.27357611060142517, -0.9556253552436829, 0.43514177203178406, -1.1005570888519287, 0.9130839705467224, -0.45959728956222534, 2.1901278495788574, -0.682377278804779, -0.22877585887908936, -0.08736804872751236, -1.1191902160644531, -0.4140253961086273, 0.2933676540851593, -0.016588633880019188, 0.4729222357273102, 1.0479241609573364, 0.32106152176856995, 1.162279486656189, 1.5198776721954346, 1.134521245956421, 0.013782661408185959, 1.5933806896209717, 0.11106725037097931, 0.5933053493499756, 0.0023419519420713186, -0.46283742785453796, 0.1497601568698883, 0.6901775598526001, -0.38219600915908813, 0.4878943860530853, 0.04518410563468933, 1.9969353675842285, -0.6955253481864929, 0.5120656490325928, -0.9795308709144592, 2.3521711826324463, 0.41813287138938904, -0.1308819055557251, -0.4394487142562866, 1.6976182460784912, 0.813541829586029, -1.232080101966858, 1.510047435760498, -0.4955300986766815, -1.451595664024353, 1.1304244995117188, -0.23605898022651672, -1.4099090099334717, 0.033967722207307816, -0.27385643124580383, 0.052069131284952164, 0.6194980144500732, 1.2993793487548828, 0.37411054968833923, 0.29060807824134827, -0.14929574728012085, 0.16823826730251312, 0.7370786666870117, -1.690212607383728, 0.30514323711395264, -0.0909213200211525, -1.1586445569992065, -0.7481539845466614, 1.1121810674667358, -0.4421563148498535, 1.0719655752182007, 0.17691805958747864, -1.2427209615707397, 0.10128959268331528, 0.19372011721134186, 0.24058400094509125, 0.9057638049125671, 0.11222076416015625, 0.9275448322296143, 0.1871725618839264, 1.0549991130828857, -0.04648401960730553, 1.6230204105377197, 0.9345911145210266, 0.6804553866386414, 2.2367258071899414, -0.6858885884284973, -1.308877944946289, -1.208367109298706, -1.4461109638214111, -0.6192750930786133, -0.40478718280792236, 0.45292869210243225, 0.40945965051651, -0.6087417602539062, 0.9832689762115479, 2.428079843521118, 1.1440294981002808, -0.101145900785923, 0.09917446225881577, 0.3814586102962494, 1.6723263263702393, -0.4240947961807251, 1.8199645280838013, -0.39397287368774414, -0.4608776867389679, 0.51866215467453, 0.7784189581871033, -1.5908970832824707, 1.9877948760986328, 1.7945916652679443, -0.8427640199661255, -0.776123583316803, 0.7065202593803406, 0.020995743572711945, -0.7148111462593079, -0.21817894279956818, 0.6545652151107788, -1.3288897275924683, -0.5552407503128052, 0.869994044303894, 0.17624177038669586, 0.10479936003684998, 1.1782784461975098, -0.8539279699325562, 0.18701297044754028, -0.059362635016441345, -2.4078524112701416, -1.2304182052612305, -0.026510445401072502, 0.8861871957778931, -0.7287083268165588, 1.380319356918335, 0.6684188842773438, -1.7624090909957886, 0.6292073726654053, -0.7828742265701294, -0.08022477477788925, 0.9383800625801086, -0.4210285246372223, -0.49148616194725037, -0.9306524395942688, 0.9656304121017456, 0.4625106155872345, -1.4208135604858398, 0.2507438659667969, -0.5702037215232849, -0.05394743010401726, -0.7306843400001526, -1.0346637964248657, 0.7631427049636841, 0.9210829138755798, -0.6593214273452759, 0.24809309840202332, 0.7311660647392273, 2.3288936614990234, -1.06203031539917, -0.5385692119598389, 0.02497357502579689, -0.43002068996429443, 1.0171878337860107, -0.548503041267395, 1.6083859205245972, 0.5863268971443176, 0.15758942067623138, -0.9828112125396729, 0.18478159606456757, 1.4150960445404053, 0.4230518639087677, 0.5434484481811523, -1.2116377353668213, 0.1757367104291916, 0.25499454140663147, 0.8070029616355896, -0.3077951669692993, 1.3164128065109253, 0.7719241976737976, 2.0046799182891846, -0.05154263228178024, -0.09019286930561066, 0.9585400819778442, -1.2275644540786743, 0.35432279109954834, -0.2604968845844269, 0.6541568636894226, -0.43890517950057983, -0.05930287018418312, -1.202606201171875, -1.2769453525543213, -0.3968345820903778, -1.267333745956421, -0.6902195811271667, 0.23923389613628387, 1.4039400815963745, -2.0282845497131348, -1.0275602340698242, 0.9761411547660828, -0.06743653863668442, 0.06586836278438568, -0.7352823615074158, 0.19450226426124573, -0.7134578824043274, 0.16818134486675262, -1.1888638734817505, -0.37875133752822876, -0.321153849363327, 0.4127616584300995, 0.3390391767024994, 1.7734715938568115, 1.655669927597046, -0.08070362359285355, -0.303115576505661, 0.3240552544593811, 1.0401465892791748, 0.5915576815605164, -1.4803826808929443, -0.12040789425373077, 0.16437974572181702, 0.7806977033615112, 1.228264331817627, 0.4453698992729187, -0.3023916184902191, -0.11587464064359665, 0.45107510685920715, -0.3600947856903076, -1.0252388715744019, 0.37429946660995483, -0.3791492283344269, 2.650177001953125, -1.6031533479690552, -0.47765374183654785, -0.5845633149147034, -0.21724168956279755, -0.5731971859931946, 0.37175968289375305, -0.4610559642314911, 2.174776315689087, 0.021914366632699966, 0.5857738256454468, -0.3441990613937378, 0.6814432144165039, 0.772460401058197, 0.007647526450455189, -0.25153985619544983, 0.84389328956604, -0.2621386647224426, -0.42434096336364746, -0.6080525517463684, 0.011438353918492794, 0.0011970907216891646, 0.672935426235199, -0.6712173819541931, -0.2299986481666565, 0.13960936665534973, 1.3544011116027832, -0.423284113407135, -0.9974878430366516, -0.9902269244194031, -0.1406998336315155, 0.8166614174842834, 1.0789191722869873, 1.7252999544143677, 1.1660224199295044, 0.5868375301361084, -0.11877616494894028, -0.34278497099876404, -0.08240477740764618, 0.8542949557304382, -0.9149259924888611, 0.5388334393501282, -0.7796450257301331, 1.15239417552948, -0.4312492907047272, 0.4804547131061554, -0.0037577631883323193, -0.23722761869430542, 0.1753881573677063, -0.3416663408279419, -0.4271427094936371, 1.1991220712661743, 0.8689031600952148, -0.6073640584945679, 0.11616495996713638, 1.9142365455627441, -1.1450425386428833, 1.60905921459198, 0.1974239945411682, -0.7603867650032043, -0.693874180316925, -1.0808472633361816, -0.06485684216022491, -0.010432514362037182, 1.2084461450576782, -0.9010456800460815, -1.3052830696105957, -0.12543383240699768, 1.2808754444122314, 0.6577393412590027, 0.46201997995376587, -0.5072460770606995, -0.9180205464363098, 0.0884491354227066, 0.6251678466796875, -1.8366930484771729, -0.45854899287223816, 0.49610286951065063, -0.8524967432022095, -0.19241304695606232, 0.47062209248542786, -0.7075293660163879, 0.01930052787065506, 0.7421151995658875, -2.1299924850463867, -1.8519654273986816, -0.789726972579956, -1.3833003044128418, -1.1265941858291626, 0.4917159378528595, -1.688096284866333, -1.05665123462677, -0.33759868144989014, -0.2788163125514984, -0.3783758282661438, -0.2296314239501953, -1.1831741333007812, -1.034787893295288, -0.8083778023719788, -0.4777121841907501, 0.8160690665245056, 0.8076881766319275, 0.5698519945144653, -0.4374706447124481, -0.7118549942970276, 2.338996648788452, -0.5139697194099426, -0.3140712380409241, -0.8430630564689636, -1.2868314981460571, 0.5520419478416443, 2.584942102432251, 0.4215601086616516, 2.558887481689453, -1.2483208179473877, -0.9826495051383972, 0.7373914122581482, 1.4831291437149048, 0.6203920245170593, 0.33499792218208313, -0.5796453952789307, -0.24162966012954712, -1.0534428358078003, 0.2707360088825226, -0.25277644395828247, 0.016658902168273926, -0.2014150619506836, 0.05029870569705963, 1.4853851795196533, 0.8810616731643677, 0.9759232997894287, 0.807918131351471, -1.3484669923782349, 1.1456364393234253, 0.31596988439559937, -0.4552096426486969, -0.18135374784469604, -0.3125359117984772, 0.744454026222229, -0.761397659778595, 1.7994297742843628, 0.6710255146026611, 1.5392361879348755, -0.8462389707565308, -1.374268651008606, -0.29935309290885925, -1.3537677526474, -0.9374998211860657, 0.966241180896759, 2.2905983924865723, 0.4570314586162567, 1.168905258178711, -0.22257985174655914, 1.2293546199798584, -0.0368775799870491, -0.1774366796016693, 1.5478016138076782, 1.0848923921585083, -0.38529422879219055, -0.5037281513214111, 0.9991070628166199, -0.715931236743927, 0.28394055366516113, 0.5939877033233643, 0.31532949209213257, 0.27048054337501526, 0.9632524251937866, -1.2189302444458008, -0.06929556280374527, 0.061022937297821045, 1.4769864082336426, -1.1677722930908203, 0.43188926577568054, 0.7582098245620728, 0.26907673478126526, -0.16138136386871338, 1.1750508546829224, 0.5351220369338989, 1.225508689880371, 0.9085782766342163, 0.16776901483535767, -0.009964917786419392, -0.9104782342910767, 0.3974350690841675, -0.5543625354766846, 1.281534194946289, 2.018014669418335, -0.44383805990219116, -0.7552081942558289, -1.7850168943405151, -0.07548937201499939, -0.5207358598709106, -1.048349142074585, 0.8424208760261536, -0.9976255297660828, 0.7335740327835083, 1.2276335954666138, -0.7577790021896362, 1.622873306274414, 1.292340874671936, -0.26265472173690796, -0.8315040469169617, -0.4278397262096405, -0.527760922908783, -1.798736572265625, -0.07677789032459259, 0.781619668006897, 0.13910506665706635, -1.7339553833007812, 0.7391383647918701, 1.4115384817123413, 0.38143935799598694, -0.051372598856687546, 0.867921769618988, -1.1712745428085327, 1.219971776008606, -0.9404910206794739, 0.6608859300613403, 0.822035551071167, 0.38992002606391907, -0.49170032143592834, -1.689696192741394, 0.05627693980932236, -0.2405131459236145, 0.9160073399543762, 0.7348390221595764, -0.6902630925178528, -0.9186923503875732, -0.6866548657417297, -0.10339432954788208, -0.32694968581199646, 1.2450132369995117, 0.6069359183311462, -0.0937698483467102, 0.38322561979293823, 1.3406338691711426, -0.43185070157051086, -1.897344708442688, -2.652250289916992, -0.43282368779182434, 0.932184100151062, 0.4349152445793152, -0.7768413424491882, -0.19172848761081696, 1.1136181354522705, -1.214506983757019, 2.1966660022735596, 0.41227126121520996, -0.7043505311012268, 0.09847358614206314, 1.0420149564743042, 1.154740810394287, 0.31027355790138245, -0.6142987608909607, 1.667606234550476, 0.014784318394958973, -1.3251757621765137, -0.8346104025840759, -0.2093493789434433, 0.2113834023475647, -0.15933676064014435, 1.438138484954834, -0.8965448141098022, 0.4655975103378296, -0.7802034020423889, -0.7512221336364746, 0.49976596236228943, 0.9063552021980286, -0.273310124874115, -0.8444989919662476, 0.06740748137235641, -1.1771124601364136, -2.319305896759033, 1.0881538391113281, 1.7532049417495728, -1.352665662765503, -1.717094898223877, 0.539482057094574, -0.4647720456123352, 0.15511354804039001, -0.933184802532196, 2.0511553287506104, -0.004049783106893301, 2.6462395191192627, 1.7450222969055176, 0.2888743579387665, 1.1111222505569458, 1.1171294450759888, -0.7966887354850769, 1.0229419469833374, -1.0742470026016235, -1.4498995542526245, -0.5231860280036926, 0.3438241481781006, 0.20375612378120422, -1.4713748693466187, 0.19777953624725342, -0.3222813904285431, 1.8926005363464355, 0.6903649568557739, 1.4352598190307617, 1.0864993333816528, -0.3989373445510864, -2.0487279891967773, -1.1373023986816406, 0.9046337604522705, 0.5279921293258667, -0.5005130171775818, 0.0378837063908577, -1.0129538774490356, 0.7843693494796753, 1.3280545473098755, -0.5138816833496094, -0.04832516983151436, -0.20733071863651276, 0.41877850890159607, 1.7955039739608765, -0.6994057297706604, -0.4367755055427551, 0.34429505467414856, -0.5315167307853699, -1.7878962755203247, 0.5759621858596802, -0.37107211351394653, -0.02148612029850483, -0.3246121108531952, 0.7541995644569397, -1.7302361726760864, -0.6404775977134705, -0.024382900446653366, 1.7320348024368286, 0.39241987466812134, -0.3704788386821747, 0.8811249136924744, 0.537391722202301, -0.48424550890922546, -1.1632671356201172, 0.3275741636753082, -1.6853573322296143, -1.058225393295288, 1.4543863534927368, -0.2975543737411499, -0.8741039633750916, -1.0332050323486328, -0.41522952914237976, 1.5585501194000244, 0.5210180282592773, 1.036444902420044, 0.2102498859167099, -1.1502959728240967, -0.25832024216651917, 0.2814403772354126, -0.5159256458282471, 1.522001028060913, -0.4228188693523407, 0.5675636529922485, 0.47951194643974304, -0.9628922939300537, 0.16651970148086548, 0.17922191321849823, -0.6149380207061768, 0.884855329990387, -0.8721303939819336, -1.3769567012786865, -1.8487751483917236, 0.30561649799346924, -0.42448726296424866, 0.6269863247871399, -0.6438542604446411, 3.0598578453063965, 0.8688741326332092, 0.7331935167312622, 1.7552188634872437, -0.24250611662864685, 1.9880743026733398, -1.1370364427566528, -2.2499585151672363, -0.5810695886611938, -0.7490391731262207, -2.1543679237365723, -0.15692853927612305, 0.09731115400791168, 0.2538682818412781, 1.5049229860305786, 1.313053011894226, -0.7395356297492981, -1.813647985458374, -0.2881675958633423, -0.4023030996322632, 0.7287482619285583, -0.49943476915359497, 0.7028453350067139, 0.34216001629829407, -1.3413481712341309, 2.5905730724334717, -0.14419972896575928, -0.47694188356399536, -0.2289033830165863, 0.3704476058483124, 1.024267315864563, 0.35092905163764954, -0.11602573096752167, -0.5128945112228394, 0.8613727688789368, 2.617750883102417, 0.8522545695304871, 0.3442921042442322, -0.21941426396369934, 1.6952100992202759, 0.7312605381011963, -0.7095723152160645, 1.5105708837509155, 0.9143079519271851, -1.2950124740600586, 0.9506115317344666, -1.3415539264678955, 0.9584245681762695, -1.834415316581726, -0.5945284366607666, -1.6709949970245361, -1.3442386388778687, -0.7921901941299438, 0.1253465712070465, -0.9972168207168579, 0.9316890239715576, -1.098996639251709, 0.8816671967506409, -2.0325005054473877, -0.35224223136901855, 0.1006089523434639, 1.5255436897277832, -1.6215003728866577, 0.5298449397087097, -1.3718810081481934, -0.6298099756240845, -0.65668123960495, 0.4244276285171509, -0.40122607350349426, -0.4890124797821045, 0.9571828246116638, -0.8436367511749268, -0.3680597245693207, 0.41704463958740234, -0.8712550401687622, -0.16223306953907013, -0.9481205940246582, -0.6534963846206665, -0.3585118353366852, -0.817564845085144, 0.3736099898815155, 0.9122175574302673, 0.6781302690505981, 0.055852312594652176, -1.3394618034362793, -0.30016884207725525, 0.15999306738376617, -0.6769115328788757, 0.7504494786262512, -2.2600386142730713, -1.3617544174194336, 1.5765711069107056, 0.1465187966823578, 1.8045871257781982, 1.3033205270767212, 0.18405571579933167, -1.9564915895462036, 0.1487964242696762, -0.9366428256034851, -0.950509250164032, -0.2993629574775696, -0.6517760157585144, 0.2768969237804413, -0.006574048660695553, -1.8791542053222656, -0.8827964067459106, -0.03510960936546326, 0.33106282353401184, -1.535096526145935, 1.5791680812835693, 1.562730073928833, 1.0339767932891846, -0.644834041595459, -0.7956817150115967, 0.4747835099697113, 0.6450149416923523, -2.4694929122924805, -1.2703917026519775, 1.4028544425964355, 0.39471593499183655, -0.2914227247238159, -0.47244831919670105, -0.6993737816810608, 0.4523862302303314, -1.090578317642212, 0.9411556720733643, -1.931666612625122, 0.1285097301006317, -1.0134315490722656, 0.7479439973831177, 0.20112790167331696, -0.8995627760887146, -0.2906798720359802, 0.1047126054763794, -0.5943686366081238, -1.727795124053955, 1.0557186603546143, 0.216787651181221, 0.23502039909362793, 1.8141969442367554, -1.5329066514968872, -0.3652367889881134, -1.5126714706420898, 0.7930607199668884, -1.0631688833236694, 0.9984860420227051, 1.037604570388794, -0.04009038954973221, -1.5908479690551758, 0.30711954832077026, 1.1175891160964966, -1.345697283744812, 1.0572247505187988, -1.5537861585617065, -0.9000288248062134, 1.180068850517273, -1.0849788188934326, 0.6662015318870544, -0.7253139615058899, 1.3528261184692383, -0.1364641636610031, 1.1062331199645996, -0.2775273025035858, -0.7301269769668579, -0.9058868288993835, 0.3395512104034424, 0.954081654548645, -0.042208630591630936, 0.05911993607878685, -0.04415971040725708, 0.6573874354362488, -1.8288997411727905, 0.515746533870697, -2.1813249588012695, -0.7443293333053589, 0.4601408839225769, 1.2225193977355957, -2.047572374343872, 1.09447181224823, 0.42030036449432373, -0.6366481781005859, -2.1695642471313477, 1.1429638862609863, 0.8955739736557007, 1.6797635555267334, -0.5037193298339844, -1.5121967792510986, -0.14455023407936096, -0.7709440588951111, 1.3850905895233154, 0.06768468767404556, -2.205592393875122, -0.9360390901565552, 1.6600853204727173, -0.551665186882019, -0.3103943169116974, -0.6468674540519714, -0.22681531310081482, -0.3377947509288788, 0.6926270723342896, -0.5544835925102234, 1.3808448314666748, -0.366502046585083, -0.08894097805023193, -0.662988007068634, -0.291555255651474, 0.10108345001935959, 1.0123193264007568, -0.815822958946228, -0.18586060404777527, -0.7641056776046753, -0.3749435842037201, -0.8628830313682556, -0.8098255395889282, -0.21431559324264526, -1.1151552200317383, 0.14144384860992432, -0.3268890678882599, 0.8507034778594971, -0.18483993411064148, -1.1319291591644287, -0.03408394008874893, 1.5095572471618652, -0.11943084001541138, 1.4642930030822754, 0.8833335041999817, 1.1377960443496704, 0.7793198823928833, 0.07684005051851273, -1.4407286643981934, 0.5401078462600708, -0.13687263429164886, -0.4707719087600708, -0.6834523677825928, 0.8514192700386047, -0.8422428369522095, -1.0746608972549438, -0.9010616540908813, -0.6362974643707275, -1.087014079093933, -0.028106562793254852, -0.1531383991241455, 1.0988678932189941, -0.03311381861567497, -0.892038881778717, -1.3073756694793701, 0.14662222564220428, 0.8670119643211365, 3.2090775966644287, -0.10487986356019974, -0.12251695245504379, -0.10804381966590881, -1.4534186124801636, -0.5115614533424377, 0.9907002449035645, 0.7906493544578552, -0.34170281887054443, -1.8583146333694458, 0.6896669268608093, 0.8880993127822876, 1.2050648927688599, -0.5951094031333923, -0.3993092179298401, -0.30013880133628845, -0.014673005789518356, -0.17559614777565002, -0.7265163660049438, -0.5217191576957703, 0.37568187713623047, 0.5935606956481934, 0.20674307644367218, 1.4827877283096313, 0.9587778449058533, -0.3417870104312897, -1.0058722496032715, -1.0774322748184204, -2.2288825511932373, 0.8113047480583191, 0.13623955845832825, -0.30091696977615356, -0.2654571533203125, -0.23642085492610931, 2.775019645690918, -0.048772864043712616, 0.9577808976173401, -0.12049184739589691, -0.008940046653151512, -1.624023199081421, -0.5534864068031311, 0.8154556751251221, -0.03924920782446861, 1.350463628768921, -0.04840381070971489, -0.7114460468292236, -0.7299661040306091, -1.4113084077835083, 0.34884995222091675, -1.3412030935287476, 0.3424357771873474, 0.19632267951965332, -0.1660011112689972, -0.3333166837692261, 1.0084987878799438, 0.9129180312156677, 0.5135545134544373, -0.8764104843139648, -1.4057948589324951, -0.6906246542930603, 0.3960992097854614, -0.2924385964870453, 0.3751489818096161, -1.1075292825698853, 0.37509971857070923, 0.6156877279281616, -0.9164139032363892, -0.06423450261354446, -0.33351314067840576, 0.5679208636283875, 0.5063413977622986, 1.8924111127853394, -0.7531763911247253, 1.0589748620986938, -0.010384922847151756, 0.41770482063293457, 0.16313597559928894, -0.26724591851234436, 0.1958005577325821, 0.8279790282249451, -0.7302268147468567, -1.8403681516647339, 0.1881687343120575, -1.4522165060043335, 1.4667081832885742, 0.9349684119224548, 0.25753054022789, 0.9158172607421875, -0.5319824814796448, 0.6224501132965088, 0.12310407310724258, -0.17781615257263184, 2.9330546855926514, 0.4627670347690582, -0.6264359354972839, -1.3771589994430542, -1.6635388135910034, -0.43344026803970337, 2.270435094833374, 2.0011656284332275, -2.1169581413269043, 0.3513871729373932, -0.9149423837661743, -0.28648310899734497, 1.87372624874115, 0.07205427438020706, 0.0007797196158207953, -0.14003179967403412, 0.9105306267738342, -1.0649497509002686, 0.45754849910736084, 0.15436618030071259, -0.744165301322937, -1.4790199995040894, 0.5692160725593567, -1.146249532699585, -1.904903769493103, 0.9906712174415588, -0.9967307448387146, -2.3042960166931152, -1.8701173067092896, 1.6571006774902344, -0.5442659258842468, 0.3686337471008301, -0.17834435403347015, -0.7094868421554565, 0.24787208437919617, 0.7445037364959717, 1.5205289125442505, 0.4149245321750641, 2.0801644325256348, 0.1493149846792221, -0.4159306585788727, 1.2188113927841187, 0.8605815768241882, 0.8988009691238403, -0.4320070743560791, -0.011584565043449402, 0.1905190795660019, 0.45351630449295044, -0.2722189724445343, 0.4377744197845459, 0.6674123406410217, -0.8114345669746399, -1.1677125692367554, -0.2668311595916748, -1.2189315557479858, 0.14258776605129242, -0.31570670008659363, 1.423683524131775, 1.0125263929367065, -0.7147458791732788, 0.3446122407913208, 0.8802022337913513, -0.7164220213890076, -1.3230516910552979, 1.0481867790222168, -1.7053356170654297, 0.600459098815918, 1.8295810222625732, -0.340763121843338, -0.51121985912323, 0.23932333290576935, 0.9544809460639954, 0.16341786086559296, -0.30999821424484253, 0.15972714126110077, 0.8302736878395081, -1.421786904335022, -0.09449474513530731, 0.07646692544221878, 0.15851546823978424, -0.38410186767578125, -1.4129188060760498, -1.0182603597640991, 0.4253365993499756, 0.98757004737854, -1.3338558673858643, -2.0718472003936768, -1.8923321962356567, 2.419602632522583, -0.24423770606517792, -0.2663561701774597, -0.6755290031433105, -1.124692440032959, 1.8602604866027832, 0.6256695985794067, 0.28849464654922485, 0.8962987065315247, 0.8840014338493347, 0.4107360541820526, -1.6067783832550049, 1.4667541980743408, 1.4608654975891113, -1.6388529539108276, 0.9854339957237244, 0.01134670153260231, 0.3140886127948761, 1.081308126449585, 0.1636349856853485, -1.8501884937286377, -0.6727942824363708, -1.8205945491790771, -0.16954289376735687, -0.974631667137146, -0.5593003630638123, -0.2645290195941925, 0.2448410987854004, 0.4654839038848877, 0.34682390093803406, -0.1821630895137787, -0.12917080521583557, 0.7775542140007019, 0.3030397295951843, -0.8394461274147034, 0.4900873601436615, 0.9002130031585693, -1.4860044717788696, 0.9374179840087891, -0.5453174114227295, 0.485347181558609, 0.6994611024856567, -0.28342336416244507, -0.11592065542936325, 0.22056539356708527, 1.1435766220092773, 0.042469993233680725, -0.12866179645061493, -0.3201303780078888, 1.3020575046539307, 0.05820586159825325, -1.411534309387207, -0.5787767171859741, -1.1051533222198486, 1.3300304412841797, -0.41862916946411133, -0.43831324577331543, 1.0452755689620972, 0.7565826773643494, 1.3442822694778442, -0.005769374314695597, -1.643362045288086, -0.7528584599494934, -0.8185959458351135, 0.02291426621377468, -0.7729488015174866, -0.039141006767749786, 0.30586403608322144, -0.2691587805747986, -0.21813122928142548, 0.3616786003112793, -1.017099380493164, 0.7204318046569824, -0.10523297637701035, 1.8706086874008179, 0.8992230892181396, -0.23518913984298706, 1.1221051216125488, -1.2755296230316162, 1.968849539756775, 1.1931818723678589, -0.9534568786621094, 0.6892605423927307, -0.03748741000890732, -1.3567429780960083, -0.930943489074707, -0.4104326069355011, 1.6298463344573975, -0.3964575529098511, -0.8092941045761108, -0.8157675862312317, -0.1790880262851715, -0.7333499789237976, -0.8155755400657654, 1.1422392129898071, 0.16099725663661957, 1.1248847246170044, 0.09503551572561264, 0.6680463552474976, 0.22494570910930634, -0.8516902327537537, 0.4524131119251251, -0.7356429100036621, 1.461809754371643, 0.056686531752347946, -0.6240271329879761, -0.3087240159511566, 0.18612052500247955, 0.026730623096227646, 1.3893702030181885, -1.459441065788269, 0.7733556032180786, 1.281721591949463, 1.922197699546814, 1.5146862268447876, -0.9400398135185242, 1.7596426010131836, 0.4703059196472168, -1.1514455080032349, -0.6767635941505432, 0.9793415665626526, 0.7851172089576721, 0.9229853749275208, -1.3558963537216187, -0.4045674800872803, -0.6936088800430298, 0.38842713832855225, -0.9864745140075684, 0.24743980169296265, 1.3209456205368042, 0.5116807222366333, 0.20717298984527588, 0.423617959022522, 0.8553113341331482, -0.7523386478424072, -0.7315530180931091, -0.34988582134246826, 0.14683414995670319, 0.337516725063324, -0.5604003667831421, -0.3334929347038269, 2.0713958740234375, 0.26833969354629517, -0.4909171462059021, 0.0867118388414383, -0.19213901460170746, -0.020139964297413826, 1.3420833349227905, 0.8771296143531799, 0.9378947615623474, 0.023886969313025475, 1.5275574922561646, -0.08036366105079651, -0.9117053151130676, -1.1944957971572876, 0.9197462201118469, 0.28947049379348755, -0.8790956139564514, 1.3807705640792847, -0.6990379691123962, -1.2263665199279785, -1.9690755605697632, 2.3512303829193115, 0.35396817326545715, -0.10606183111667633, -2.408507823944092, -1.2640177011489868, -0.4252816140651703, 0.24079595506191254, -0.24080689251422882, -0.6830735802650452, 0.28334593772888184, -0.7549530863761902, -0.022665904834866524, 0.04516039043664932, -0.0492362417280674, 0.688543975353241, -0.6404033303260803, -0.8518562912940979, 0.5739071369171143, -1.0256696939468384, 0.5530444383621216, 1.2585986852645874, 0.23174597322940826, -1.7316545248031616, -0.300735741853714, 0.8933703303337097, -0.2885432839393616, -0.7255284190177917, 0.46565955877304077, -0.831680178642273, -0.8464822769165039, -0.38647857308387756, -0.1513155996799469, 0.8186390399932861, -0.25432348251342773, -0.47535333037376404, -0.780207633972168, 0.778557300567627, 0.0986286848783493, -0.754118800163269, 0.9054679274559021, -1.1227487325668335, 0.2190994918346405, -0.09212681651115417, 0.5755492448806763, -1.0247280597686768, 0.19418835639953613, 1.5901795625686646, -0.7810332179069519, 0.18075843155384064, 0.5682910680770874, -1.3145383596420288, -0.037264980375766754, -1.1747896671295166, -0.5241218209266663, 0.8552600145339966, 0.7151663303375244, 0.854972243309021, 0.6970289349555969, -0.7869400978088379, 0.685922384262085, -0.28879889845848083, 0.16147172451019287, -0.18717071413993835, 0.7061312794685364, 0.12637053430080414, -0.2261367291212082, -0.40762385725975037, -0.6097135543823242, -1.347667932510376, 0.8310710787773132, 0.23480524122714996, 0.23941050469875336, -0.15233780443668365, 1.35030198097229, 0.3163374066352844, 0.17296810448169708, -1.597967267036438, -0.8826010227203369, -0.7285105586051941, 1.0816147327423096, 0.689936101436615, 0.1465923935174942, -0.2598642110824585, 1.2287657260894775, 0.4021441638469696, 0.29235512018203735, -1.4843645095825195, -1.288714051246643, 0.8229716420173645, -1.8816033601760864, -0.24393519759178162, 1.8318346738815308, -0.5303875803947449, -0.8198762536048889, -0.8232145309448242, 0.5506033301353455, -1.1416276693344116, 1.8735969066619873, 1.3694992065429688, -1.257182240486145, -1.6220338344573975, -0.5663302540779114, 0.6658836007118225, 0.25084203481674194, 0.7626033425331116, -0.9630534052848816, -0.5952125191688538, -0.22646142542362213, 0.8945121765136719, -0.07112658768892288, 0.19750215113162994, -0.08805552124977112, 0.46580448746681213, 0.745457112789154, -0.6745474338531494, -0.8672813177108765, -2.0061123371124268, 2.204427480697632, -0.336713045835495, -0.07664714008569717, 0.6008157134056091, 0.7986276745796204, -1.3824840784072876, -0.686985969543457, 0.31536293029785156, -1.2173928022384644, -0.23235148191452026, -0.11819366365671158, -0.7065277099609375, 0.8570377826690674, -0.6065717935562134, -2.5028295516967773, -0.5181497931480408, -0.3955882489681244, -0.007207863032817841, -1.8654048442840576, -1.2592604160308838, 0.44495517015457153, 1.5878770351409912, 1.1026350259780884, 0.12240499258041382, -0.6282588839530945, -0.6169579029083252, 0.8369725942611694, -0.21276021003723145, -1.037753939628601, -0.5319015383720398, -1.4479600191116333, -0.7402247190475464, -1.9904698133468628, -0.8090559244155884, -2.649178981781006, 0.6452047228813171, 1.6631805896759033, -0.6097846031188965, -0.5548334121704102, 1.2073006629943848, -0.726753830909729, -0.25774624943733215, 0.7335841059684753, -0.6472665667533875, 0.4566407799720764, -0.506052553653717, -0.07941094785928726, 0.226389080286026, 1.392283320426941, -0.1649594008922577, -0.8620851635932922, 1.041149377822876, -0.18962401151657104, 2.0505990982055664, -0.3528830111026764, 0.9141533374786377, 0.5920729637145996, 0.7283052206039429, 0.5198004245758057, 0.40039631724357605, -0.16163653135299683, 0.3812867999076843, 0.2818247973918915, -0.259854257106781, -0.17636136710643768, 1.2899055480957031, -1.809929609298706, 0.04499081149697304, -0.5114196538925171, 1.6922872066497803, -1.009257197380066, 2.5517168045043945, 0.27151748538017273, -0.45277684926986694, 0.7783017754554749, 0.5624421238899231, -1.7339200973510742, 0.1151348203420639, 0.5969645380973816, 1.4009592533111572, 1.26650869846344, 0.5665708780288696, 1.4182316064834595, 0.8785027265548706, 1.713185429573059, 0.5062318444252014, -0.5651611685752869, -0.09456146508455276, 0.2765144407749176, 0.2960791289806366, 1.2084519863128662, -2.0801830291748047, 0.8232543468475342, -0.5484461784362793, 0.34564146399497986, 1.220015525817871, -0.43524736166000366, -1.7363234758377075, -1.441352128982544, 1.4536579847335815, 1.2042756080627441, -0.9513401389122009, -2.2949159145355225, -0.8339797258377075, 2.1567959785461426, 1.0796829462051392, -0.815654456615448, 0.7114473581314087, -0.24212278425693512, 0.9910399317741394, 0.551307737827301, 0.6295360326766968, 0.1759207546710968, 1.6339221000671387, 1.2599411010742188, -0.6335592269897461, -0.8656108379364014, 0.2853967845439911, -0.5404288172721863, -0.06902796775102615, -0.900188148021698, 0.16361606121063232, -0.5697844624519348, -0.17199715971946716, 1.9494951963424683, -1.5725066661834717, -0.11967476457357407, 0.6768812537193298, -2.550161600112915, 1.3886760473251343, 2.071373224258423, -0.4157007336616516, 1.7397890090942383, -1.5081530809402466, 0.9417456984519958, 1.1770522594451904, -0.8855880498886108, -0.897435188293457, -0.4500139355659485, -1.2818336486816406, -0.09055130183696747, 1.568543553352356, 0.6288840770721436, -0.13983508944511414, -0.8341673016548157, -1.0642942190170288, -0.4966285824775696, -0.8965734243392944, 0.2338687777519226, 0.13322870433330536, 1.7534879446029663, -1.5643774271011353, 0.2642883360385895, 0.5893656611442566, -0.6958070397377014, -0.9822604656219482, 0.9073857069015503, 0.13120052218437195, 0.3665435016155243, 0.8943644165992737, 0.990159809589386, 1.0685539245605469, 0.88179612159729, 0.11932405829429626, -1.6899914741516113, -0.6570671200752258, -1.4132448434829712, 1.7862261533737183, -0.18690960109233856, 0.45671215653419495, 0.5091333985328674, 0.12447594106197357, -0.7764351963996887, -0.9075336456298828, 1.6591986417770386, -0.9774589538574219, -1.437660813331604, -1.0792182683944702, -1.0316091775894165, 0.4912571609020233, -0.9918735027313232, 0.37739869952201843, -0.9471526145935059, 0.4156656265258789, -0.7409192323684692, -2.0307180881500244, 0.7459039092063904, -0.23346112668514252, -1.7168304920196533, -1.5648266077041626, 1.1608803272247314, -1.7281652688980103, 0.6240199208259583, 0.19563332200050354, 0.9567276835441589, 0.9916862845420837, -0.7535449862480164, 1.3851630687713623, -1.087693452835083, -0.6877674460411072, -0.034093379974365234, -1.2961610555648804, 1.018326997756958, 2.094313621520996, 0.22312399744987488, 0.04033441096544266, -0.11042066663503647, 1.209588646888733, 0.8461918830871582, 1.0998334884643555, -2.8670525550842285, 0.43270260095596313, 2.3624584674835205, -0.9460180401802063, 1.6827791929244995, 0.4652342200279236, -0.9479421973228455, -0.527360200881958, -0.17596317827701569, 1.684232473373413, -0.005598508287221193, -1.2838374376296997, 0.16975225508213043, 0.8452434539794922, 0.28028908371925354, 0.920665442943573, 1.9825818538665771, -1.0555790662765503, -0.7873882055282593, -0.31694626808166504, -0.09308940172195435, -0.3923634886741638, -1.9273265600204468, -0.9188506603240967, 1.127413034439087, 1.4187533855438232, -0.30123433470726013, -1.6901737451553345, 0.9281692504882812, -1.123509168624878, -1.0524919033050537, -0.4467827379703522, 0.523188054561615, -0.3387533724308014, -1.7577482461929321, -0.6524283289909363, 0.8076578974723816, 0.4444909691810608, 0.12085723131895065, 0.794389545917511, -0.2691969871520996, 1.6320013999938965, 1.4558833837509155, 0.8513883352279663, 1.305290937423706, 0.8631715178489685, -0.5379660725593567, 0.5391438603401184, -0.18788452446460724, -1.6509469747543335, 0.6196591854095459, -1.1270289421081543, 0.40504685044288635, 0.21921862661838531, -1.154799461364746, 1.519834041595459, -1.8380980491638184, 1.218536376953125, -0.1873958259820938, 1.0788236856460571, 0.14058129489421844, -0.9915911555290222, -1.5487273931503296, -1.3351367712020874, -1.668448805809021, -1.84798002243042, 0.02599930204451084, 0.7676464319229126, -0.1027197390794754, -1.1638461351394653, -0.17423255741596222, -0.9778326153755188, 0.07384445518255234, 0.2161293476819992, -2.4143142700195312, 0.6280608177185059, 0.604034423828125, -0.06171112880110741, -0.27243953943252563, 2.0528199672698975, -0.6635909676551819, 0.4586471915245056, -1.6872135400772095, 0.03186811879277229, -0.24884779751300812, -1.2434697151184082, 1.6212447881698608, 0.15123429894447327, 1.4580625295639038, 0.8593719601631165, 0.4116728901863098, -0.3829646706581116, 0.20710650086402893, -0.845027506351471, 0.2616454064846039, -0.790607750415802, -0.35345759987831116, -0.4279586672782898, 1.68895423412323, 1.2128044366836548, -0.08517079800367355, 1.0406969785690308, -0.5241701006889343, -0.5131880044937134, 0.4295358955860138, 1.8150509595870972, -1.150861382484436, -0.30584630370140076, 0.8076725602149963, 0.7956660985946655, 0.2235465794801712, -0.05132210999727249, 0.7380313277244568, 1.5020791292190552, 0.8205595016479492, -0.056694693863391876, -1.9874215126037598, 0.9982309937477112, 0.003224122803658247, 0.07818755507469177, -1.0705227851867676, -1.0210168361663818, 1.7321865558624268, 0.5420076847076416, 0.3053724765777588, 1.1364459991455078, 0.6844477653503418, 0.2691081464290619, 0.8210340738296509, 0.27511492371559143, -0.38933637738227844, -0.20226091146469116, 2.094301462173462, -0.38392743468284607, 0.5313023328781128, 2.5650343894958496, -0.06663789600133896, -0.08388976007699966, 0.5069190263748169, -0.9045271277427673, -0.13790902495384216, 1.3361674547195435, 1.065782904624939, 0.9382249712944031, -0.5762946009635925, 0.26595842838287354, -0.515076756477356, 1.8256999254226685, 0.9204495549201965, 0.047616202384233475, 0.7502005696296692, -1.6819013357162476, -0.036870624870061874, -0.05854296684265137, 0.6686491370201111, -0.4365732967853546, -2.3380324840545654, 1.8583958148956299, -1.7244455814361572, -0.2750453054904938, 0.4532167315483093, 1.2953895330429077, 0.058747299015522, -0.8122621774673462, -0.17149198055267334, 0.9732901453971863, -0.9835246801376343, 0.1294081211090088, -1.1072022914886475, -0.1295740306377411, 1.005579948425293, -1.3748044967651367, 1.197999119758606, -2.2044677734375, -1.1458145380020142, -1.4537255764007568, -0.2384955883026123, -1.6215006113052368, -0.3137211501598358, -0.7217759490013123, -0.19409379363059998, -0.07234596461057663, -0.7745715975761414, 0.2601335644721985, 1.2001798152923584, -1.078745722770691, 1.2962092161178589, -0.18015773594379425, -1.9301296472549438, 0.28462305665016174, 0.7962566614151001, -0.26019519567489624, 0.30697566270828247, -0.7991265654563904, 0.6080788373947144, -0.8028495907783508, -0.057207878679037094, -0.2434438019990921, 0.9149488806724548, -2.118633508682251, -1.2563103437423706, 1.8411842584609985, 0.8629192113876343, -1.979547142982483, 0.4109382629394531, 0.054416440427303314, 0.24019105732440948, -0.3381706476211548, -1.1024436950683594, 0.2338137924671173, -0.4720325171947479, -1.3284554481506348, 1.9493451118469238, 0.7995834350585938, -0.010960266925394535, -0.13873475790023804, -1.9486329555511475, -0.7052865028381348, -0.9286441802978516, 0.5382292866706848, -1.931458592414856, 0.17587143182754517, 1.2172441482543945, 0.9002020955085754, 1.1507850885391235, 0.027491796761751175, -1.4959322214126587, -0.18092377483844757, -1.6709321737289429, 0.3768741488456726, -1.8265146017074585, 0.48899734020233154, -0.5580688714981079, 0.37294480204582214, -0.6878536939620972, -1.4576401710510254, -0.6108043789863586, -0.6761788725852966, -1.9144877195358276, 0.9340372085571289, -0.4341937303543091, 1.4776188135147095, 0.7693286538124084, 2.331334352493286, -0.46709370613098145, 0.5347719192504883, 1.8560413122177124, -0.11687781661748886, 0.6693155169487, -0.06095214933156967, -0.1428632140159607, -1.3090907335281372, 0.03258459270000458, 1.4419121742248535, -0.6330651044845581, -0.03063541278243065, 1.5843851566314697, -0.467591792345047, -0.03230065852403641, 0.7200000286102295, 0.5184157490730286, -0.48354241251945496, 1.3702332973480225, 0.18823130428791046, -1.2953920364379883, -0.13154013454914093, 0.08959710597991943, -0.44053706526756287, 0.20576980710029602, -1.0061860084533691, 1.1124646663665771, -0.7451666593551636, -0.9709926247596741, -0.005489187315106392, 0.8581770658493042, 0.8032210469245911, 0.905379056930542, 1.720672845840454, 0.3225809633731842, 0.5499839782714844, -0.6421884298324585, 0.22866190969944, -0.5370370149612427, 0.5335260629653931, -1.0596036911010742, -2.2213568687438965, 0.7067712545394897, -0.21388542652130127, -0.4895268678665161, 0.5013054013252258, -0.9222452044487, 0.15941572189331055, -0.6489352583885193, 0.4903559982776642, -0.2804304361343384, 0.941003680229187, -0.11338216066360474, -0.8615462183952332, 0.13828350603580475, 0.013346405699849129, 0.33505237102508545, -0.24309676885604858, -0.6505504250526428, -0.33003056049346924, 1.3748613595962524, -1.033202886581421, 0.05953902378678322, -0.2076457291841507, -0.988913357257843, -1.0607333183288574, 0.7062268257141113, -0.2287754863500595, -0.030369358137249947, 0.7900131344795227, -0.22298280894756317, 1.508110761642456, 0.599722146987915, -0.33899757266044617, 0.1548950970172882, -0.2794382572174072, 0.8708680272102356, 0.7626234889030457, 0.6961768865585327, 0.44085901975631714, -0.15868736803531647, 1.6983613967895508, -0.055956143885850906, -0.45469725131988525, 1.2942347526550293, 0.1953326016664505, -1.775277853012085, 1.508985161781311, -0.47618457674980164, 1.1132714748382568, 2.190094470977783, 0.3530663549900055, 0.4473947584629059, 0.7191661596298218, -1.9299876689910889, 0.9975112676620483, -1.377753734588623, 1.15412437915802, -1.092998743057251, -0.8713886141777039, 0.22347310185432434, 0.2528358995914459, 0.21688957512378693, 0.4699849486351013, 0.3267545700073242, -0.09539508819580078, -0.18269990384578705, 0.3382812440395355, -0.6900221109390259, 0.047023314982652664, 0.5487117171287537, -1.9950600862503052, -0.9487320184707642, -0.2869058847427368, -0.902455747127533, -1.5298161506652832, -1.2186866998672485, 1.1458920240402222, 0.20151416957378387, -0.4259151816368103, -0.1506912112236023, -2.106266498565674, -0.6301612257957458, 1.2424033880233765, -0.025852564722299576, -0.540851891040802, -0.3480299413204193, -0.7818117141723633, -0.4885202944278717, 0.7180350422859192, 1.8486416339874268, -2.431525230407715, -0.4701055586338043, 0.4380730092525482, 0.7702670693397522, -0.14850954711437225, 0.24941392242908478, 0.539443850517273, 0.058982912451028824, 0.28753769397735596, -0.6253249645233154, 1.5168472528457642, -0.610085129737854, -1.8375158309936523, 1.1845413446426392, 1.3882135152816772, 0.1371254324913025, -0.84199458360672, -1.6440988779067993, -0.06394191086292267, -0.201401948928833, 0.2995571792125702, -1.3531256914138794, 0.8332006335258484, -0.1304839849472046, 0.5836395621299744, -0.38578328490257263, -1.6579194068908691, -0.2272949367761612, 0.6312239170074463, -0.1581975668668747, -0.1996922492980957, 0.46645262837409973, 0.5603392124176025, 0.9167394638061523, -1.983751893043518, -0.015853818506002426, 0.033756233751773834, -1.4661213159561157, -0.32681238651275635, -0.5929558873176575, 0.8652521371841431, 0.4256573021411896, -0.2335444986820221, -0.7175306677818298, -2.244840621948242, 0.5524802803993225, 0.8857057690620422, -1.3390332460403442, -0.40026965737342834, 0.7468986511230469, -0.3904872536659241, 0.7475174069404602, -0.4455646276473999, 1.0798193216323853, 2.6205930709838867, 0.6864228844642639, 1.5354714393615723, -0.1950068324804306, -1.4005751609802246, 0.4377126097679138, -0.05925627797842026, 1.8140860795974731, 0.9514070153236389, -1.2033350467681885, -0.5142884254455566, -0.8533352017402649, -0.5986783504486084, -0.2986593544483185, -0.7190134525299072, -1.4356969594955444, 0.6340908408164978, -0.5407924652099609, 1.3264530897140503, 0.29634353518486023, 0.17156629264354706, 0.8127211332321167, -0.636906087398529, -1.346675992012024, 0.6521760821342468, -1.3508291244506836, 2.1090853214263916, -0.8325138688087463, -0.9411794543266296, -0.8664024472236633, 0.3974232077598572, 0.33468785881996155, 0.23106975853443146, -1.2114311456680298, -1.2591102123260498, -0.010216501541435719, 0.9779312610626221, 0.0748591348528862, -1.8819596767425537, 1.9783128499984741, 0.3803824186325073, 0.04183865338563919, 0.284446656703949, -2.161471128463745, -0.5391823053359985, 0.3041086494922638, -0.3503345549106598, 1.171104907989502, -0.8915714621543884, 1.7047383785247803, -1.7130810022354126, 1.0918960571289062, 1.9015947580337524, -0.2993949353694916, 0.2431759536266327, -0.552335798740387, -0.7325940728187561, 0.5038686990737915, -0.18185997009277344, -0.7621927261352539, -0.8477222323417664, 0.6641071438789368, 2.3913745880126953, 0.4669826030731201, 0.7512773275375366, -0.3845667541027069, -0.06630031019449234, 0.6945987939834595, -0.7470712065696716, 0.2076980471611023, -0.12689319252967834, -1.1077786684036255, 1.5005162954330444, 0.5292592644691467, -1.5582870244979858, -0.22035345435142517, -0.8878109455108643, -0.23165416717529297, -0.48117324709892273, -0.8784040212631226, 0.039074741303920746, 0.03500647097826004, -1.3603416681289673, -0.3714776337146759, -0.040006835013628006, 0.5846149921417236, 0.5806360840797424, 0.27785420417785645, 0.734195351600647, -0.37356483936309814, -0.395193487405777, -1.2448596954345703, -0.4259602427482605, -0.9261072874069214, 0.33485373854637146, 1.0248674154281616, -0.6913897395133972, -0.5325083136558533, -2.0061066150665283, 0.5374981760978699, 0.4246957302093506, -0.39820799231529236, 0.4305093586444855, -0.06963914632797241, -1.9186826944351196, -1.1858599185943604, 1.3959892988204956, 0.31790241599082947, 1.0485270023345947, -0.8889532089233398, -0.1494847685098648, 0.011521455831825733, -0.3648742437362671, -0.14563268423080444, 1.1415576934814453, 2.0192317962646484, -1.1988294124603271, -0.08969400823116302, 1.01350998878479, -0.5187065005302429, 0.8009016513824463, -0.7448427081108093, 1.9110214710235596, 0.3227183520793915, -0.5433307886123657, -2.0223824977874756, 0.7815577387809753, 1.8362489938735962, -0.47440794110298157, -0.38593149185180664, 0.36727604269981384, 0.344801127910614, 0.5554131865501404, 0.35217124223709106, 0.7673863768577576, 0.7450182437896729, 1.4870532751083374, -0.051454752683639526, 0.2527291476726532, 0.7950483560562134, 1.2641831636428833, -0.0403965562582016, -0.19124414026737213, -0.4378063976764679, -0.009368259459733963, 0.25679612159729004, -0.003075298387557268, -1.1646342277526855, -0.46010419726371765, 0.18153296411037445, 0.18499712646007538, 0.7205038070678711, -0.2832542359828949, 0.09373100101947784, 1.657375454902649, 1.129982829093933, 0.6332632303237915, 0.23538991808891296, 0.08460769802331924, 1.6273547410964966, 1.4943406581878662, 0.4516901969909668, 0.681415319442749, -2.738783597946167, -0.04374435544013977, -0.13210587203502655, -0.20210233330726624, -0.148199200630188, -0.20676261186599731, -0.4151966869831085, -0.5613080859184265, -1.5219647884368896, -0.6867799758911133, -1.207437515258789, 0.27414625883102417, 1.5931971073150635, 0.8239222168922424, 0.17494884133338928, 0.37811675667762756, -0.5250972509384155, 1.1867752075195312, 0.44894057512283325, 0.6020882725715637, 0.08270980417728424, 0.3816434144973755, -1.0023962259292603, -0.22075708210468292, -0.6525165438652039, -2.485137939453125, 0.614276111125946, 0.9891844391822815, -1.6560537815093994, 0.17567120492458344, 0.8857656121253967, 0.022398322820663452, -0.24084077775478363, 1.4238216876983643, 0.8160249590873718, 0.2655353844165802, -0.23162956535816193, 2.05483078956604, 0.4368106722831726, 1.1093194484710693, 1.684390902519226, -0.11415659636259079, 0.37000924348831177, 0.2512214779853821, 1.1637510061264038, -0.876596987247467, -0.6737048625946045, -0.652714192867279, -0.753913164138794, -0.10021805018186569, -2.3608896732330322, 2.2464849948883057, -1.3241872787475586, -0.1972821205854416, 0.29198724031448364, -1.2132630348205566, 0.7370628118515015, 0.9276220798492432, 0.6291629076004028, -0.23619088530540466, -0.02293848805129528, -2.780918836593628, -0.8419364094734192, -0.6721378564834595, 0.13255943357944489, -1.203613042831421, 1.333530068397522, -2.03551983833313, 0.27788910269737244, 0.3914108872413635, -0.669601321220398, -0.08302459120750427, 0.06917848438024521, -0.263455331325531, 0.7421362996101379, 0.2899683713912964, -0.4380805194377899, -1.3862485885620117, -0.7712535858154297, -0.3168620467185974, -1.6128871440887451, -0.9478936195373535, -0.37378421425819397, -0.8723973631858826, 0.744394838809967, -0.17409075796604156, -0.9177995324134827, -2.1325347423553467, -0.4131704568862915, -0.4764634966850281, 0.5031798481941223, 0.27043330669403076, -0.04328599572181702, 1.035592794418335, 1.341705560684204, -0.11890244483947754, -0.07687754184007645, 0.5947466492652893, 0.5723721385002136, 2.663830518722534, -1.1070212125778198, 1.026766061782837, 0.2865336239337921, -0.10950178653001785, 2.380542039871216, -0.41917189955711365, 0.6831162571907043, 1.7866971492767334, -0.022699790075421333, 0.7491136193275452, -1.1757766008377075, 0.2672518789768219, -0.22947388887405396, 0.5409282445907593, 0.6939815282821655, 1.8563321828842163, -0.7977822422981262, 1.0260933637619019, 1.1465468406677246, 0.008753135800361633, -0.30345869064331055, -1.0723257064819336, -0.13236935436725616, 1.0314196348190308, -0.8721564412117004, 0.18639108538627625, 1.7569290399551392, 1.2286990880966187, 0.5939821600914001, 0.6884946823120117, -0.5112751126289368, 0.8036488890647888, 1.5612282752990723, -0.5413697361946106, -0.9857931733131409, -0.6833561658859253, -0.3268856704235077, -0.8321549892425537, 1.9630519151687622, 0.5921814441680908, -0.6365259289741516, -0.1999572217464447, -2.1503798961639404, 0.12741230428218842, 0.3783285915851593, 1.793403148651123, 0.9434896111488342, -0.6723551750183105, 0.7305397391319275, 2.249568462371826, 0.1113693118095398, -2.8413753509521484, -0.8056257963180542, 1.4204752445220947, 0.8032902479171753, 0.7447513341903687, -1.5367748737335205, -1.0531069040298462, 0.06793759018182755, -1.4702606201171875, -1.713527798652649, 0.07275565713644028, -0.16361963748931885, -1.8104110956192017, 0.14328131079673767, 0.16073139011859894, 0.7221830487251282, -1.80058753490448, 1.4340767860412598, -0.46598315238952637, 0.6211212277412415, 0.017969880253076553, -0.43220916390419006, -0.34770816564559937, 0.9736779928207397, 1.176411509513855, -0.12562298774719238, 1.2133569717407227, 0.9353994131088257, -0.07802136987447739, -1.4647196531295776, -1.9571210145950317, 0.10173092782497406, -0.3440544009208679, 1.2444955110549927, 0.05703749507665634, 0.9481421709060669, 0.9635105729103088, 0.6931454539299011, 1.2281692028045654, 0.8122485280036926, -1.3509595394134521, -0.6231693625450134, 2.1779022216796875, 1.45980703830719, -0.9968166947364807, -0.08842547982931137, -0.35750848054885864, -1.7775923013687134, 0.029816044494509697, 0.36385661363601685, -0.7048423290252686, 0.7806423306465149, 1.2412779331207275, -1.2911436557769775, 0.6971865296363831, 0.5588057041168213, 0.4398609697818756, 0.674209713935852, -0.576367199420929, -0.8451021909713745, -0.570101797580719, -0.3745742738246918, 0.4300782084465027, -0.61285400390625, -1.132347822189331, -0.10009989887475967, 1.3949869871139526, 2.239095449447632, -0.44633832573890686, -0.30372104048728943, -1.5758286714553833, -0.6876839399337769, 0.0825662836432457, 1.1377604007720947, 1.8511395454406738, 0.11072598397731781, 0.027208665385842323, -0.3483021855354309, -0.33875468373298645, 0.6507903337478638, 2.175955295562744, 0.32101982831954956, 1.3262187242507935, 0.41160714626312256, 1.1093288660049438, 0.7825478911399841, -1.3453346490859985, -0.5075151324272156, -0.45029929280281067, 0.4641740918159485, -1.9985588788986206, -0.7409083843231201, 0.7010624408721924, -1.3938171863555908, 0.8465547561645508, -1.71914803981781, 0.533313512802124, -1.1904172897338867, 0.34207451343536377, -0.30019545555114746, 1.0044896602630615, 0.6922891736030579, 1.0484875440597534, -0.15103018283843994, -2.2976927757263184, -0.12443467229604721, -0.7996337413787842, 0.7430132031440735, -0.8086096048355103, -0.5073367357254028, -0.6934832334518433, 0.8880487680435181, -1.5326682329177856, -0.49719345569610596, 0.5914134979248047, 1.1191374063491821, 0.1539863497018814, -1.2935088872909546, -0.5708860754966736, 1.3834130764007568, 0.6251969337463379, -0.6522842645645142, -0.8380246758460999, -1.25921630859375, 0.31412968039512634, 0.519008994102478, 0.5709441900253296, 1.6640055179595947, 2.191190242767334, -0.9768598675727844, -1.8607845306396484, -0.2392471432685852, 0.5643418431282043, 0.3393057882785797, 1.5300394296646118, 2.691032648086548, 0.6581172943115234, -1.1971417665481567, -1.9604665040969849, -0.7572481036186218, -1.0059765577316284, -0.4608847200870514, -0.7702611684799194, -1.313157558441162, -0.050987113267183304, 1.4956645965576172, 0.845107913017273, -0.4244851768016815, 1.3252272605895996, -0.046678170561790466, -0.5346533060073853, 1.6594328880310059, -0.34037086367607117, -1.2554861307144165, -1.1867049932479858, 0.1320168524980545, 0.3407352566719055, -2.676280975341797, -1.4161862134933472, 1.3185614347457886, -0.21153023838996887, -1.0316458940505981, 1.6730210781097412, 1.7247806787490845, 0.9333770871162415, -1.3966127634048462, 0.18160480260849, -2.004697322845459, -1.4949337244033813, 0.5959464907646179, -0.3635046184062958, -0.227569580078125, -0.6242551207542419, -0.719311535358429, -0.07099482417106628, -0.7383365631103516, -0.7051663398742676, 0.454219788312912, 0.2617644965648651, -1.2157589197158813, -0.2559102177619934, -1.1241819858551025, 1.2277945280075073, 0.02917253039777279, 1.8709052801132202, -0.31155499815940857, 0.2730621099472046, -0.7915363311767578, -0.011404871009290218, -0.13276085257530212, 1.8672699928283691, 0.7072890400886536, -0.17224977910518646, -0.010970978066325188, -0.585956335067749, 2.028398275375366, -0.11508170515298843, 0.1773008406162262, 0.9313291907310486, -1.1518586874008179, 0.42235690355300903, 0.08605599403381348, -1.4867496490478516, -0.843472421169281, -0.9810561537742615, 0.35184720158576965, 1.3421339988708496, 1.0194528102874756, -1.0779691934585571, 0.7711867690086365, 0.46834906935691833, -0.7219563722610474, -0.7201000452041626, -1.3939331769943237, 1.977890133857727, 0.6587372422218323, 0.7485946416854858, -0.8065505623817444, 0.44040626287460327, 1.1274018287658691, 0.17676444351673126, -0.44621872901916504, 1.1843849420547485, -1.6755911111831665, -0.6371254324913025, -0.6140120029449463, 0.04639604315161705, -0.410108357667923, -0.5726332664489746, 0.6794228553771973, 1.1235787868499756, -1.6474248170852661, 1.5933817625045776, -0.7915603518486023, 0.4745154082775116, 0.11929479241371155, 0.7931172847747803, -1.679863691329956, -0.18157167732715607, 0.10119783878326416, -0.42478296160697937, -0.06213635206222534, -1.0443800687789917, 0.8785351514816284, -1.1986949443817139, -0.6851797103881836, -0.23736849427223206, 0.843338131904602, 1.298579454421997, -0.9412797093391418, -0.5114936232566833, -1.693394422531128, 0.5083450675010681, -2.5458483695983887, -0.26584622263908386, -0.23985573649406433, 0.6579164862632751, 0.21163485944271088, 0.016201235353946686, 0.40268102288246155, -1.1960515975952148, -0.7155907154083252, -1.7703797817230225, 0.9136853218078613, -0.3575318157672882, 1.3388985395431519, 0.4156738221645355, -0.6856092214584351, 0.8290537595748901, 0.9297827482223511, -0.6508296728134155, -0.5588278770446777, -1.1415988206863403, -1.5391764640808105, -1.1881120204925537, -2.0378546714782715, 0.498756468296051, -1.0935050249099731, -0.7972960472106934, -0.06526371836662292, -0.06783448159694672, 0.4757324457168579, -1.488629698753357, 0.33368584513664246, -1.0335956811904907, -1.03957200050354, -0.07043100148439407, 1.0616124868392944, -0.46982425451278687, 0.6138663291931152, 2.0885818004608154, 0.8254902958869934, 0.437369704246521, 0.14847518503665924, -0.7056841850280762, 0.9726157784461975, 0.5251265168190002, 1.7175596952438354, 0.6461357474327087, 1.027052640914917, 0.9106897711753845, -0.797234833240509, 0.2282954454421997, 0.07050300389528275, 0.47168079018592834, 2.0996601581573486, -0.8091989755630493, -1.0998024940490723, -1.083579182624817, 1.9017897844314575, 1.7954658269882202, -0.5874199271202087, 0.018008090555667877, 1.2229316234588623, -1.1284692287445068, 1.1878349781036377, -0.742798388004303, 0.2585618197917938, -0.005824401509016752, -0.668436586856842, 0.46365854144096375, -0.3516216576099396, -0.8645181059837341, -0.5108478665351868, 1.0888454914093018, -1.686348557472229, 0.691499650478363, -0.21537591516971588, 0.4610542953014374, 0.07408667355775833, -0.7126765847206116, 2.215510129928589, -0.28645816445350647, -1.1878278255462646, -0.07529067248106003, -2.515324592590332, 1.3148013353347778, 0.27810561656951904, 0.10161790251731873, -0.8318901658058167, 0.08073018491268158, 0.7992938756942749, -1.6636269092559814, 2.397611141204834, 0.8720988035202026, 1.0759615898132324, 1.635422706604004, -3.0253922939300537, -0.17634186148643494, 0.6778098344802856, -0.0017525851726531982, -1.244152307510376, 1.4180371761322021, -0.8310644626617432, 1.408729076385498, 0.5313690304756165, 1.449735403060913, -0.8433931469917297, -0.43651172518730164, 0.3806290626525879, -0.17690393328666687, 0.2356090545654297, 0.49744993448257446, -0.647224485874176, -0.32472991943359375, -1.0479207038879395, 2.2054734230041504, -1.6851011514663696, -0.5229668617248535, -0.693030059337616, -0.1484411209821701, 0.5252129435539246, -0.5328835844993591, -1.2276394367218018, 0.8386577367782593, -0.3166147470474243, -1.4703326225280762, 1.6236553192138672, 0.47365865111351013, 1.0424600839614868, -0.6252248287200928, 0.27030470967292786, 0.7862938046455383, -1.1142629384994507, -1.2352653741836548, 0.3463526964187622, 1.3321256637573242, 0.56412672996521, -0.3738073706626892, 0.3071642816066742, 0.6282113194465637, -0.5090867280960083, -0.7295413017272949, -0.16370275616645813, -0.2500055432319641, -0.6372682452201843, -1.0130658149719238, 1.1007181406021118, -1.5143499374389648, -0.10187114030122757, 0.2356119006872177, 0.30525028705596924, 0.31837448477745056, 0.4557472765445709, 0.6621674299240112, -1.5370031595230103, 0.4549107849597931, 0.24337553977966309, 1.983166217803955, -1.245788335800171, 0.9163179993629456, 0.811091959476471, 2.035529136657715, -0.24133092164993286, 0.679142951965332, -0.6992821097373962, 0.5563198328018188, -0.26676252484321594, -0.5404525995254517, -0.6726740002632141, -0.14905744791030884, 1.4191672801971436, 1.9582672119140625, 1.158993124961853, 1.417606234550476, -0.36204734444618225, -0.043913740664720535, -0.10846785455942154, -0.6122146844863892, -0.255024790763855, -0.03551362082362175, 0.6919190287590027, 0.121281199157238, -1.6847933530807495, 0.2003384381532669, 1.8712695837020874, -3.1587188243865967, -0.19284318387508392, 0.8510387539863586, -0.9169166684150696, 0.22805152833461761, -0.2524670958518982, -0.47530317306518555, -0.37227317690849304, -0.7402575612068176, -0.34276673197746277, 0.6789579391479492, -0.12839211523532867, -1.0946264266967773, 0.4481971561908722, 1.1502128839492798, -0.9438019394874573, 1.7576789855957031, 1.0594791173934937, -0.8711368441581726, 0.11927641928195953, 0.7611719965934753, 0.12967075407505035, -0.5016900300979614, -0.26296505331993103, 0.5634155869483948, -1.1357094049453735, -1.5049161911010742, -0.5709276795387268, -1.001194715499878, 0.5869014263153076, 1.0625097751617432, 0.4759872257709503, -0.2551210820674896, 0.46067529916763306, 0.4187207520008087, -0.8227472305297852, 1.9416755437850952, -1.5443276166915894, 0.12711594998836517, 4.220885276794434, -1.9402289390563965, -0.18960264325141907, -0.4410156011581421, 0.36546385288238525, -1.6450854539871216, -0.5983962416648865, -0.41120827198028564, -0.9026968479156494, 0.3394673764705658, 0.06848020851612091, -0.7870467901229858, 0.6324130892753601, 0.4904855191707611, 1.0636686086654663, 0.379377543926239, 1.9620213508605957, -0.7208548784255981, 0.7227257490158081, 0.5950023531913757, -1.088854432106018, -0.4999931752681732, -1.1851228475570679, 1.0765901803970337, 2.365109443664551, 0.48527413606643677, 0.25401538610458374, 0.02814287319779396, -1.1540610790252686, -1.385431170463562, -0.0526479072868824, 0.6318569183349609, 1.9423651695251465, 0.7573816776275635, 1.5399781465530396, -1.1565067768096924, 0.3606996238231659, 0.11338459700345993, 1.7590540647506714, 0.4938417971134186, -0.5684797167778015, 0.15363594889640808, 1.0388375520706177, -0.6964346170425415, -0.6088607311248779, -0.2053930163383484, -0.03199605643749237, -1.078898549079895, -3.361445903778076, 0.4071260988712311, 2.3224401473999023, -0.6318071484565735, 0.3952675759792328, -0.978659451007843, 0.5689967274665833, 0.10341361165046692, 0.8988220691680908, -1.5542830228805542, -2.1104886531829834, -0.5042503476142883, 1.8139631748199463, -1.2200440168380737, -0.36281439661979675, 1.0974814891815186, -0.6468775272369385, -1.3357409238815308, 1.461979866027832, 1.089784860610962, -0.35434481501579285, -0.39104005694389343, -1.4204223155975342, 0.2892701029777527, -1.027416706085205, -1.483135461807251, 0.9273710250854492, 1.019245982170105, 1.804552435874939, -0.04643774777650833, 0.6589136719703674, 0.2318384200334549, -0.44574373960494995, 1.494429349899292, 0.7181221842765808, -0.9565778374671936, 0.09354259818792343, 0.7979321479797363, -0.6066347360610962, 1.6266940832138062, -1.3431705236434937, 0.6768295168876648, -0.32263821363449097, -0.7022845149040222, -1.4857194423675537, -1.0484914779663086, 0.033998630940914154, -1.002910852432251, 0.018826235085725784, -0.4917125105857849, -0.497236430644989, 0.7431994080543518, 0.6683259606361389, -0.6439616084098816, 2.180767774581909, 1.1883612871170044, -1.5165064334869385, -0.46253180503845215, 1.1822277307510376, -1.4376530647277832, 1.5298089981079102, -0.2994319498538971, -0.8784998655319214, 0.188882976770401, 0.05264364928007126, -0.2590266466140747, -1.2735319137573242, 0.3491398096084595, -0.0518740713596344, 0.09566543996334076, 0.7615517377853394, 0.45115622878074646, -0.22500742971897125, 0.9426460862159729, -1.3766711950302124, 0.8276746273040771, 0.14185360074043274, -0.6431323885917664, 1.232901692390442, 0.2812645137310028, 0.31430941820144653, -0.6692365407943726, 0.3075238764286041, -0.466950386762619, 0.49176302552223206, 0.04626114293932915, -1.8093006610870361, 0.1458033174276352, -0.8771179914474487, -0.33625540137290955, 0.8817632794380188, -0.3649834394454956, -0.26862385869026184, -0.980805516242981, 0.7682994604110718, -1.5191460847854614, -0.20189917087554932, -0.15491780638694763, 0.8017578125, 0.8295215368270874, 0.744181215763092, 0.44292718172073364, -1.3876615762710571, -0.9512378573417664, 1.854630708694458, 0.030621344223618507, -1.4138914346694946, 0.5079941749572754, 1.2333793640136719, 1.1734100580215454, 0.4343075752258301, 0.30619630217552185, 0.1611373871564865, -0.31739944219589233, -1.2063305377960205, -0.014413134194910526, -0.1751304417848587, 0.4540095925331116, 1.2031795978546143, 0.8830978274345398, -1.4471455812454224, 1.4743719100952148, -0.7041507363319397, -2.989454507827759, 0.527614414691925, 0.0036812257021665573, 0.6987840533256531, 1.8839020729064941, -1.2226991653442383, -0.5030950903892517, 1.325534462928772, -0.3901531398296356, -1.270569920539856, -0.1110595241189003, -0.42941907048225403, -0.12177518755197525, -0.6222711205482483, 0.7223547697067261, -1.3048055171966553, 0.4787944257259369, 0.6525115966796875, -2.38588547706604, 1.1187862157821655, 1.014771819114685, -0.3650687634944916, -0.8509971499443054, 0.7551694512367249, -0.9148285984992981, 0.3110731244087219, -1.4559099674224854, 0.40727636218070984, -0.6364014148712158, -0.026597386226058006, 0.7532720565795898, 1.020592451095581, -0.6858674883842468, -0.34670913219451904, 1.04159414768219, -1.1462275981903076, 0.5017291903495789, 0.35107919573783875, 0.20567303895950317, 0.48283568024635315, -1.3421471118927002, 1.8880743980407715, -2.707338809967041, 0.8387281894683838, 0.8791232109069824, -0.8032405376434326, 3.2481751441955566, 0.3568982779979706, 1.5372040271759033, 0.24562431871891022, -0.8679690361022949, 0.7004163265228271, 1.1993811130523682, -0.7138406038284302, -0.05166569724678993, 2.2212233543395996, -1.3879153728485107, 0.4247910678386688, 0.8526557683944702, 0.09420149028301239, -1.0395317077636719, 0.704129159450531, -0.34425148367881775, 0.19470496475696564, 1.3354710340499878, 0.8738160729408264, 0.7744562029838562, 0.30787190794944763, -1.5926357507705688, -1.2364314794540405, -2.246371030807495, 0.6960383653640747, 0.3888005018234253, -2.5334737300872803, -0.36357083916664124, 0.8773058652877808, -0.43531954288482666, -1.1709634065628052, -0.8443357348442078, 0.5592186450958252, -1.5487926006317139, -1.8328999280929565, 1.163782000541687, 0.04326065629720688, -0.18102799355983734, 1.5715006589889526, 0.5116142630577087, 0.2098536491394043, -0.6363865733146667, 1.6873836517333984, 1.137365698814392, -1.4559653997421265, 0.7505447864532471, 1.6478445529937744, 0.9711555242538452, -0.8755024075508118, -2.106855869293213, 0.22908279299736023, -1.8002259731292725, 0.46329864859580994, 1.2226969003677368, -2.7098352909088135, 0.6603510975837708, -0.02129831351339817, -0.3838256597518921, 0.49503350257873535, 0.18957367539405823, 0.9895118474960327, -0.9748775959014893, 0.8343259692192078, -1.2236902713775635, 1.6283020973205566, -0.19612638652324677, -0.7980479598045349, 0.16384711861610413, 1.7084641456604004, 1.0019524097442627, 2.0188567638397217, 0.7855908274650574, -2.3661816120147705, -0.8505507111549377, -0.4451366662979126, 0.15468193590641022, 0.33981773257255554, -0.5018674731254578, -0.46522286534309387, -0.9336957931518555, 1.2888967990875244, -1.5122666358947754, -0.025791089981794357, -0.7066328525543213, -2.1248230934143066, -0.9932958483695984, -0.6834069490432739, 0.9744697213172913, -0.05854301154613495, 0.31944870948791504, 0.5031817555427551, 0.4033094048500061, -0.20846670866012573, 0.8045318126678467, -0.02564929984509945, -1.115075945854187, -0.2692093253135681, -1.049005389213562, 0.055247705429792404, -0.2194160372018814, -0.28232541680336, -0.5412094593048096, -0.5276620388031006, -0.7164735794067383, -1.0022847652435303, 1.993405818939209, -0.612914502620697, -1.4777247905731201, -0.019762910902500153, -1.283980131149292, 0.13599461317062378, -0.36790892481803894, 0.1748116910457611, -1.017777681350708, -0.0896407812833786, 1.4936782121658325, 2.3453805446624756, -0.30708417296409607, -0.8087408542633057, -0.258250892162323, -1.0807898044586182, 0.5489975810050964, 1.6455936431884766, -1.1047152280807495, 0.12785157561302185, -0.5347180962562561, -0.13191694021224976, -1.1636378765106201, 0.7724608778953552, -0.18185558915138245, -0.03742599114775658, -0.6207998991012573, -0.029302140697836876, -2.159921407699585, -1.8411496877670288, 0.21904462575912476, 0.3753695487976074, -1.8485995531082153, -0.7595717906951904, -0.41702648997306824, 1.377514362335205, 0.866523027420044, -1.9324768781661987, 0.9904283881187439, 1.050901174545288, 0.09835602343082428, 0.287813276052475, -0.36657285690307617, 1.2029523849487305, 0.4529803693294525, -0.31674209237098694, -0.31292223930358887, 0.6358843445777893, 0.2505430579185486, -0.8747249841690063, 2.0289509296417236, 0.42198553681373596, 0.19869309663772583, -1.1235098838806152, -0.03006061352789402, -0.046665750443935394, -0.7131187915802002, -0.906511664390564, 0.18255794048309326, -2.028841018676758, 0.9836425185203552, -0.14773747324943542, -0.4732407331466675, 0.1262822449207306, 0.7263981699943542, -1.8342690467834473, 1.1720688343048096, 0.27326053380966187, 0.5321009755134583, 0.4162828326225281, 0.11533398926258087, 1.136766791343689, 0.2532060742378235, 0.5219277143478394, 1.5091922283172607, -1.2315051555633545, 0.36362457275390625, 0.6149455308914185, 0.30179405212402344, -0.09047073870897293, 0.42086270451545715, 1.0732609033584595, 2.867863893508911, -0.9664469957351685, 0.8149204254150391, 0.23920662701129913, -1.3662960529327393, -0.954742968082428, -1.4839688539505005, -1.666796326637268, -1.6661556959152222, -0.1564578413963318, -1.1213217973709106, 1.2145328521728516, 1.0598703622817993, 0.522946834564209, -0.6689679026603699, -0.14802855253219604, 0.025798222050070763, -0.6013841032981873, 0.08323530107736588, -1.350003719329834, 0.30399248003959656, 0.7874453663825989, -0.6441633105278015, -1.2175040245056152, 0.2436850517988205, -0.3558048605918884, 1.0955164432525635, 0.1133371964097023, 1.9389281272888184, 0.2863733172416687, -0.6919798851013184, 2.1682627201080322, -0.544693648815155, -0.16061268746852875, 0.898882269859314, 1.6998764276504517, 0.2382865995168686, 0.9004465937614441, 0.4907313883304596, 0.8325640559196472, 1.2814208269119263, -0.06525426357984543, 0.9213560819625854, 0.2702537775039673, 0.7787894606590271, 0.02286594919860363, 0.3752850294113159, -0.7552647590637207, -0.22491683065891266, 2.040390729904175, 1.3028173446655273, 0.7448198795318604, 0.0068356613628566265, 0.5375491976737976, -1.1423944234848022, -1.308775544166565, -1.8233577013015747, 3.4047012329101562, -1.0807899236679077, 0.2938878536224365, -1.0662102699279785, 0.3503608703613281, 1.72251558303833, -0.19511611759662628, -0.36349794268608093, 0.3581506013870239, 0.6961183547973633, 1.0511020421981812, 1.1756597757339478, -0.09592778235673904, 1.149657130241394, -0.6458962559700012, -0.32082799077033997, 1.6265453100204468, -2.2925326824188232, -0.37558871507644653, -1.0432933568954468, 0.08606976270675659, 1.195968508720398, -2.138483762741089, 1.4822334051132202, 0.0886596068739891, -0.07098895311355591, 0.5153577327728271, 0.6601637601852417, -0.5439801812171936, -2.066014528274536, 0.7019550204277039, -0.3823496997356415, -1.0589654445648193, -2.0775179862976074, -0.34852445125579834, -0.032210227102041245, -0.9099568128585815, 1.5435274839401245, -0.8570417761802673, 1.6219682693481445, -1.3334112167358398, -0.45277923345565796, 0.5396543741226196, -0.8766995072364807, 1.7210808992385864, -1.5417758226394653, -0.9218294024467468, -1.3483448028564453, -1.0723822116851807, -0.6175333261489868, -1.652854561805725, 0.8730388879776001, -1.7685421705245972, -0.718251645565033, 0.885001540184021, 1.237121343612671, -1.3565489053726196, 1.3150838613510132, 1.8488556146621704, -0.6083797812461853, 0.44868963956832886, 0.19255653023719788, -0.5814606547355652, -0.21971085667610168, -1.37706458568573, 0.9049989581108093, 2.1595511436462402, -0.3956540822982788, -0.3339904546737671, 0.8815671801567078, 0.00208826782181859, 1.534775733947754, -1.002116322517395, -0.42787063121795654, -0.3201715350151062, 0.3319723904132843, 0.10592740774154663, -0.10341154783964157, -0.5169848203659058, 0.6849259734153748, -0.3533257246017456, 0.21609118580818176, -1.8447660207748413, -0.0808730497956276, -0.781565248966217, 0.28846436738967896, -0.441675066947937, -0.08179429173469543, -0.553126335144043, -0.9846407771110535, 0.43292149901390076, -0.30800843238830566, 2.1449642181396484, 1.058661699295044, -1.5576536655426025, 0.1065366268157959, -2.044767379760742, 1.390284776687622, -0.36555764079093933, 0.8850664496421814, 0.448025643825531, 0.05233561992645264, -1.1516307592391968, -0.3681734800338745, -0.5556731224060059, -0.21102792024612427, 1.147889494895935, 1.2564626932144165, 0.9306133985519409, -0.9176715016365051, -0.3928210437297821, 0.789493978023529, 0.1160312071442604, -0.07594608515501022, -1.4193207025527954, 0.32610681653022766, -0.48636069893836975, 0.4318445026874542, 0.44982320070266724, 1.1762137413024902, -1.7338000535964966, -1.190172553062439, -1.3181006908416748, 1.2809877395629883, 0.02915472723543644, 0.1314784288406372, -0.15780667960643768, -0.12441806495189667, 0.031051810830831528, -0.758787214756012, -0.8666778206825256, -0.041754499077796936, -0.20735934376716614, -0.1017632782459259, -0.48918476700782776, -1.2859517335891724, 0.9545792937278748, 0.12684759497642517, 1.309800386428833, 1.2976100444793701, -1.1573606729507446, -1.035058856010437, 0.5269126892089844, -0.5753083825111389, 1.3081254959106445, -0.3824535310268402, 0.7127724885940552, 0.5265127420425415, 0.2959114909172058, 0.28386232256889343, -0.3448408544063568, 0.9733070731163025, 1.0650087594985962, 1.9568290710449219, 0.6145164966583252, 0.730597734451294, -0.4348834753036499, -0.6472846865653992, -1.1630276441574097, 1.6045506000518799, -0.06718450039625168, 0.29903316497802734, 0.8402260541915894, 2.1492364406585693, 0.17552310228347778, -0.9924218654632568, -1.258963942527771, 0.3317492604255676, 2.527618408203125, -1.5583864450454712, 1.3671061992645264, 0.10800344496965408, -0.9418590068817139, -1.2413175106048584, 0.515129804611206, 0.1854725331068039, -1.3158438205718994, 2.1299684047698975, 0.9362184405326843, -0.09411696344614029, 1.3395496606826782, 0.8152245879173279, 1.3355036973953247, -1.4305973052978516, 0.6617035865783691, 0.3134773373603821, -1.4911706447601318, -0.5750085115432739, 0.7624638676643372, 1.1912505626678467, 1.2967053651809692, -0.4429849088191986, -0.7447178363800049, -0.9835545420646667, -1.6336135864257812, -0.995568037033081, -0.7682759165763855, -0.2145499736070633, -0.9825613498687744, 0.3662050664424896, -0.358150839805603, 0.1776101142168045, -0.04068902134895325, -1.155023217201233, -0.1433345228433609, 2.6148593425750732, -1.1753467321395874, 0.524094820022583, -0.4867640733718872, -0.2745588421821594, -0.9401569366455078, 1.6375211477279663, 0.7630386352539062, 1.414941430091858, -0.10380028933286667, 0.6651278138160706, 0.434170663356781, -0.9615524411201477, -0.055198222398757935, -0.3385639488697052, 0.4672957956790924, -0.6803130507469177, 1.7893812656402588, -0.7110164165496826, -1.0923657417297363, 0.4979779124259949, 1.0775033235549927, -0.03544323146343231, 1.0317652225494385, -0.3811262845993042, 0.5691908001899719, -1.0980901718139648, 0.10159313678741455, -0.5921430587768555, -1.1306883096694946, -0.4320632815361023, -0.04501635208725929, 0.5562575459480286, -2.8660919666290283, -0.16863538324832916, -1.0440068244934082, -0.032639071345329285, 0.6803315281867981, -1.2239230871200562, 0.802260160446167, -1.6313183307647705, 0.3615890145301819, -0.4968377351760864, -0.10766471922397614, 0.4417171776294708, -0.015555662102997303, 0.388808012008667, -0.07660432904958725, 0.7667402625083923, 0.19660548865795135, 0.24175511300563812, 2.7346532344818115, 0.7427078485488892, 0.46464598178863525, -0.3710787296295166, -1.319348931312561, 0.1375644952058792, 1.0456738471984863, -0.8266350030899048, -0.7514632940292358, -0.5790676474571228, -0.17867659032344818, -0.332031786441803, -0.8237345814704895, -1.76717209815979, -0.6820133328437805, 0.06609081476926804, 0.3702285587787628, 0.15474827587604523, -0.5165680050849915, 0.18036043643951416, 0.130032017827034, 0.9435136914253235, 0.14251548051834106, 0.08893338590860367, 0.04951515421271324, -0.5562775731086731, 0.6276188492774963, 1.4932485818862915, 0.8758636116981506, -0.09665089845657349, 0.05448874086141586, -0.4860948920249939, 0.8192882537841797, 1.1316642761230469, 0.1325690895318985, 0.3496108651161194, -0.13877426087856293, 1.1364842653274536, 0.39518454670906067, -0.9614087343215942, 0.37511929869651794, -0.9787151217460632, -0.8561055064201355, 0.11717697978019714, 0.18733800947666168, 0.238009512424469, -2.511688709259033, 1.2995637655258179, 1.7925888299942017, -0.9671485424041748, -6.906451744725928e-05, -0.8454243540763855, 0.6146920919418335, 3.3139591217041016, -0.33374008536338806, 0.8271836638450623, 1.9091393947601318, 1.1052441596984863, -0.33737874031066895, -0.10134010761976242, -0.031550440937280655, 0.6725450158119202, 0.7776493430137634, -1.2849830389022827, 1.6016802787780762, -0.230581596493721, -0.10625044256448746, -0.14995582401752472, -0.43426331877708435, 0.22304318845272064, -1.4984382390975952, 0.03227502107620239, -0.8630057573318481, 0.21149887144565582, -0.6535407304763794, -0.033835139125585556, 1.2405990362167358, -1.252805233001709, 0.8879921436309814, -0.37034106254577637, 1.0623737573623657, 0.7712841629981995, -2.6100289821624756, -2.2147574424743652, -2.3831000328063965, 1.0624626874923706, 0.34350547194480896, 1.3409686088562012, 1.1634982824325562, -1.3668800592422485, 0.9719542860984802, 1.514791488647461, -0.566419243812561, 1.0098241567611694, 0.7282625436782837, -0.42207688093185425, -0.39588040113449097, -1.8967410326004028, 1.2238322496414185, -1.7103660106658936, 0.7476226091384888, 0.4438837170600891, 1.4348933696746826, 2.6803650856018066, -0.6006720066070557, 1.0413897037506104, 1.2823512554168701, -0.6060663461685181, -1.3780571222305298, -0.16092686355113983, -0.11997324973344803, 0.9172770380973816, -0.1061377078294754, -0.6781458854675293, -0.644030749797821, 0.6357032060623169, 1.5458447933197021, 0.6140052676200867, -0.7667520046234131, -0.836503267288208, -1.0928926467895508, -1.0177205801010132, 0.4708666503429413, -0.6538408398628235, 1.0811183452606201, 1.9373282194137573, -0.8220207095146179, -1.7327277660369873, 1.379433035850525, 0.27052944898605347, -0.6829657554626465, -0.32829928398132324, -0.5543226599693298, -1.2104644775390625, 1.480236291885376, -0.9955865144729614, 0.29982638359069824, -0.06318586319684982, -1.508392572402954, -0.6306004524230957, 0.15812210738658905, 1.9181270599365234, -1.0221816301345825, -0.7999235391616821, 1.609715223312378, -0.3962647020816803, -0.9365847706794739, 1.2435023784637451, 0.6403670907020569, 0.5902909636497498, -1.1312006711959839, -0.829034149646759, -0.3927612006664276, 0.7165935635566711, 1.515822172164917, 1.2263340950012207, 1.850795030593872, -3.4531102180480957, -0.14234620332717896, 0.09112066775560379, 0.6105612516403198, 0.18123240768909454, 0.7265782952308655, -1.362310767173767, 0.9736060500144958, 0.7012841701507568, -0.6636862754821777, -0.9682539701461792, -0.5414318442344666, 0.31795552372932434, -0.20893996953964233, -1.0030651092529297, 0.36741766333580017, 0.8994666337966919, 0.04458072781562805, 0.3366645872592926, 0.6590813994407654, -1.2140105962753296, -0.04822993278503418, -0.7643139362335205, 0.09767413139343262, -0.7267301678657532, -0.3060964047908783, -0.6220823526382446, 1.6656113862991333, 1.758162021636963, -1.2379698753356934, 2.3775792121887207, 1.5585880279541016, 0.987116813659668, 0.15474377572536469, 0.605745792388916, -0.7676166892051697, 0.004256190732121468, -0.8383384943008423, -0.1379484236240387, -0.53619384765625, -1.2008171081542969, 0.37687286734580994, -1.149502158164978, 0.6893924474716187, 1.0441811084747314, -0.485889732837677, -0.9317042827606201, 0.46336281299591064, 1.7639719247817993, 0.037531353533267975, -0.6599268317222595, -0.0990045890212059, 1.027967095375061, 1.2821638584136963, -1.0073591470718384, 0.012439117766916752, 1.436923861503601, 1.8426554203033447, 0.9070237874984741, -0.9600558280944824, 0.23278099298477173, -0.008038570173084736, 0.2635807991027832, -1.6789729595184326, -0.4734096825122833, -0.2806832194328308, -0.9131960272789001, -0.1570415496826172, -0.3457973301410675, -0.02033683843910694, 0.4238241910934448, -0.9467912316322327, -0.26914286613464355, 1.425925612449646, -1.2545719146728516, 1.93618905544281, -0.8303780555725098, -0.7112483978271484, -0.18611755967140198, 0.38195183873176575, 0.02806425467133522, -1.806393027305603, 0.5500019788742065, -1.6268550157546997, -0.6842203140258789, 2.0101847648620605, 1.616829752922058, -0.6088389754295349, -1.7644822597503662, 1.309682011604309, -0.6164782643318176, 0.10691007226705551, -1.4743123054504395, 0.6253145933151245, -0.029382117092609406, -0.47210386395454407, 0.5702642202377319, -1.57844078540802, -0.4853384792804718, 0.2788313925266266, 0.9411234855651855, 0.7651734352111816, -0.287522554397583, 0.8349111676216125, -1.0390231609344482, -0.4414697587490082, -0.4135863780975342, -0.4469487965106964, -0.06663024425506592, 0.15775103867053986, 0.42367273569107056, -1.1752995252609253, 1.4461805820465088, 0.28897300362586975, -0.5746169090270996, -0.48566168546676636, -0.41723379492759705, 0.2086293250322342, -0.15469233691692352, -1.075408697128296, -0.6555078625679016, -0.5378220081329346, -0.3389993906021118, -0.27358347177505493, 1.4905911684036255, 0.8558448553085327, 0.6347153186798096, 0.27581658959388733, 0.42363274097442627, -1.7807021141052246, -0.24731862545013428, -0.6134288311004639, -0.9070273637771606, 1.278562068939209, 0.5622830986976624, 0.7513567805290222, -0.3382229506969452, 0.4836869239807129, -2.381333351135254, -1.0339165925979614, 2.16318941116333, -0.17828220129013062, 0.5612872838973999, 0.6292786598205566, 0.722064197063446, -0.279278427362442, -0.9896361827850342, -1.9813779592514038, 0.040323201566934586, -0.6282665133476257, -0.920718789100647, -0.28777754306793213, -1.0535935163497925, -1.5973601341247559, -0.15250720083713531, 0.23078703880310059, 1.0065213441848755, 0.1213093250989914, 0.5370944738388062, -0.8084065318107605, 1.7690985202789307, 0.17855657637119293, 0.5163377523422241, -0.4628526270389557, -0.633629560470581, -0.14516238868236542, 0.19475698471069336, 0.509354829788208, 0.9925769567489624, 0.5884928107261658, -0.4380176365375519, 0.538001537322998, -0.8575881719589233, 1.193804383277893, -1.7810328006744385, -0.7802780270576477, 1.3136409521102905, 1.6823402643203735, -0.8888111114501953, -1.6380102634429932, 0.7697812914848328, -0.4434105455875397, 0.6742791533470154, 0.5320756435394287, -0.9793001413345337, 0.06617193669080734, 0.05034485459327698, 0.07133211195468903, 2.5245893001556396, 0.45773202180862427, -1.7109947204589844, 0.5317835807800293, 0.48257455229759216, 0.3877047300338745, -1.4578797817230225, -0.27786245942115784, 0.5219146609306335, 0.21804167330265045, -0.7509329319000244, 0.8835036158561707, -0.08072364330291748, -0.884918749332428, -0.2532638907432556, 2.2355682849884033, 0.0019187433645129204, -0.5474349856376648, -0.9131019115447998, 0.75043123960495, 1.179579734802246, -1.152409553527832, 0.9805364608764648, 0.3203740119934082, -1.2384926080703735, -0.5734097361564636, -1.1852672100067139, -1.4291329383850098, -0.03456598147749901, 0.550728440284729, 0.4950304925441742, -2.6271166801452637, 0.794435441493988, 0.06097986921668053, 0.09318212419748306, -0.4449956715106964, -0.10989190638065338, -0.5997920632362366, -0.5910806655883789, -0.8782978653907776, -0.2280849665403366, -0.6104198098182678, -0.8808841705322266, 0.2078973650932312, -1.2473379373550415, 0.9503727555274963, -0.17678669095039368, -0.6840158700942993, -0.46459904313087463, 0.6652682423591614, -0.4136107861995697, -0.32342830300331116, 0.029982727020978928, -0.31289178133010864, -0.13795390725135803, 0.3401464521884918, 2.0079798698425293, -1.3628628253936768, -2.524710178375244, 1.7356191873550415, -1.021180272102356, -0.005290398374199867, 1.0841517448425293, -1.2665033340454102, -0.5351482629776001, -0.09786005318164825, 1.069370985031128, -0.8627527356147766, -0.15208451449871063, 0.2754819095134735, -0.7817180752754211, -0.11857426166534424, 0.3118518590927124, -1.389975666999817, -0.11936808377504349, -0.5071901082992554, -0.9503306150436401, 0.7818981409072876, 0.22614724934101105, 2.2719764709472656, -0.6537930369377136, 0.9357103705406189, -1.941296100616455, -1.5318500995635986, 0.7350996732711792, -2.0478384494781494, -0.7796633839607239, 0.7272091507911682, 0.2387603372335434, 0.7400855422019958, 1.5607547760009766, 0.5938683152198792, 0.2892226278781891, 0.645154595375061, -0.7556833624839783, 0.38083022832870483, -0.0105369808152318, 1.3148853778839111, 0.046317506581544876, 0.897769033908844, -0.7934965491294861, -0.589106559753418, 1.5401073694229126, 1.0875343084335327, -0.07013139128684998, 0.1860034316778183, -0.12035277485847473, -1.9222131967544556, -1.0896695852279663, 1.4351718425750732, 0.8197776079177856, 0.1276012808084488, 0.09540566056966782, 1.8579013347625732, -1.4000048637390137, -0.16167820990085602, -1.1380549669265747, 0.23422738909721375, -1.0851635932922363, 0.48154330253601074, 0.8387138843536377, -0.07746031880378723, 1.766271948814392, 0.5959832668304443, -1.292389988899231, -1.0224902629852295, -1.124839186668396, 0.8341686725616455, 0.9791384339332581, 1.4070982933044434, 0.7295095920562744, 0.46176862716674805, -0.10949545353651047, 1.8557476997375488, -2.215179681777954, 0.9302164912223816, 1.6466342210769653, 1.379893183708191, 0.7382476329803467, -1.5668929815292358, -0.05932914465665817, -1.1456127166748047, 0.6263664364814758, -0.13723939657211304, -0.745412290096283, 0.8603816032409668, 1.564671516418457, 1.338212251663208, -0.006099211052060127, 0.03960445523262024, -0.1805642992258072, -0.8156583905220032, 0.5693746209144592, -1.3829432725906372, 1.0817348957061768, -1.4838587045669556, -1.7179712057113647, -0.2470797896385193, 0.7498622536659241, -1.1684504747390747, 0.40370112657546997, 1.29233717918396, -0.8628882765769958, -0.44038093090057373, 1.1935393810272217, 0.6993560194969177, 1.3271783590316772, -1.1171010732650757, 0.07005922496318817, 0.6281428933143616, 1.8614134788513184, -0.19777394831180573, 1.8756011724472046, 0.8385290503501892, -0.14788159728050232, -1.3152331113815308, -0.021194305270910263, -1.3232287168502808, -0.3425448536872864, 0.12097267806529999, 1.8160035610198975, 0.35290464758872986, 0.7153427600860596, -0.4852781891822815, 1.5847280025482178, 1.559694766998291, -0.768572211265564, 0.5426327586174011, -1.175896406173706, 1.5627179145812988, -1.4737306833267212, 0.26300984621047974, 0.0004404040228109807, 0.11922327429056168, -1.286504864692688, 1.2466052770614624, -1.3867651224136353, 0.4279983937740326, 0.8444410562515259, -0.695477306842804, 1.7098581790924072, -0.30377256870269775, 0.6836623549461365, 0.8124400973320007, -2.3456432819366455, 0.6371137499809265, 0.9739436507225037, -0.2806612253189087, 0.7496160268783569, 0.7296914458274841, 0.07584890723228455, 2.508485794067383, 1.2586476802825928, 0.22998066246509552, 0.1298053115606308, -0.9672570824623108, 0.4277240037918091, -1.2849061489105225, 0.2929343283176422, -1.0283536911010742, -0.6862467527389526, 0.10597261786460876, -0.14316022396087646, 0.5898200869560242, 0.1671770215034485, 1.3842098712921143, -1.27054762840271, -0.41870880126953125, -1.6621835231781006, -0.05169638618826866, -0.07795074582099915, -1.0599404573440552, 0.822697639465332, -0.8545987606048584, 0.7941168546676636, -1.1723182201385498, 0.4068443179130554, -0.6165156960487366, -0.6136733889579773, 1.0867167711257935, 0.616834282875061, 0.2853890657424927, 1.3248127698898315, -1.2534213066101074, -0.1048073023557663, -0.25498247146606445, -0.2967081367969513, -1.3069108724594116, 0.9696648716926575, -0.050373367965221405, 2.7438712120056152, 1.1964119672775269, -0.6189801096916199, -0.3792664110660553, 2.062901020050049, -0.29980573058128357, -0.5322131514549255, 0.5622995495796204, -2.105041742324829, 0.38068073987960815, 0.03397687152028084, -0.4750961363315582, -0.25975531339645386, 0.9097614884376526, 0.8712337613105774, 2.0273990631103516, -2.179229736328125, -0.14525280892848969, 0.8568210005760193, -1.060414433479309, -0.4739294946193695, 0.8354817032814026, 0.5523131489753723, 0.9877591133117676, 0.24724937975406647, -1.3898180723190308, 0.7879466414451599, 0.26193487644195557, 0.3239199221134186, -0.006564239971339703, -0.6607666015625, 1.009987473487854, 1.5781408548355103, 0.36729374527931213, 0.9277820587158203, 0.3391757011413574, -0.2632499635219574, -0.8079543709754944, 0.05906978249549866, -0.46641719341278076, -0.886330783367157, -0.35493436455726624, -0.28070515394210815, 0.1186719611287117, -1.608319878578186, -0.05303947255015373, -0.754558801651001, 1.1249792575836182, 0.21489323675632477, 1.1614948511123657, 1.4789689779281616, -0.24604877829551697, 0.7622487545013428, -0.18944138288497925, 0.06214752048254013, 0.2684744894504547, 0.6052586436271667, 0.012565117329359055, -0.196757972240448, 1.6451269388198853, 1.3393572568893433, 1.2697569131851196, -0.4575609564781189, 0.6374977231025696, 0.3437984883785248, -0.44697538018226624, -0.7338087558746338, 0.12820017337799072, -0.1022220104932785, -0.4403359591960907, -0.3252772092819214, -0.7683639526367188, -1.375694990158081, -0.2649877667427063, 1.159371018409729, 1.1356335878372192, -0.2996140122413635, 0.3873147964477539, 0.17951835691928864, 1.2791475057601929, -0.8358904123306274, -1.2921494245529175, -0.019210536032915115, 0.0206887349486351, -0.2877624034881592, -0.5640172958374023, -0.14400984346866608, -0.5087526440620422, 1.4536669254302979, -0.5012894868850708, 2.1985175609588623, -2.401277542114258, -0.919173002243042, -1.178972601890564, -0.5846570730209351, -0.08617071062326431, 1.6757395267486572, 0.13251575827598572, -0.7114299535751343, 0.6338808536529541, -0.1069655492901802, -1.6485486030578613, -0.0835481807589531, 1.7503931522369385, -1.922727346420288, -0.31089159846305847, -2.891979455947876, 1.8384522199630737, 0.4705667197704315, -1.3154007196426392, -0.24251903593540192, -0.7147356867790222, -0.2704554796218872, -0.86150723695755, -0.5065886974334717, 0.9047239422798157, -0.4079221189022064, -1.237282633781433, -1.540185809135437, -0.35165637731552124, 0.33836647868156433, -1.3059300184249878, 0.0669371634721756, 0.44516947865486145, -1.7395659685134888, -0.16110914945602417, -1.463059425354004, -0.29046037793159485, 0.49944132566452026, 0.06888687610626221, 0.45291975140571594, -1.7933036088943481, 0.4582001864910126, 0.39081257581710815, -0.28852152824401855, 0.8905447721481323, -2.6099841594696045, 0.5957828164100647, 0.07395469397306442, -0.8730217218399048, 0.5488264560699463, -2.417304277420044, -0.8653168082237244, -1.0457500219345093, 0.883347749710083, 0.5195462107658386, -0.19425514340400696, -0.028744207695126534, 2.342707633972168, 0.3043748736381531, 0.12005431205034256, 0.6296557784080505, 0.30839890241622925, -0.33284294605255127, 0.20567336678504944, 0.6236153244972229, -0.570620059967041, -0.9516798257827759, -1.155672550201416, -0.7778058648109436, 0.6827817559242249, -1.4133634567260742, 0.9400496482849121, 0.3663625717163086, 1.4123011827468872, -0.8409361839294434, 1.5622498989105225, 0.9454702138900757, -1.376924991607666, -0.42039069533348083, 0.10729201883077621, 1.1510306596755981, 0.8157145977020264, -0.325334370136261, 2.6257002353668213, 0.7086697220802307, -1.0987976789474487, 0.349677175283432, -0.47405290603637695, 0.42366331815719604, -1.15705406665802, 1.4540024995803833, -1.0221812725067139, 0.5673149824142456, -1.1977097988128662, -0.08024527132511139, 0.5409340262413025, -0.4571681022644043, -1.1776442527770996, 2.060727596282959, 1.0966488122940063, 0.4189334213733673, -1.6674551963806152, 0.015650322660803795, -0.02079973742365837, -0.558462917804718, -0.706543505191803, -1.1400771141052246, -0.686434805393219, -1.0892221927642822, -0.0482717789709568, 1.7310092449188232, 0.12673643231391907, 0.6237538456916809, 0.9648363590240479, 1.8201137781143188, -0.21260857582092285, 0.29282960295677185, 1.1524713039398193, -1.092894434928894, -0.015782803297042847, -0.8326193690299988, -0.9095364212989807, 0.15951533615589142, 0.42127668857574463, 0.5417845249176025, -0.9838115572929382, -1.4326757192611694, 1.9746766090393066, 0.6338660717010498, -0.4617331922054291, -0.009230821393430233, -0.3336704969406128, 1.00184166431427, 0.26346227526664734, -0.4607464373111725, 0.8623405694961548, -0.028895994648337364, 0.547616183757782, 0.729823648929596, -0.058627836406230927, 0.8085928559303284, -0.0013502363581210375, -0.9331478476524353, 0.761401355266571, 1.5694642066955566, 0.6404792070388794, -0.6835175156593323, 0.0773523673415184, -0.3528686761856079, 0.13899390399456024, -2.0509815216064453, 1.0131945610046387, 0.4108116328716278, -0.9449694156646729, -0.17031750082969666, -0.5586485862731934, -1.7222262620925903, -0.5352543592453003, 0.09794105589389801, -0.02686469443142414, 0.3113102912902832, 2.027859926223755, -0.5650886297225952, 0.3862515985965729, -0.091609887778759, 0.9598426818847656, -0.7188513278961182, -2.074965000152588, -0.22631151974201202, 1.5471197366714478, 1.7992775440216064, 2.068666696548462, 0.650230884552002, -0.8399348855018616, 0.7625603079795837, -0.530927300453186, 0.9970472455024719, 0.14707589149475098, -0.9787335991859436, 0.2876248061656952, 1.4996633529663086, 1.7338669300079346, -0.21851125359535217, -0.484623521566391, -0.5695596933364868, 1.219904899597168, -0.06990187615156174, -0.21078172326087952, -0.3674400746822357, 0.4766746461391449, -0.1984785944223404, -0.05170605704188347, -0.4695039689540863, -0.38731005787849426, 0.3534226417541504, 0.5085197687149048, -0.5750428438186646, -0.1938691884279251, 1.0983768701553345, -0.6854737401008606, -0.8551213145256042, -0.12236464023590088, -0.43851250410079956, -0.8242526054382324, 0.10022710263729095, 0.36467602849006653, 0.9740336537361145, -0.8671375513076782, -1.200272798538208, 0.00927659496665001, 0.38938236236572266, -0.06998634338378906, -0.2950077950954437, 0.35791558027267456, 1.4104048013687134, -1.0463231801986694, 0.7992264032363892, 1.585063099861145, -1.157326340675354, -0.06259158253669739, -1.6834170818328857, -0.12250085920095444, -1.3696430921554565, 1.197124719619751, 0.38561511039733887, -1.1369364261627197, -0.21268337965011597, -0.7923835515975952, 1.783634901046753, -1.4394419193267822, -0.33986610174179077, -0.8987032175064087, 0.8103358745574951, 0.01818941906094551, 1.5621644258499146, 0.6976855993270874, 1.525589108467102, -0.2652233839035034, 0.3258729577064514, 0.806504487991333, -1.8915749788284302, 0.9540727138519287, 1.6918730735778809, -1.3477636575698853, 0.645912230014801, 3.190805673599243, -0.601341187953949, 1.291266918182373, -0.4498186409473419, 0.820212721824646, 0.2776463031768799, 0.4960131347179413, -1.7055883407592773, 0.6635820269584656, 1.2568366527557373, 0.19370613992214203, 0.4859735667705536, -0.5123283863067627, 1.8338860273361206, 0.3757324516773224, 0.4266323745250702, -0.8616771697998047, 0.4354935884475708, -0.32530444860458374, -2.714172124862671, 2.005262613296509, 0.6761521697044373, 0.4890836775302887, -0.210673987865448, -0.6867245435714722, 0.8465341329574585, -3.0223371982574463, -0.7902733087539673, -1.5242735147476196, 0.1563442498445511, 0.2682287395000458, 0.13942162692546844, -0.7478859424591064, 2.05167818069458, -1.0258039236068726, -1.120739221572876, 0.42554372549057007, 0.7294014096260071, 0.8518415689468384, -0.6276338696479797, 0.7195733785629272, 0.9308627247810364, 0.4164511561393738, 1.9966336488723755, 0.8520837426185608, 0.7682235836982727, 0.0958489328622818, 0.5329360365867615, 0.4754369854927063, 2.4344565868377686, -0.1904088258743286, -1.306636929512024, 0.2107124626636505, -0.16714490950107574, 0.2467939704656601, 0.10165923833847046, 1.5079894065856934, 0.2164853811264038, -0.9351324439048767, -0.5523846745491028, 0.5106949806213379, 1.2544364929199219, -0.013253619894385338, 1.6038494110107422, -0.6249632835388184, -1.3507304191589355, 1.021321177482605, -0.6498568058013916, 0.6474946737289429, 0.43345504999160767, 0.1051495373249054, -0.03128976747393608, 0.5739167332649231, -0.10588275641202927, 1.2525138854980469, -0.38548964262008667, -1.3241287469863892, -1.2974481582641602, 2.1376912593841553, -0.10083524137735367, 0.6608315706253052, 0.36360302567481995, 0.419172465801239, -0.2738091051578522, -1.4088934659957886, 1.0943893194198608, 1.2164913415908813, 1.2216445207595825, -0.3315054476261139, -1.788258671760559, 0.1258995682001114, 0.14383530616760254, -0.5038056969642639, 0.09050753712654114, -0.6873830556869507, -2.286142110824585, 2.759472608566284, 0.5702759623527527, -0.06496011465787888, 1.4898420572280884, 0.16536973416805267, 0.4125310778617859, -0.6384028792381287, -0.5846536159515381, 0.6509965658187866, -0.8258296847343445, 0.6834937334060669, 0.37108999490737915, -1.3181636333465576, 0.7879160642623901, -1.5838468074798584, 0.8081258535385132, -1.0907378196716309, 1.0023881196975708, -0.7567970156669617, -0.18695126473903656, 1.2756730318069458, 0.3123405873775482, 1.3985768556594849, -0.2854398787021637, 0.3921601474285126, 0.55394446849823, -0.25121191143989563, 0.5326203107833862, 1.4100641012191772, -0.5628942251205444, 0.31137600541114807, 2.0491647720336914, 0.23085185885429382, -1.0367738008499146, 1.063988447189331, 1.5672303438186646, -0.0570656955242157, 0.0884530171751976, -0.5693774223327637, -0.41433531045913696, -1.148249626159668, -0.9619073867797852, 0.6592537760734558, 0.09814147651195526, 1.5709648132324219, 1.1707154512405396, -0.7327420711517334, 2.3509840965270996, -0.39085522294044495, -2.538680076599121, -0.8025192022323608, -0.47707468271255493, 0.9944089651107788, 1.6335749626159668, 0.6494629383087158, 1.1259647607803345, -0.27743032574653625, 0.4092679023742676, 0.4746086597442627, 1.3223992586135864, 0.6497112512588501, -0.9295564889907837, 1.4542161226272583, 0.16635487973690033, 1.7008447647094727, 0.23205718398094177, -1.1010366678237915, -1.4372810125350952, -1.6226478815078735, 0.2040235698223114, 0.36442866921424866, 1.5480401515960693, -1.2653405666351318, 1.335567593574524, -0.016756201162934303, -0.7316606640815735, -0.6744858622550964, -1.765975832939148, -0.1871170848608017, -0.19322188198566437, -1.0731273889541626, -1.354905605316162, -1.197567105293274, 0.6791066527366638, -1.8261759281158447, -0.5083659291267395, 1.5365008115768433, 0.585556149482727, -1.5328056812286377, -1.1438957452774048, -1.0333430767059326, 0.24545979499816895, 0.5113753080368042, 0.9952777624130249, -0.2725597620010376, 0.15916217863559723, 1.502068042755127, -0.733941376209259, -0.0924094021320343, 0.6646535396575928, 0.11499563604593277, -1.1858209371566772, 1.1931160688400269, 0.29021936655044556, 0.5115368962287903, -0.2050548940896988, 0.5360910296440125, 1.3840367794036865, 0.5684253573417664, -1.122538685798645, 0.4674454927444458, -0.6725267171859741, -0.9534502625465393, 1.5025460720062256, 0.4847389757633209, -0.3157972991466522, 0.7085506916046143, 0.9676546454429626, -0.6942254304885864, 0.29861119389533997, -0.7724199891090393, -2.0416407585144043, 2.212188243865967, -0.6281906962394714, 0.4042521119117737, -1.7558284997940063, -0.8130379915237427, -0.44004836678504944, -0.08308034390211105, 1.483890175819397, -0.39155563712120056, -0.8948341608047485, 0.48834705352783203, -0.06479572504758835, 1.7593989372253418, -0.5441111326217651, -0.17532826960086823, 0.7754695415496826, 2.157965660095215, -0.5898804068565369, 0.14837177097797394, 0.5605907440185547, -0.23685553669929504, 0.3219779431819916, 0.3129698634147644, -1.4486629962921143, 0.26484185457229614, -0.1734577715396881, -0.7300617694854736, 1.4098461866378784, 0.11816158145666122, -0.9271631836891174, -0.8477520942687988, -0.7540673613548279, -0.33955177664756775, -0.4494960308074951, -1.0779105424880981, 0.39821621775627136, 0.02525140345096588, -2.178102731704712, -0.09942667931318283, 0.7653176188468933, -0.8066032528877258, 0.26115140318870544, 1.337112545967102, 0.46847566962242126, 0.11577405780553818, -1.374763011932373, 1.125159502029419, 1.644574761390686, -1.457295298576355, -0.37418749928474426, 0.07240715622901917, -0.09245171397924423, -0.7019925713539124, 0.3727855086326599, 0.10737285017967224, -0.4667949676513672, 1.3908771276474, -0.8090543746948242, -0.037274062633514404, -0.7741774916648865, 1.8271782398223877, -2.086712121963501, 0.4752485752105713, 0.6800041794776917, -0.4242347180843353, 0.14801868796348572, 0.9035996198654175, -0.3091236352920532, -1.4060144424438477, 0.8817246556282043, 0.08507926762104034, 0.810773491859436, 1.4974000453948975, 0.8257631063461304, -1.7189817428588867, 0.962713360786438, -1.061492919921875, -1.4342057704925537, 0.7779703736305237, 0.3900999128818512, 0.7219089269638062, 0.654823362827301, 0.9399248361587524, 1.1412341594696045, -0.526445209980011, -0.5402037501335144, -0.7265146970748901, -0.10426396876573563, -0.8557581305503845, -1.0691231489181519, 0.7260857820510864, -0.7048197984695435, -0.24537259340286255, 0.09109876304864883, -1.062835693359375, 1.2211328744888306, -1.013749361038208, -1.059293508529663, -0.6009429693222046, 0.2843971848487854, 0.0032599258702248335, -0.13388435542583466, -0.6266643404960632, -1.5766931772232056, 0.7048084139823914, 0.11113036423921585, 2.063307285308838, -1.4310176372528076, 0.8435734510421753, -0.6416558027267456, 0.08354318886995316, -0.35235244035720825, 0.1611877977848053, -0.5348463654518127, 0.05737479031085968, 1.3542205095291138, -0.18023772537708282, 1.1566745042800903, 0.3268880546092987, 0.9673248529434204, 0.1660413295030594, -1.0279399156570435, -0.8680031895637512, -0.5299814939498901, -0.5327068567276001, 1.1659384965896606, 1.640608549118042, 2.2579383850097656, 0.7348787784576416, 0.9099310636520386, -1.506561517715454, -1.3157578706741333, -0.22806665301322937, -2.188554525375366, -0.026770152151584625, -0.46393221616744995, -0.10605113208293915, 0.23175737261772156, 1.1795248985290527, -1.1681324243545532, 0.48386138677597046, -1.7305805683135986, -0.8301733732223511, 1.2773927450180054, 1.5392189025878906, 1.736219882965088, 0.660980761051178, 0.3860521614551544, -2.3957648277282715, -0.8579966425895691, 1.6777061223983765, 0.7864134907722473, 0.2030722051858902, -0.6256394386291504, 1.2548301219940186, -0.685516893863678, 0.8693015575408936, 2.165555477142334, 1.2627568244934082, -0.713235080242157, 0.45525315403938293, -0.051232341676950455, 0.0697067379951477, -0.014924323186278343, 0.945341944694519, -0.4234080910682678, 0.6191816926002502, -1.4378063678741455, -0.39134877920150757, 0.9389720559120178, -0.3032579720020294, 1.0143312215805054, 0.84488844871521, -0.20602969825267792, -0.9769241809844971, -0.39448055624961853, 0.5435141324996948, 1.073676586151123, 1.1331039667129517, -0.3416178822517395, -0.12874579429626465, 1.844938039779663, -0.3162660598754883, -1.2327765226364136, 1.3597575426101685, 0.745162844657898, -1.0482264757156372, 0.1603483259677887, -0.021772418171167374, -0.030293477699160576, -0.046627242118120193, 0.46988266706466675, 1.95306396484375, 1.0637807846069336, 0.6187540888786316, -0.9219149947166443, -1.3636456727981567, 0.058288633823394775, 0.010525117628276348, 0.3717278242111206, 1.3589394092559814, 1.858826994895935, -0.8299147486686707, -0.10299897193908691, -0.5229794979095459, -0.2590780556201935, -0.9759146571159363, 2.553363800048828, -0.16458676755428314, 0.4584340751171112, -0.06633884459733963, -0.31204864382743835, 0.5923963785171509, -1.2260268926620483, -0.010883732698857784, -0.335117906332016, -0.45321178436279297, 0.13802725076675415, 0.051149871200323105, 1.820857048034668, 0.6447515487670898, 0.7308526039123535, 0.7230759859085083, -0.5839142799377441, -0.5589808225631714, 0.7901380062103271, 0.14539870619773865, -0.15223193168640137, -2.4371883869171143, -0.15179823338985443, -0.9822611212730408, 0.8964999914169312, -0.9253329038619995, -0.0885804146528244, -0.8893653154373169, -0.7843067049980164, -0.8299545645713806, -0.9921654462814331, -1.1133373975753784, 0.6626083850860596, -1.7218788862228394, 1.146868109703064, 0.7635136842727661, 0.22631822526454926, -1.929874300956726, -0.12793760001659393, -0.6786191463470459, -0.603934645652771, 0.49587535858154297, 0.24288445711135864, 0.04501154646277428, -0.27647504210472107, -0.565505862236023, 0.10614030808210373, -1.2018901109695435, -0.14352139830589294, -0.12161219865083694, 1.490066647529602, 0.8334063291549683, -0.25763633847236633, 0.6127729415893555, -0.08819669485092163, -0.6225369572639465, 0.23126494884490967, -0.05434887111186981, 0.2904782295227051, -0.7519379258155823, -1.3824572563171387, -1.4663668870925903, 0.014899557456374168, -0.3404864966869354, 1.1357020139694214, -0.8566733598709106, 1.7764161825180054, -0.2301054298877716, -0.7098055481910706, -1.1370069980621338, 0.47738751769065857, -0.037552885711193085, -1.6228479146957397, -0.5886682271957397, -0.3516218364238739, -0.3980659544467926, -1.6102988719940186, -0.8450783491134644, -0.8726787567138672, 1.563057780265808, 1.0555039644241333, -1.581424593925476, 1.2987139225006104, -0.23983551561832428, 0.2545110285282135, -0.04216396436095238, -1.7411742210388184, -0.1285804808139801, 0.8082689046859741, 0.4279713034629822, -0.984110414981842, 2.3927104473114014, 0.1775067001581192, -1.06504487991333, 0.8950035572052002, 0.44020694494247437, 0.04215404763817787, 2.9506614208221436, 0.28434693813323975, -1.302903413772583, -1.365524172782898, -0.6496962308883667, 0.09081003814935684, -0.5881830453872681, -1.5429331064224243, -0.4896358549594879, 1.5256280899047852, 0.10064459592103958, 0.7268713116645813, -0.5115031003952026, 0.05814242735505104, 1.1169580221176147, -1.1568068265914917, -0.6356608867645264, -0.3117929995059967, -0.40502968430519104, 0.19895462691783905, 0.772784948348999, -0.30353713035583496, 0.14179301261901855, -0.5607890486717224, -0.9443882703781128, -0.01111698243767023, 2.123375654220581, 0.06877496838569641, 0.4169491231441498, -0.24977749586105347, -0.545252799987793, -0.9755994081497192, -1.20221745967865, 0.5999055504798889, -0.8824244141578674, 0.6072920560836792, -0.33478453755378723, -0.44080570340156555, 0.8753153085708618, 1.0302766561508179, 0.14190493524074554, 0.2602940797805786, -1.0642081499099731, -2.3335158824920654, -0.4947655200958252, 0.6973779797554016, 0.18492239713668823, -1.7366071939468384, -1.4737104177474976, -0.29642796516418457, -1.2935495376586914, 1.3300141096115112, 0.15660084784030914, 0.7192749381065369, -0.41087475419044495, -0.18509328365325928, 0.20157046616077423, 1.0681755542755127, 1.4472825527191162, 0.41411155462265015, 0.4049012362957001, 1.391319751739502, -0.5284497141838074, 0.8230393528938293, 1.256250262260437, 0.5608008503913879, -0.10773158073425293, -0.18857023119926453, -0.963474452495575, 0.8293810486793518, 0.015155933797359467, -0.5782961249351501, 1.4784752130508423, -1.3544560670852661, 0.023133959621191025, 0.6527687311172485, -0.1085519939661026, 0.9646967053413391, 1.5780550241470337, 1.2073349952697754, 0.6106375455856323, -0.039574190974235535, -0.6554656624794006, 1.0122392177581787, -0.4351484179496765, -1.3593757152557373, 0.9401858448982239, -1.3169169425964355, 1.5025312900543213, 0.07636680454015732, -2.171628713607788, -0.48955032229423523, -0.25380951166152954, -0.4705040752887726, -1.1395407915115356, 1.123634696006775, 0.5766793489456177, -0.7417083978652954, 0.5714744329452515, -0.6011062860488892, -2.043668746948242, -0.16402140259742737, -1.7881031036376953, -0.4002372920513153, -0.0212436281144619, -0.30935588479042053, -0.723847508430481, -0.2319200187921524, -0.0705651342868805, -1.049216866493225, -0.42547163367271423, 0.058847781270742416, -0.3471394181251526, 0.7215441465377808, -0.1455797404050827, 0.06684573739767075, -1.311807632446289, 0.36433088779449463, -0.8984359502792358, 0.26039355993270874, 0.9449186325073242, 1.0796419382095337, 1.2082146406173706, -1.2565834522247314, 0.05605543032288551, 1.5269005298614502, 1.6586271524429321, -0.8109675645828247, -1.8637484312057495, 0.7676361799240112, -0.5430493950843811, -1.0635700225830078, 0.004464233759790659, -0.47033923864364624, -1.3740434646606445, 0.928680956363678, 0.577461838722229, 0.23277291655540466, 0.9004315733909607, 0.2508660554885864, -0.0434284508228302, -1.4278597831726074, -1.1710537672042847, 0.4772864878177643, 2.989598274230957, -1.2092043161392212, -1.953215479850769, 0.2378728985786438, 0.25879767537117004, -0.026090046390891075, 0.004696083255112171, 0.2765096127986908, -1.019387125968933, -0.5463771820068359, 1.3665167093276978, 0.2943873703479767, -0.021988725289702415, 0.753296971321106, 0.685407817363739, -0.45394328236579895, 1.7429176568984985, -0.16899816691875458, -1.4157841205596924, 0.5801781415939331, 0.07722577452659607, -1.1798079013824463, -0.3669127821922302, -0.7755778431892395, -2.0199081897735596, 0.5616551637649536, 1.2667670249938965, -1.020917296409607, 1.352225661277771, 0.5391104221343994, -0.05411321669816971, 1.0806541442871094, 0.48450368642807007, -1.711218237876892, -1.2035019397735596, -0.5164218544960022, -2.508127212524414, -0.7372764348983765, -1.2346482276916504, 0.882591187953949, 0.07422303408384323, -2.222595453262329, -1.821140170097351, 0.589924693107605, -1.7875101566314697, -0.1646498441696167, 0.16009105741977692, 0.35400447249412537, -1.7698445320129395, -0.32279446721076965, 1.257590413093567, -1.2798793315887451, 0.9326368570327759, -0.5556417107582092, -1.3344072103500366, -0.3167259097099304, 0.25428006052970886, -0.2839122712612152, -0.6439486145973206, 0.18262554705142975, 1.562988042831421, 1.3822121620178223, 0.9765884280204773, 0.6930294036865234, 0.271592915058136, 1.221801996231079, -0.1314529925584793, 0.6741605401039124, 0.46545112133026123, 0.13575762510299683, 0.17665579915046692, 0.6820751428604126, 1.0276738405227661, -0.10302738845348358, 0.004662531428039074, -1.548913836479187, 0.19126568734645844, -1.2452707290649414, 0.8180083632469177, -0.40522897243499756, -0.978797972202301, -0.7889965176582336, 1.883500099182129, -0.7563841938972473, 0.4402008056640625, -0.6331361532211304, -0.029361890628933907, 0.31904417276382446, -0.6833187341690063, -0.6098767518997192, -0.27198532223701477, -1.4921873807907104, -0.20556698739528656, -1.488189697265625, -0.8154131174087524, -0.9653355479240417, 0.10373398661613464, 0.4692583978176117, -0.5887708067893982, 0.7566062211990356, -0.645599365234375, -1.2567130327224731, -1.573088526725769, -0.01082224678248167, -1.8087395429611206, -0.36842039227485657, -1.6982169151306152, -0.6750814318656921, 0.0485750176012516, -0.4483441114425659, 0.7689269185066223, 0.8212010860443115, 0.2234317660331726, 0.9484866261482239, -0.4266612231731415, 0.900510847568512, 0.12217115610837936, -1.0108203887939453, 0.49146121740341187, -0.3788752555847168, -0.4692627489566803, -1.0610442161560059, 0.20040781795978546, -0.31814631819725037, -0.7829126119613647, 0.9855767488479614, 1.1441283226013184, 0.3099762797355652, 0.9348458051681519, 0.30578818917274475, 0.15965533256530762, -1.64449942111969, -0.9724231958389282, -1.228929042816162, 0.24005232751369476, 0.15913482010364532, -0.7954318523406982, -0.0216759592294693, 0.6788978576660156, -0.9886178970336914, -1.0437524318695068, -0.8988533020019531, -0.1730501353740692, 1.078325629234314, 0.3030931353569031, -1.2037312984466553, -1.121062159538269, -0.36239805817604065, 0.37521252036094666, -0.5222429633140564, -1.3833847045898438, -0.3312273323535919, -0.5574028491973877, 0.3490319550037384, -1.5230770111083984, 0.16938044130802155, 1.0972421169281006, -0.37947356700897217, -1.665340781211853, -1.2973617315292358, 0.39789846539497375, 0.31977635622024536, 0.5640766620635986, -0.8014949560165405, -1.0586609840393066, 0.04436933994293213, 0.4429776668548584, 2.0990676879882812, 0.9469335079193115, -0.4649449586868286, 1.8368943929672241, -0.6088597178459167, 0.49371427297592163, 0.6474882364273071, -0.9371463656425476, -0.6429196000099182, 0.9341015219688416, -2.7146573066711426, -1.6470417976379395, -0.12183345854282379, 0.27454087138175964, 0.6275482177734375, -0.47273290157318115, 0.545788049697876, 1.3133882284164429, 1.8241539001464844, 0.131010964512825, -0.22861327230930328, 0.22073395550251007, 1.7237679958343506, 0.7357295751571655, 1.3309835195541382, 0.4359191060066223, 0.07658328860998154, -1.8510260581970215, -0.284060001373291, 1.6161528825759888, 0.5965969562530518, 0.16536526381969452, 0.11814603209495544, -0.1511274129152298, -0.8935442566871643, -0.7749989628791809, 0.9411558508872986, 0.8692697286605835, -0.07105082273483276, 1.3583656549453735, 2.475844621658325, 1.4703072309494019, -0.9720620512962341, -0.79170823097229, -1.2448948621749878, 0.9274077415466309, -1.5847498178482056, 0.36092862486839294, 1.092741847038269, 0.37469208240509033, 0.015161777846515179, -0.6621737480163574, 2.2988109588623047, -1.9117441177368164, -0.7329822182655334, -1.1412734985351562, 0.609390914440155, 0.2389240711927414, 0.7655254602432251, -0.20893481373786926, 0.7456967234611511, 1.4651877880096436, -0.3389451205730438, -0.04038854315876961, 0.9220958948135376, 0.9223361611366272, -2.44185471534729, 0.37035349011421204, -0.16427859663963318, 0.5071129202842712, 1.1588882207870483, 0.3161395788192749, -0.6882770657539368, 0.965609610080719, 2.1141059398651123, 0.1197686716914177, -0.5224882364273071, -1.6149641275405884, 0.8801792860031128, 2.253074884414673, -1.688312292098999, -1.3742417097091675, 0.44361352920532227, 1.1476761102676392, -0.6008217334747314, -1.532778263092041, -1.2864782810211182, 1.3966315984725952, 0.25216034054756165, -0.23705023527145386, 0.4672769010066986, -0.24338339269161224, 0.07765602320432663, -0.13471876084804535, -0.3754270672798157, 0.26359233260154724, -0.7192918658256531, -1.2077428102493286, 0.5625342726707458, -1.2045390605926514, 0.54453444480896, 0.6363741159439087, 0.2319357693195343, -0.826522707939148, -1.2110962867736816, -1.9677860736846924, 1.6773152351379395, 0.4849500060081482, -1.332527756690979, -1.1715610027313232, 1.1565686464309692, -1.3620905876159668, -0.16543938219547272, -1.4083701372146606, 0.13943076133728027, -0.3837234377861023, -0.43161988258361816, -1.3593958616256714, 0.08212801069021225, -0.3389788866043091, -0.580152690410614, 0.08162491023540497, 1.48977530002594, -0.5443360209465027, 1.9778058528900146, -1.428976058959961, 1.9780203104019165, 1.3829128742218018, 0.32436975836753845, 0.7152919173240662, 1.722481608390808, 1.1005663871765137, 0.9372300505638123, 0.8100894689559937, 1.039117693901062, -0.816851019859314, 0.34515464305877686, -0.6302202343940735, 0.517951250076294, 0.9590559601783752, 0.5935268402099609, 1.6565637588500977, 1.6757452487945557, -0.07801997661590576, -0.6282824873924255, 0.06644545495510101, -0.22141391038894653, -0.12929268181324005, 0.11732728034257889, -0.06253805011510849, -0.0050015198066830635, 0.1234537810087204, 0.514732301235199, 2.0779178142547607, 0.250248521566391, 0.4948592782020569, -0.2563878297805786, 0.344306081533432, 1.3935792446136475, -0.5647326707839966, -2.228834629058838, -0.15023909509181976, -0.7449027895927429, 1.3024957180023193, -0.35074955224990845, -0.2753983736038208, 1.255515694618225, -0.04885272681713104, 1.10112464427948, -2.1742308139801025, -0.7440364360809326, -0.288420170545578, 0.6537655591964722, 1.0600359439849854, 0.2224792093038559, -0.680820882320404, 0.6894928812980652, 0.10115215927362442, -0.3805777430534363, 0.6184438467025757, 0.3606068789958954, 1.4688029289245605, -0.5774019360542297, -0.2618524432182312, -0.3488866686820984, -0.24276745319366455, 1.2215793132781982, -0.5953881740570068, 0.5573393702507019, -1.006493091583252, 0.331804484128952, 1.6435781717300415, 1.191725254058838, 0.7487701773643494, 0.9354962706565857, 0.9687620997428894, 0.12320327758789062, -0.20863166451454163, 0.09125232696533203, -1.6433699131011963, -0.322552353143692, -2.351114511489868, -0.09875011444091797, 1.7516673803329468, 1.3800650835037231, 0.5071369409561157, 0.5212838649749756, 1.5820531845092773, -1.4897761344909668, 1.2809046506881714, 0.16164259612560272, 0.3186115622520447, -2.2288055419921875, -0.05717091262340546, -1.5236259698867798, 0.6685225367546082, 0.25314831733703613, -0.23427480459213257, -1.7889245748519897, 0.8674976229667664, -0.5141685009002686, 1.342922568321228, -1.0301905870437622, 1.9753015041351318, 0.7609940767288208, -0.535705029964447, 0.06683531403541565, 2.018266439437866, 0.27672162652015686, 0.7107449173927307, 0.9015052914619446, -0.4307286739349365, 0.4186854660511017, -0.6401443481445312, 0.16365353763103485, 1.5232551097869873, 0.8490500450134277, 0.5887444019317627, -0.7297033071517944, -1.1118806600570679, 0.6518179178237915, 0.17850540578365326, 0.018080750480294228, -1.5428991317749023, 0.30071887373924255, 0.8462302088737488, 0.3281775414943695, 0.3389818072319031, 2.2673542499542236, 0.41665688157081604, -0.4660714864730835, 0.1282312124967575, -0.7265498638153076, -0.36812686920166016, -0.595182478427887, 0.2243308126926422, -1.1488187313079834, 0.6029321551322937, -0.1605972796678543, 0.38384532928466797, -1.4720324277877808, 1.048689365386963, 0.65531325340271, -1.0247077941894531, 1.3531429767608643, -1.645756721496582, -0.7831816673278809, -0.9255742430686951, 0.196843683719635, 0.30339401960372925, -0.04879975691437721, -0.09987232089042664, 1.218583345413208, -0.33562225103378296, -1.0952259302139282, -0.22396259009838104, -0.4663485288619995, 0.534764289855957, 0.12402807176113129, 2.1526994705200195, -1.0066014528274536, -0.6125025153160095, -0.3001621961593628, -1.398984670639038, 0.6973495483398438, -0.46791374683380127, -1.4250822067260742, 0.019642023369669914, 2.1153786182403564, -0.4254903793334961, 0.1308627426624298, 0.8580164909362793, 2.4388985633850098, -0.01425065379589796, 0.8643195033073425, -0.8953396081924438, 1.3297122716903687, -1.1409059762954712, -0.4929295480251312, -0.04570236802101135, 1.5934357643127441, -0.4148770570755005, -1.1023271083831787, 0.06930840015411377, -0.8126545548439026, 2.244769334793091, 0.11041582375764847, -0.07805891335010529, -0.921420693397522, 1.106024146080017, -1.8245254755020142, -1.0175976753234863, 1.9178874492645264, -1.1084988117218018, -0.43314963579177856, -1.6662439107894897, 1.0400519371032715, 0.6349436640739441, 0.85442715883255, 0.679090142250061, -0.9449850916862488, 0.022836677730083466, 1.472090244293213, -0.04130369797348976, 0.1304178535938263, 0.603651762008667, -0.09944871068000793, 0.5200274586677551, 0.35616257786750793, 0.7490676641464233, 1.323345422744751, -1.0376898050308228, 0.059236716479063034, 0.17631398141384125, 0.02892867848277092, -1.6516685485839844, 0.43194442987442017, -0.07442589849233627, 0.2978909909725189, 0.024466469883918762, -0.27946344017982483, -1.117319941520691, 0.259685754776001, 1.0299040079116821, -0.1889377236366272, 0.6183508038520813, -1.4408164024353027, -0.16785570979118347, -1.8687130212783813, 1.2913020849227905, 1.6302706003189087, 2.0337047576904297, 0.6662175059318542, 0.5214741230010986, 0.6321467757225037, -2.0607926845550537, 0.13947659730911255, 0.2289389967918396, 1.160901427268982, -0.4434090554714203, -1.1939741373062134, -1.0297093391418457, 1.0443071126937866, -1.2880663871765137, 0.4211995601654053, 1.3638496398925781, 0.6599025726318359, -1.0502110719680786, 1.0860329866409302, 0.30472198128700256, -0.12387961149215698, -0.6528860330581665, -1.3046917915344238, -2.2271881103515625, -0.6058803200721741, 0.5187941193580627, -0.10979873687028885, -0.5567337870597839, -1.0043472051620483, 0.9042888283729553, -0.7957079410552979, -0.4829813241958618, -0.027974620461463928, -0.9979551434516907, 0.4488811790943146, 0.2596134543418884, 1.3615692853927612, -0.7546707391738892, 0.16854055225849152, 0.8518182635307312, -0.6780543923377991, 0.5045791268348694, -0.77362459897995, 0.9371506571769714, 1.385774850845337, -1.1841555833816528, -0.15103548765182495, -0.5500558614730835, -1.7810419797897339, -1.730419635772705, 0.003417945234104991, 1.3133888244628906, 0.1762334406375885, 0.7282078862190247, 1.1501026153564453, 0.19412904977798462, 1.0835111141204834, 0.8856019377708435, -0.5800641775131226, 0.4594910740852356, 1.0766018629074097, 0.9131234288215637, -1.1583951711654663, -0.47996532917022705, -0.6443414092063904, -0.035584066063165665, 0.8454863429069519, -0.16637277603149414, -0.9805455803871155, -0.5056660771369934, -0.9882820844650269, -0.014979658648371696, 0.20685309171676636, 0.015357059426605701, -1.1824136972427368, -1.2772718667984009, -1.0392591953277588, -1.216671109199524, -0.8148109912872314, 0.511281430721283, 2.068171262741089, 0.5931435823440552, 0.43313097953796387, 1.3787606954574585, 1.1592934131622314, -0.04407905414700508, 1.309950828552246, 0.27364975214004517, -1.1118990182876587, -0.7122156620025635, 0.5061488747596741, -0.8376069068908691, 0.4438124895095825, -1.1678481101989746, -0.5417557954788208, -2.5410337448120117, 0.02695895917713642, 0.3078378736972809, 0.5033693313598633, -0.30070334672927856, 0.9063212275505066, 0.4186393618583679, -1.3571099042892456, -0.9487292170524597, -0.3827769160270691, 0.4431551396846771, -1.772299885749817, -0.6656351089477539, 1.1988664865493774, 0.8199948668479919, 1.020451307296753, 0.2936358153820038, 0.4661419093608856, -0.7369197607040405, -1.1657395362854004, 0.903299868106842, 0.9481608271598816, 0.18044991791248322, 0.556249737739563, 0.04646822437644005, -0.4516129791736603, -1.5399720668792725, -0.41380441188812256, 0.3110904395580292, -0.6129445433616638, -1.605727195739746, 0.11873703449964523, -0.12363054603338242, 0.055345162749290466, -0.4162052571773529, 2.1541237831115723, -0.4405915141105652, 0.4130123555660248, 0.7659253478050232, -0.6078095436096191, -0.7920074462890625, -0.2285059541463852, 0.4606011211872101, -0.43295755982398987, 2.039851188659668, 1.3071610927581787, 0.1357683539390564, -1.9508756399154663, -2.078108549118042, 1.028929352760315, 0.044891729950904846, -1.3794113397598267, 1.1668907403945923, -2.3335416316986084, 0.8136601448059082, 1.4974545240402222, -0.7357481718063354, -0.7038257122039795, 0.26929453015327454, -1.3754714727401733, -0.3876359164714813, -0.5614470839500427, 0.7385765910148621, 1.9488533735275269, 0.6265953779220581, 0.35826072096824646, 1.7522861957550049, 2.2699296474456787, -0.4312088191509247, 0.8611759543418884, 1.5841128826141357, 0.9045839309692383, 1.0087696313858032, 0.7887635231018066, 0.5699596405029297, 0.06902510672807693, -0.5737823843955994, 0.9187195897102356, 0.7561306953430176, 1.014160394668579, -0.6882190108299255, 1.3236747980117798, -0.4751625061035156, -1.6496756076812744, -1.2338966131210327, -0.5187156796455383, 0.2443663328886032, 0.41454195976257324, 1.191979169845581, 0.16009458899497986, 0.9248452186584473, -0.9217734932899475, 0.49395015835762024, -0.4398263990879059, 0.18949034810066223, -0.26102355122566223, 1.4281442165374756, 0.5891845226287842, 0.5350711941719055, 0.9576377272605896, 0.7547209858894348, -0.576934278011322, -1.6466362476348877, 1.04771888256073, 2.137125015258789, -1.1744649410247803, -0.43182846903800964, 1.6043727397918701, 0.11066021025180817, -0.6832787990570068, -0.4043117165565491, -0.30186906456947327, 0.5537109375, -0.8582836389541626, -1.018256425857544, 1.6605397462844849, -1.613689661026001, -0.5548788905143738, -0.2060329169034958, -0.252361923456192, -3.070864677429199, 0.4225463271141052, -0.6023243069648743, -0.48274728655815125, 0.33425527811050415, 0.970231294631958, -0.07708892226219177, -0.9799700379371643, -0.8775823712348938, -0.2971717119216919, 0.026808489114046097, 0.7415235042572021, 0.7773804664611816, -0.8424386978149414, -0.9691802263259888, 1.1983129978179932, -1.6248780488967896, -0.7715206146240234, -2.019573211669922, -0.3662741482257843, 0.12314664572477341, 0.7267277240753174, 0.3002045154571533, -1.4850521087646484, 0.042459771037101746, -1.932166576385498, 0.6070274710655212, 0.13197468221187592, -1.0045368671417236, 0.12476415187120438, 0.5504345297813416, 0.32683050632476807, -0.0355943962931633, 0.35999757051467896, 0.47071966528892517, 0.039210304617881775, 0.7373024821281433, 1.152550458908081, -0.3492199778556824, -2.9292819499969482, -0.45502403378486633, -0.17062051594257355, 0.28686583042144775, -0.3015928864479065, 1.873910665512085, 1.996010422706604, 1.2263299226760864, 1.2569459676742554, 0.9384115934371948, 0.2753960192203522, -0.032102491706609726, -0.5952325463294983, 1.2561523914337158, -0.090945303440094, 0.47604799270629883, 1.2019119262695312, -1.0474869012832642, 1.0791287422180176, 0.8987540602684021, -0.9122828841209412, 0.3620542287826538, 0.7841823697090149, 0.7932823300361633, 1.0016037225723267, -0.952812910079956, 0.16397443413734436, 1.0324876308441162, 0.3582536578178406, 0.01243269070982933, 0.8237007856369019, -0.6997995972633362, 0.1332482397556305, 0.6848622560501099, 0.5759623050689697, -0.022089535370469093, 1.9082233905792236, 1.4626305103302002, -0.6049976348876953, 0.18940091133117676, 0.9644883275032043, -1.6571674346923828, 1.2513136863708496, 0.714038610458374, 0.6390202045440674, -0.7764405012130737, -0.4411243200302124, -0.29241859912872314, 0.40620312094688416, -0.7290176153182983, -0.2636597156524658, 0.06297720968723297, 0.121890589594841, 0.23631036281585693, -0.2561911344528198, 0.2317119538784027, 1.1030421257019043, 0.848824679851532, -1.6839817762374878, 0.05071798712015152, -0.20219364762306213, 0.16214275360107422, -0.7217525839805603, -1.0673404932022095, -1.2312343120574951, 0.26465901732444763, 1.2985841035842896, 2.1888115406036377, -0.8006558418273926, 0.7254535555839539, 1.0274686813354492, -1.5891668796539307, -0.21191638708114624, -0.905847430229187, -1.5086878538131714, 0.8594591617584229, -0.32631954550743103, 1.2444088459014893, -0.4711293578147888, 0.010346651077270508, -0.47961172461509705, -0.15481722354888916, -0.4781709909439087, -0.1395954191684723, 0.007355604320764542, 2.1889634132385254, 0.8297053575515747, 0.46722251176834106, 0.6247050762176514, -0.22031903266906738, 0.0945037230849266, -1.401115894317627, 0.8269931077957153, -0.8633894324302673, -0.0667913481593132, -1.051884412765503, 0.12234276533126831, -1.3886349201202393, 0.09405693411827087, -0.2764221429824829, 0.40768587589263916, -0.745682418346405, -0.4140929579734802, -1.339300513267517, -1.4354112148284912, 1.7708885669708252, 1.2966480255126953, -1.0350277423858643, 0.5199962854385376, -1.6814134120941162, 0.9976937174797058, 0.6089606881141663, -0.28997287154197693, -0.6141459345817566, -1.3034389019012451, 0.4951322078704834, 0.6749987006187439, 1.4768412113189697, -1.3465118408203125, -0.16239048540592194, 1.0917158126831055, -0.19618956744670868, -0.7825008630752563, -0.25232428312301636, 0.38747167587280273, 2.036458730697632, 1.063026785850525, -0.3362411558628082, 0.36417925357818604, 0.4921489357948303, -0.5641039609909058, -0.2846556007862091, 0.8095285892486572, -0.28231003880500793, 0.1293259859085083, 1.0654710531234741, -0.2536165416240692, -0.5697010159492493, -0.9659896492958069, -1.0192997455596924, -0.8345308899879456, -0.3961600959300995, -1.1213308572769165, 1.0152043104171753, 0.21832649409770966, 0.2661489248275757, 0.9220579266548157, 0.5927607417106628, 1.265446424484253, 0.4258235991001129, -0.6038081049919128, 0.507167398929596, 1.2961137294769287, 0.8650004863739014, 0.19035889208316803, 0.7241655588150024, 1.0538089275360107, -0.5052041411399841, -0.6761142611503601, -0.695996105670929, 0.18433386087417603, -0.9895939230918884, -1.0486303567886353, -1.5234540700912476, 0.9250112771987915, -0.300370991230011, -0.5420231223106384, -0.9149524569511414, 0.8458505272865295, -0.10829252749681473, -0.8726300001144409, -0.10793568938970566, 1.426137089729309, 0.7258138656616211, 0.807395339012146, -0.7783606648445129, -1.106239676475525, 0.17943495512008667, 0.5193887948989868, -0.20638808608055115, -1.3899542093276978, -2.83294939994812, -1.0754214525222778, -1.2377572059631348, -0.4012771248817444, 1.4376006126403809, 0.05460624024271965, 0.3104310631752014, 0.15368442237377167, 1.2091199159622192, -0.7845701575279236, 0.24667124450206757, 0.291596919298172, 0.45705291628837585, -1.4685142040252686, 1.4672834873199463, 0.6515027284622192, 1.557308554649353, 1.1760023832321167, 0.39420872926712036, 0.11298411339521408, 0.6041317582130432, 0.03631874918937683, -0.09254396706819534, -1.290150761604309, -0.20566125214099884, -1.1309599876403809, -1.0115077495574951, 1.1491453647613525, -1.806228756904602, 0.6956860423088074, -0.5605051517486572, 0.0904150903224945, -0.8845287561416626, -0.3339102566242218, -0.3989619016647339, -0.26971638202667236, 0.3183329999446869, -1.3211307525634766, -0.06462840735912323, 2.4779984951019287, 0.2844017744064331, 0.4112381339073181, -0.3920705020427704, -0.8586087822914124, 1.1995420455932617, -2.140848398208618, -1.336142659187317, -0.8648803234100342, 0.13889023661613464, -1.8320021629333496, 0.15279866755008698, -1.6230559349060059, 0.6574265360832214, 0.7301390171051025, 0.32424426078796387, -0.7202870845794678, 0.5640677213668823, 0.24781100451946259, -0.15706898272037506, 0.32060739398002625, 1.139134168624878, -0.6059219837188721, -0.7973236441612244, 2.2065773010253906, 0.9207944869995117, -0.1345595419406891, 0.478300541639328, 0.8647485971450806, -1.3607732057571411, -1.7938108444213867, 1.2615644931793213, 0.05444213002920151, 0.030626539140939713, 0.05238872766494751, 0.7604564428329468, 1.7057868242263794, -0.4620673954486847, 1.221690058708191, -1.0238533020019531, -0.300335168838501, 1.4380574226379395, 0.6092077493667603, -0.03359920158982277, -2.347519874572754, 1.2633694410324097, 2.133133888244629, 0.279070645570755, 0.6468484997749329, 0.7394493222236633, -0.4520459473133087, -0.7462388277053833, -0.34599006175994873, -0.22186961770057678, 3.064863920211792, 0.31341102719306946, -0.8354211449623108, -0.6412434577941895, -0.984363317489624, -1.1663687229156494, -1.379163146018982, -0.5477033257484436, 0.5237505435943604, 2.3133013248443604, -2.4168272018432617, 0.7144538760185242, -1.5856130123138428, 1.1548370122909546, 0.3424864411354065, -0.33405801653862, -0.7353968024253845, -0.317344069480896, -0.6056594252586365, 0.9752163887023926, -0.1581365317106247, -0.16733615100383759, 0.9460160136222839, 0.5097565650939941, -0.5673792362213135, 0.6623902916908264, 2.418107509613037, 0.22746509313583374, 1.2366477251052856, 0.10180911421775818, 0.5507533550262451, -1.1162278652191162, -0.27488213777542114, 2.3369271755218506, 0.8206181526184082, -0.37446439266204834, 1.249855637550354, -0.9065141081809998, 0.7082273364067078, 1.2471636533737183, 0.2288949340581894, -0.4418111741542816, 0.9471950531005859, 0.017735593020915985, -0.7630348205566406, -0.7064182758331299, 0.856104850769043, -0.026943013072013855, 0.7622936964035034, 1.8432997465133667, -0.5726586580276489, -0.6667470335960388, -0.5484340190887451, 0.07342003285884857, 1.583588719367981, 0.4505362808704376, -1.8836300373077393, 1.9277799129486084, 1.7212282419204712, -0.012548479251563549, 1.0803471803665161, 1.517116665840149, -0.7021861672401428, -0.4696201682090759, -1.9998539686203003, -0.6290576457977295, -0.09651834517717361, -1.1863652467727661, 0.47001758217811584, 1.5577583312988281, 1.239223837852478, 0.13545143604278564, 0.9490364789962769, -0.27027615904808044, 0.13979589939117432, 0.3634493350982666, 0.6009385585784912, -0.7219533920288086, 0.1248520091176033, 0.45627591013908386, 0.7411261200904846, 1.5387517213821411, -0.11175304651260376, -1.2299995422363281, -0.602517306804657, -0.6027194261550903, 0.10082180798053741, 2.048807144165039, -0.007121844682842493, -1.0595409870147705, -0.7106328010559082, -0.7946557998657227, 2.240626096725464, 1.0544846057891846, 1.3769803047180176, -0.0675976499915123, 0.03853784129023552, 0.6335281729698181, -0.5589374899864197, -0.3392810523509979, 0.23469749093055725, -0.3713030219078064, -1.2516294717788696, 0.4262109100818634, -0.050558820366859436, -0.5215253829956055, 1.0546424388885498, 0.38873764872550964, 0.569052517414093, -0.4658573269844055, 0.5215972661972046, 0.5063955187797546, -0.4708373248577118, -0.4617355167865753, -0.282129168510437, 3.075428009033203, -0.4209238588809967, 1.8380612134933472, -0.7434599995613098, 0.1041940376162529, 1.327839732170105, -0.4607199430465698, -1.286271572113037, 0.5622978210449219, 0.9449388980865479, -0.01614353433251381, 0.11927489936351776, -0.7610538005828857, -0.4238985478878021, 0.5779557824134827, 0.015540639869868755, 0.5925832390785217, 0.7594045996665955, 0.3172358274459839, -0.2898426055908203, -1.691469669342041, 1.6546062231063843, 1.9897799491882324, 0.9833572506904602, 0.8757314682006836, 0.03340153768658638, -1.1073716878890991, -1.61149263381958, 1.5818325281143188, -1.001272439956665, 2.4090232849121094, 0.4967930018901825, -0.5889718532562256, -1.2327499389648438, -0.933485746383667, 0.6923277974128723, 2.978621006011963, -1.201478123664856, -0.5414489507675171, 0.9162774682044983, -0.9938631057739258, -0.9462518692016602, 2.026346445083618, -0.08148741722106934, 2.1180760860443115, 2.4568521976470947, 1.308334469795227, -0.4092293083667755, 1.5199389457702637, 0.2401336431503296, -0.07672857493162155, 0.19953538477420807, -0.582857072353363, 1.7541544437408447, 0.3644847571849823, -0.8537748456001282, 1.333866000175476, 1.1097289323806763, -1.2961713075637817, -0.2671332359313965, -0.11528171598911285, 0.5364192724227905, 0.8485555052757263, -0.6455994248390198, -1.61687171459198, -0.36001577973365784, -0.2978653609752655, -0.07868463546037674, 0.00238874857313931, 0.8151956796646118, -0.8603678345680237, 0.590671718120575, -0.1570080667734146, 0.25781306624412537, 0.5526830554008484, 0.005012926179915667, 0.5645086169242859, -1.8153542280197144, 0.10933580249547958, 0.914612889289856, 1.1200610399246216, 1.0505285263061523, -0.002121273661032319, -0.5658379793167114, 0.6379390954971313, 0.45158031582832336, -0.7626321315765381, 1.3174611330032349, -0.6234307885169983, -1.7559058666229248, 1.6489591598510742, -0.5015462636947632, -0.7464198470115662, 1.30655837059021, 0.4342547059059143, -0.3332986831665039, -0.16042929887771606, -1.8263144493103027, 0.7954517006874084, 0.0019316024845466018, -1.085212230682373, 0.05519922077655792, 0.2578616440296173, 0.2691059708595276, -0.24719884991645813, -1.1037062406539917, 1.2708667516708374, -0.6203423738479614, -0.2558014392852783, 0.7869867086410522, 0.9924377202987671, 1.1461836099624634, -0.925197422504425, 0.045681800693273544, 0.12508131563663483, -0.4813244938850403, 0.08405609428882599, -0.4832690954208374, -0.6851286292076111, 0.17000712454319, 1.177240014076233, 0.2754661738872528, -0.9759548306465149, 0.2587737739086151, 0.24277469515800476, 1.6395325660705566, 0.5712029933929443, -0.6191564202308655, 0.9200091361999512, 0.2194957286119461, 0.6950746178627014, 1.231610894203186, -0.3235622048377991, 0.8434053659439087, -1.1394197940826416, 1.9753950834274292, -0.8547207713127136, 0.4149115979671478, -0.6653251051902771, -2.227154016494751, 0.21538236737251282, 0.19520561397075653, 2.0457096099853516, 0.4834785461425781, 0.21646755933761597, 0.21859319508075714, 0.07242795825004578, -0.4706675708293915, -1.6633014678955078, -0.7983156442642212, 1.1904983520507812, 1.3149547576904297, -0.872753381729126, 0.4062662422657013, 0.33824422955513, 1.5677845478057861, 1.0824438333511353, -0.32650649547576904, 0.21665386855602264, 0.8378231525421143, -0.7503502368927002, 0.696711003780365, 0.6184662580490112, 1.1421349048614502, -0.4861550033092499, 0.5971087217330933, -1.1044844388961792, -0.24697527289390564, -0.31988877058029175, 0.7287176251411438, -0.9450184106826782, 0.4216642379760742, -1.6059740781784058, -0.3584510385990143, -0.45883315801620483, 1.569604754447937, 2.1209893226623535, 0.4029560387134552, 0.12680023908615112, 0.26448920369148254, 0.715726375579834, -0.8140620589256287, -0.09836431592702866, -0.6782593727111816, -0.20651526749134064, -1.5632652044296265, -0.9191578030586243, -1.3441343307495117, 2.045252799987793, 1.121589183807373, -0.4548458158969879, -0.25969576835632324, -0.014969835057854652, -0.22431418299674988, -0.07683953642845154, -1.5764670372009277, 0.34683534502983093, -0.3977266848087311, 3.0460972785949707, -1.3808554410934448, -1.5788131952285767, 1.1395078897476196, -0.17530997097492218, -0.4312095046043396, -0.5385904908180237, -0.15311753749847412, -1.0559680461883545, -0.4256456196308136, -1.0746498107910156, -2.623314619064331, 1.2572273015975952, -0.4650733470916748, -1.1774027347564697, -1.95713472366333, -0.46885377168655396, 0.8855757117271423, -2.3139586448669434, -1.9464280605316162, -0.23965734243392944, -0.2647119462490082, 0.028292115777730942, -0.8573666214942932, 0.3536205589771271, 0.17124006152153015, -0.7855179905891418, -0.17985939979553223, 0.29635173082351685, 0.44778192043304443, 0.5792455673217773, -0.5167396664619446, 0.14775127172470093, 0.2273465096950531, 0.40980809926986694, -0.25179287791252136, 1.9823349714279175, 1.1448192596435547, -1.1394574642181396, 0.9925094842910767, -0.7322224974632263, -1.6529203653335571, -1.4640864133834839, 0.09710408002138138, -1.2405847311019897, 0.2737589180469513, 0.6365431547164917, -0.9917715787887573, -0.6802170276641846, -2.227713108062744, 2.2060036659240723, -0.4809766113758087, -0.03716631606221199, -0.3918103575706482, -1.0321851968765259, -0.8379493355751038, -0.45199963450431824, -0.6588855981826782, -0.5496092438697815, 1.8101423978805542, 1.813652753829956, -0.10116614401340485, 0.712404191493988, 1.2393639087677002, 1.538009762763977, 0.4532662332057953, -0.2648650109767914, 0.5938531756401062, 0.5040872693061829, -1.3104233741760254, 2.1287930011749268, -1.1520315408706665, 1.067251205444336, 0.259245365858078, 0.28111669421195984, 1.5076802968978882, 0.23735357820987701, 0.29249605536460876, -0.29426664113998413, -0.3329826593399048, 0.21854034066200256, -0.33590710163116455, -0.3296174705028534, -1.0800011157989502, -0.5593826770782471, 0.2493898868560791, 1.5483933687210083, 0.9528552293777466, 0.018920166417956352, 1.6964718103408813, -0.4348345100879669, -1.2824186086654663, -0.570356011390686, 2.0226619243621826, 1.94395911693573, 1.203733205795288, -1.5800527334213257, -0.9531199336051941, -0.7194394469261169, -0.7632372379302979, 0.48862582445144653, -1.3505860567092896, 0.21517273783683777, -1.510231852531433, 0.4840081036090851, 0.16342943906784058, -0.11430932581424713, -0.34312182664871216, -0.5410669445991516, -0.2712363600730896, 1.5040216445922852, 0.8307691216468811, -0.118621826171875, -1.109676480293274, 0.6022520661354065, 0.7369877696037292, 1.2294758558273315, -0.7931652069091797, 0.06589248776435852, 0.9999004006385803, -0.37482553720474243, -0.7283306121826172, 0.8367783427238464, -0.22277869284152985, -1.04607355594635, -1.6226893663406372, -0.8755483031272888, 0.1441163718700409, -1.1820365190505981, 0.5713995695114136, -0.5819032788276672, -0.23356109857559204, -0.7112576961517334, 0.15907597541809082, 0.057729680091142654, -1.234723687171936, -0.21592645347118378, 0.7627710103988647, 1.8667207956314087, 1.9584366083145142, 0.8458401560783386, 0.3636375665664673, 0.3296601474285126, -0.5703135132789612, -0.014800261706113815, -1.1478610038757324, 1.1133593320846558, -0.07982213795185089, 0.21360348165035248, 0.24011126160621643, -1.3744145631790161, -0.1410217434167862, 0.07245025783777237, -1.317772388458252, -0.09810341149568558, -0.5361059308052063, 0.12794749438762665, -0.6000442504882812, -0.020636023953557014, -1.1892322301864624, 0.681274950504303, -0.7774696946144104, 0.6127382516860962, 0.469952791929245, -0.14465650916099548, -0.4461713135242462, -0.3819434344768524, -1.9647483825683594, 0.44745320081710815, -1.7089629173278809, 0.4627201557159424, -0.6895861625671387, -1.1340458393096924, 0.7742297053337097, -0.6006583571434021, 0.3770104646682739, -0.9978212714195251, 0.5487270355224609, 2.1203742027282715, 1.27312433719635, 1.8125492334365845, -0.08688022941350937, 0.1370605081319809, -0.25501108169555664, -0.3115346133708954, 1.078444242477417, 0.2961873412132263, -0.21249224245548248, -0.020212704315781593, 0.3292897343635559, 0.20023423433303833, -1.094189167022705, 0.7283406257629395, 1.050908088684082, -1.7366182804107666, -0.18986885249614716, 0.8010783195495605, 0.3837248682975769, 2.9085259437561035, -1.0504871606826782, 0.39462172985076904, -0.7158964276313782, 0.27780967950820923, 0.9906352758407593, 0.9681207537651062, 0.13429316878318787, 0.2125522792339325, -1.2462257146835327, 0.5097037553787231, -0.820456862449646, -1.763423204421997, -1.3901922702789307, 0.8230733275413513, 1.2621049880981445, -1.3687059879302979, -0.9075360298156738, 2.059342861175537, -1.3010276556015015, 0.30281156301498413, -0.3678593039512634, -1.570702314376831, 0.1571108102798462, -0.5135447978973389, 1.0179486274719238, 0.9321484565734863, 0.6278203725814819, 0.03660230711102486, 0.786332905292511, -0.5679843425750732, 1.1443750858306885, -0.3000045716762543, 1.309080719947815, -0.8132901191711426, -1.3022125959396362, 1.2917194366455078, 1.0194035768508911, 0.00248744641430676, -0.6477036476135254, -1.1080063581466675, 0.07166557759046555, 1.4059423208236694, -1.6217572689056396, -1.2231537103652954, 0.35014253854751587, 0.05886305868625641, -0.5545094609260559, 0.05308857932686806, 0.2734648287296295, -1.5828238725662231, 0.24628600478172302, 0.7177585363388062, 0.16836299002170563, -0.15528716146945953, 0.12401560693979263, -1.0999937057495117, -2.479473829269409, -1.1268045902252197, 1.545646071434021, -0.8820153474807739, -2.7336862087249756, -0.028030795976519585, -0.2801133990287781, -0.7881538271903992, -0.0102301724255085, 1.3352530002593994, 0.4562806189060211, 0.04864730313420296, -1.0668126344680786, 0.2506082355976105, -1.219687581062317, -0.03062083199620247, -0.11067631840705872, -0.01533905602991581, -0.5708697438240051, 0.6374395489692688, 0.03457891568541527, 0.5303639769554138, 1.0006904602050781, -1.4314296245574951, -0.11361731588840485, -0.5323442220687866, 0.01584271341562271, -0.40201273560523987, 0.15545214712619781, 1.487341046333313, 0.08801984041929245, 0.6867648363113403, -0.7509442567825317, 0.9259932637214661, -1.1282087564468384, 0.2268911451101303, 1.156336784362793, -0.9855378866195679, 1.3825527429580688, 1.17389976978302, -1.1229116916656494, 1.9909428358078003, -0.22254100441932678, 0.4116585850715637, 0.5883088111877441, 0.623862624168396, -1.418918490409851, -0.5537405610084534, 0.7306120991706848, -0.10485788434743881, 0.3041887879371643, -0.17445194721221924, 1.2674678564071655, 0.14794912934303284, -1.9215002059936523, -1.0004860162734985, 0.380216121673584, 0.6472048163414001, -1.4588133096694946, -0.3678722083568573, -0.5542176365852356, 0.37422314286231995, 0.25864824652671814, 0.14207442104816437, -1.0991194248199463, -1.2323707342147827, -1.8137578964233398, -0.6905040144920349, -1.472104787826538, -0.6798034906387329, -0.2320878654718399, -0.12436152249574661, -1.7481125593185425, -0.7744478583335876, -1.005427360534668, -0.445128470659256, -0.49920690059661865, -1.2511234283447266, 1.413553237915039, -0.49040916562080383, -2.0864195823669434, -1.2724952697753906, 0.9266754388809204, 0.0396316796541214, 1.5224297046661377, 0.6223563551902771, -0.7272334694862366, -0.8394643068313599, 0.7642459869384766, -0.15168152749538422, -1.120729684829712, 0.08663387596607208, 0.703443169593811, 2.376915454864502, -0.5904582738876343, 0.643314003944397, 0.7492372393608093, 0.43023088574409485, -0.8055498600006104, -0.6838157773017883, 0.12993179261684418, 0.08200930804014206, 1.0240027904510498, -1.2957664728164673, 0.2603977620601654, -0.3148236870765686, -2.4640426635742188, 0.09418080002069473, -1.2168586254119873, -1.035667896270752, -2.45902943611145, -1.0432871580123901, 1.1737724542617798, 0.45003315806388855, 0.09459362924098969, 0.9861469268798828, -0.19381196796894073, 0.48243680596351624, -1.6306030750274658, -0.7303743362426758, 0.7542548179626465, -0.7714942097663879, -0.14200665056705475, -1.8938629627227783, -0.7009972929954529, -0.5690559148788452, 1.2004964351654053, -0.2338741421699524, 1.1048530340194702, -0.4468814730644226, -1.721454381942749, 0.4870378077030182, -1.887312889099121, -1.28815495967865, 1.2358500957489014, 1.6400325298309326, 1.4069246053695679, 0.49380117654800415, -1.0706700086593628, -0.40355056524276733, -1.480239748954773, -0.02864418737590313, -1.3437269926071167, 1.9430443048477173, 0.3965672254562378, 0.21661914885044098, -1.009649395942688, 1.3246287107467651, -1.5345683097839355, 0.5463643670082092, -0.5756259560585022, 1.0084810256958008, -0.29436102509498596, 0.46585944294929504, -0.282407283782959, -0.45596441626548767, -0.7608029842376709, -2.370734691619873, 0.37506312131881714, 0.314164400100708, -0.3987463414669037, -0.1438126564025879, -0.0658525750041008, 0.5862781405448914, 0.922174870967865, -1.1764335632324219, -1.309481143951416, 0.9528230428695679, 0.3780807554721832, -1.6077550649642944, -0.17792658507823944, 1.1085803508758545, -2.1546967029571533, 0.7569305896759033, 0.020378248766064644, 0.7611619830131531, -1.2872730493545532, 1.5869779586791992, 0.5578174591064453, 0.3294259309768677, 0.25274842977523804, -1.7915946245193481, 0.4557841122150421, 0.19310809671878815, -0.28293415904045105, -0.9301953315734863, -0.09025152027606964, -1.3601348400115967, -1.4189269542694092, 0.6599076986312866, 0.7230499386787415, -0.64736407995224, -0.010357722640037537, 0.3538391590118408, 0.43678370118141174, -0.14476169645786285, 1.1746352910995483, 1.0059548616409302, -0.07217452675104141, -0.5912344455718994, -0.6125980019569397, -1.1882824897766113, 1.5388343334197998, 0.38902273774147034, 0.7068952322006226, 0.42015349864959717, 0.28325849771499634, 0.39731669425964355, 0.5393460988998413, 0.7017847895622253, 0.22260913252830505, 0.9001048803329468, 0.5926806330680847, 0.575739860534668, -0.182559534907341, 0.30621832609176636, 0.6708401441574097, 2.5664966106414795, -0.5914044380187988, 0.3831835389137268, 0.01534055545926094, -0.7344679832458496, -0.4751342535018921, 0.43762004375457764, 0.8489245772361755, -1.731415867805481, -1.2886912822723389, 0.8339402079582214, 0.6044676303863525, 2.086089849472046, -1.2220746278762817, 0.31386619806289673, -0.17632728815078735, -0.548790693283081, -1.5469971895217896, 1.3445618152618408, -1.4733692407608032, -0.44747409224510193, -0.2211962193250656, 0.14331674575805664, -2.0329089164733887, 0.11914341896772385, 0.07592228055000305, -0.5265060067176819, 1.4931269884109497, 0.7875722050666809, 0.8344499468803406, -0.6012063026428223, -0.8138382434844971, 1.1030197143554688, -1.4535772800445557, 1.0177559852600098, 0.9648528695106506, 0.905540943145752, 0.3080628514289856, -0.26391613483428955, 0.8430980443954468, -0.8177153468132019, 1.1891584396362305, -1.1569856405258179, 0.2068420648574829, -1.0431580543518066, 0.032170362770557404, 0.4929044544696808, -0.22460214793682098, 1.4253699779510498, 0.4016988277435303, -1.4553583860397339, 0.7266255617141724, 0.31739941239356995, 0.7006790637969971, 0.05135747417807579, 0.6329513192176819, 0.7375080585479736, 0.7079004049301147, 0.9068149924278259, -0.016078224405646324, -1.32290780544281, 0.5312222838401794, -1.5422077178955078, -0.1710830181837082, -0.024016600102186203, 1.0150597095489502, 1.2546226978302002, -1.2771068811416626, 2.3063852787017822, 0.9314523339271545, -0.07229183614253998, -1.5839335918426514, -0.7417495846748352, -0.7521906495094299, -0.6582112908363342, -1.5306779146194458, 1.6192551851272583, -0.7669572234153748, -1.027315616607666, -1.4889039993286133, -0.6001451015472412, 1.2401199340820312, -0.8504090309143066, 0.24080625176429749, 0.4288440942764282, -1.548938512802124, 0.0593230277299881, 0.3076804578304291, 2.182203531265259, 1.0584279298782349, 1.5646765232086182, 0.565480649471283, -0.18976186215877533, 0.6682277321815491, 0.8287177085876465, -0.1755658984184265, -0.4827743172645569, -1.2820956707000732, 0.20693576335906982, 0.31328001618385315, -0.020323188975453377, 0.3916594088077545, 0.8059439659118652, -1.5660170316696167, -0.09966612607240677, 1.1194355487823486, 2.0980899333953857, -0.06284931302070618, 0.31341609358787537, 1.6775834560394287, -0.5758804678916931, 0.2033965289592743, 2.583695888519287, 1.092511534690857, 0.09823209047317505, 0.23085954785346985, -0.03787662461400032, -0.4646366238594055, 1.9690353870391846, 0.372011661529541, 0.3109612762928009, -1.0367642641067505, -0.7474067807197571, -1.1862778663635254, -0.4720861613750458, 0.8590311408042908, 0.7068113088607788, 1.2485971450805664, 1.017604112625122, 0.695793867111206, 2.1361753940582275, -0.30246520042419434, -2.147726058959961, -0.4135883152484894, -1.6441075801849365, -1.1413065195083618, 0.7245224118232727, 1.2469756603240967, -0.24784734845161438, -2.5415282249450684, -0.009922402910888195, 1.2334064245224, -1.008525013923645, 0.43208158016204834, 1.452688455581665, -0.6495896577835083, -0.7705747485160828, -1.3808695077896118, -0.0112844780087471, -1.6743733882904053, -0.31772148609161377, -1.7003717422485352, 0.3204028010368347, -0.003510315902531147, -0.3480696678161621, 0.032722730189561844, -0.6252533197402954, 0.42974984645843506, 0.6386435627937317, -0.5776906609535217, 1.1635489463806152, 0.05803189426660538, -0.4714987277984619, 1.3065849542617798, -0.5034745931625366, -0.9364447593688965, 0.6323910355567932, -0.6758667826652527, 0.16463853418827057, -1.5509603023529053, 1.173037052154541, -1.0408146381378174, 0.8998091220855713, 0.7057612538337708, -0.4554930031299591, 0.4334265887737274, -1.1871320009231567, -0.9034011363983154, -0.47084692120552063, 0.7341066598892212, -0.6009141802787781, 0.5452782511711121, -1.7837350368499756, 0.8718382716178894, -0.14356687664985657, 0.6183330416679382, 1.7231794595718384, 1.0611510276794434, -0.5030128955841064, 0.2772311866283417, 0.07439642399549484, -1.0909793376922607, -1.037758231163025, 1.57411789894104, -1.0005409717559814, -0.4778778851032257, 0.7259119153022766, -1.2694346904754639, -0.2797561287879944, 0.8541364073753357, -0.4478784501552582, 0.6801887154579163, 0.8910377621650696, -0.5190295577049255, -1.5116558074951172, 1.6752128601074219, 1.5365315675735474, -1.744053602218628, 0.04325494170188904, 0.9744000434875488, -0.21424338221549988, -0.5243934392929077, -1.4997553825378418, -0.9745938181877136, 0.8825051784515381, 1.5460751056671143, 0.4053869843482971, 0.7598040699958801, 0.02276633307337761, 0.9662615060806274, 0.6817096471786499, 2.679764986038208, -0.8961530923843384, 1.006338357925415, 1.2334017753601074, -0.034436214715242386, -2.177886962890625, 0.9070073962211609, 1.306765079498291, -1.0856964588165283, 1.7033183574676514, 0.07013339549303055, 0.9156399965286255, 0.9188463687896729, 0.5301861763000488, 0.437359094619751, 0.852860152721405, -1.2471301555633545, 1.5361508131027222, -0.9837326407432556, -0.9805454015731812, 1.4827989339828491, -0.9940140843391418, 0.14915692806243896, 0.7168190479278564, -0.5368642807006836, 0.03443889319896698, -1.340107798576355, 0.6707820892333984, -0.22779415547847748, 1.1676357984542847, 0.2671286463737488, 0.3350473940372467, -0.10517803579568863, 0.22644375264644623, -0.8352276086807251, -1.2068190574645996, 0.2773573398590088, 0.4213554561138153, -0.5877177715301514, -0.6079329252243042, -0.14739437401294708, -1.4085168838500977, -1.2167421579360962, -0.10697531700134277, -2.274136781692505, -0.9521486759185791, -0.19751831889152527, 0.3863239288330078, 1.8386523723602295, -0.03614964708685875, -0.19145673513412476, -0.13105538487434387, -0.7310182452201843, -1.9371672868728638, 0.7312856316566467, -1.1187294721603394, -0.3121435344219208, 0.5412718653678894, -1.1865642070770264, 0.5746864676475525, -0.8768628835678101, -1.8840473890304565, 1.7089622020721436, -0.8040568232536316, 0.134303018450737, -0.5591476559638977, 0.9854964017868042, -0.24293407797813416, 1.9010889530181885, -0.6262291669845581, -1.061158537864685, 0.9373116493225098, 1.9817945957183838, -1.5863920450210571, 1.1015229225158691, -1.0990160703659058, 1.3545143604278564, 0.6491940021514893, -0.7922073006629944, 0.15628781914710999, -0.8278886675834656, -0.41880422830581665, -0.42241159081459045, 1.426519751548767, -0.24913948774337769, -0.9482235312461853, 0.5806369185447693, -0.6130928993225098, -0.912233293056488, -0.8782299757003784, 0.6551238894462585, -1.6986210346221924, -1.2029129266738892, -0.0037337159737944603, 0.6016515493392944, -0.616423487663269, 1.7049752473831177, -2.2782421112060547, 1.278979778289795, 0.36832404136657715, 0.7234752178192139, 0.27180585265159607, -0.19179271161556244, 0.788508951663971, 0.26771992444992065, -0.2536696791648865, 0.2022397518157959, 1.0709457397460938, -0.4470517635345459, -0.04968205466866493, 0.20045854151248932, 2.4254117012023926, 1.1456202268600464, 0.28035083413124084, 1.3052151203155518, -0.34297651052474976, 0.7520315647125244, -1.618732213973999, -1.6055095195770264, 1.0760798454284668, -0.37361574172973633, 1.7360970973968506, 1.1961469650268555, -0.16783595085144043, 0.41634079813957214, 0.4626937210559845, -0.116666279733181, -0.872348964214325, -1.6073611974716187, -1.4574841260910034, -1.0314910411834717, 1.5867739915847778, 1.2625712156295776, -2.6988439559936523, 1.1425931453704834, 1.040143609046936, 1.5081125497817993, -0.8525752425193787, -1.2788829803466797, -0.17123907804489136, -0.313368558883667, -0.4904158115386963, -0.9763240218162537, 1.403957724571228, 0.39400216937065125, -0.33673810958862305, 0.5444762110710144, 0.5535179972648621, -1.5752962827682495, -0.4616399109363556, -0.774427056312561, 0.006386628840118647, 1.2184021472930908, 0.16385680437088013, -1.368614912033081, -1.4802519083023071, 0.6238643527030945, -0.4135255217552185, 2.228482723236084, 0.1545608639717102, 0.6006828546524048, -0.6623119115829468, 0.047770485281944275, -2.823435068130493, 0.49373510479927063, -0.05800070986151695, 0.2993623614311218, 0.49773624539375305, 0.46306660771369934, -1.0045301914215088, -1.5224486589431763, -0.6149227023124695, -0.03981608897447586, 0.41412538290023804, 0.056716982275247574, -0.47792455554008484, 0.9630080461502075, -0.3100804090499878, 0.47646787762641907, 0.4726511836051941, -0.38455435633659363, -0.026915084570646286, 2.1486213207244873, 0.34397459030151367, -1.788156509399414, -0.410500705242157, -0.8795010447502136, -0.805179238319397, 1.11342191696167, 0.12642234563827515, 1.166041374206543, 0.517128050327301, 0.2655039131641388, 0.5372676253318787, 0.41533347964286804, -0.831403374671936, 0.5855547785758972, 0.20351526141166687, -0.5833857655525208, -1.4546972513198853, 0.9841927289962769, 0.39273539185523987, 0.7210509777069092, -1.9135591983795166, 0.8956406116485596, 0.11255104094743729, 0.6477473974227905, -0.03082481399178505, -1.144518494606018, 1.598435401916504, 0.9901102781295776, 0.16302920877933502, -1.389894962310791, -0.20181387662887573, 0.6375985741615295, -0.2762746214866638, 1.3398635387420654, -1.1777675151824951, -0.3151717483997345, -0.5964513421058655, -0.20334546267986298, 0.2217772752046585, 0.5498955845832825, 0.3879639208316803, 0.351286381483078, 0.4416024088859558, 0.12086282670497894, -0.09911958128213882, 1.1007423400878906, -0.6658017635345459, -1.2272752523422241, 0.28895047307014465, -0.2883552312850952, 1.2565927505493164, 1.0747323036193848, -0.4700372815132141, 0.5934500694274902, -0.7885742783546448, -1.7548407316207886, -0.8310991525650024, 1.582967758178711, -0.869167685508728, -0.00042465166188776493, 0.25658637285232544, -0.8529409766197205, 0.7554131746292114, 0.2763632535934448, 1.636642575263977, 0.07986479252576828, -0.8928759694099426, 1.555809736251831, 0.08813470602035522, -0.02495909482240677, -0.5189676284790039, 0.24225474894046783, -0.6527195572853088, -1.2691335678100586, 1.3505803346633911, 1.011438012123108, -0.818925678730011, 1.3658032417297363, 0.7219139337539673, -1.0544432401657104, -1.4024404287338257, -3.0915143489837646, -1.6482514142990112, 0.1792115718126297, -0.09205194562673569, -0.9042299389839172, -0.3436017632484436, 1.2519160509109497, 0.35165178775787354, 0.3802858591079712, 0.3201286494731903, -1.2998360395431519, -0.04162105917930603, 0.0034104592632502317, 1.3244961500167847, 0.27685871720314026, 0.9530870914459229, 0.8878345489501953, 0.5708205699920654, 1.774420976638794, 0.5327517986297607, 0.36705565452575684, -0.6391046047210693, -0.5932085514068604, -1.0725220441818237, 1.3194342851638794, 0.5289162993431091, 0.7074966430664062, -1.0743408203125, -0.5715456604957581, -0.5952511429786682, 1.0710901021957397, -0.9528910517692566, -0.6663487553596497, 0.8110467791557312, 0.6463767886161804, -0.9256242513656616, -1.6763112545013428, -0.28288277983665466, 1.110994815826416, -0.9628477096557617, 0.48269253969192505, 0.8935016393661499, 0.1560996025800705, -0.12617674469947815, -0.23164114356040955, -0.5588524341583252, -1.0008312463760376, -0.5735286474227905, 0.43058550357818604, -1.2838671207427979, -0.8399543762207031, 0.20103959739208221, 0.4790631830692291, -0.3462916314601898, -0.9674949645996094, -1.0884157419204712, -0.6737895607948303, 0.2736413776874542, -1.4429844617843628, 0.8323605060577393, 0.13079054653644562, -1.3276169300079346, 0.07740548253059387, 0.6125710606575012, 0.3734882175922394, 1.131043791770935, -1.0812077522277832, 2.4382412433624268, 1.062613844871521, 1.5243998765945435, -0.15972404181957245, 0.007739401888102293, 0.029898596927523613, 1.9170650243759155, -0.057848699390888214, 0.6261939406394958, -0.6846776604652405, 0.7741466164588928, 0.07567333430051804, 0.5779408812522888, 0.04847513884305954, -0.9716615080833435, -1.063011646270752, -0.4111408591270447, 1.1519650220870972, 2.1271843910217285, 1.0057052373886108, -0.853182315826416, 0.34833887219429016, -0.2421545535326004, 0.6549513339996338, 1.2943514585494995, 0.7863060235977173, -1.8489598035812378, 1.846632957458496, -0.6936521530151367, 0.9189095497131348, 0.6848545074462891, -0.7557948231697083, -0.7229211926460266, -0.8267048001289368, -0.8875840306282043, 0.8983263969421387, 0.7250875234603882, 0.41876426339149475, -0.1664055585861206, -0.2262459248304367, 0.7404511570930481, -1.2985702753067017, 0.05029737204313278, 0.8606621026992798, -0.8343114256858826, -1.3031758069992065, -1.9502431154251099, 1.1189513206481934, -0.003746672999113798, -0.8514395356178284, 0.2470083087682724, -0.2738511562347412, 0.9419270753860474, 1.4984309673309326, 0.9823076128959656, -0.2616870105266571, -0.01915702410042286, 0.5663856863975525, -0.7214712500572205, 0.3044078052043915, -0.39721372723579407, 0.3501163721084595, 0.2630210816860199, 0.35850024223327637, -1.7687623500823975, -0.12844321131706238, -1.1185251474380493, 1.43491530418396, -0.8756193518638611, 1.4389456510543823, 0.1895032674074173, 0.6529088616371155, 0.5427952408790588, 0.4055632948875427, -0.739663302898407, 2.8019816875457764, 0.11586438864469528, 2.485548257827759, -0.28192421793937683, -0.5033719539642334, -1.2924153804779053, -1.3035414218902588, -0.9969660639762878, -0.17057311534881592, 1.111652135848999, -0.5077708959579468, -0.4420250952243805, -0.5498480796813965, 0.10432156175374985, 0.27962636947631836, 1.5735074281692505, -1.2038326263427734, -1.6770247220993042, -1.7926647663116455, 0.047020070254802704, 1.0511454343795776, -0.36523663997650146, 0.4729894697666168, -0.6645509600639343, 0.6527335047721863, -0.9549705982208252, -0.9141030311584473, 0.3930000960826874, -2.34485125541687, -0.9156555533409119, 0.1756550818681717, -0.9086050391197205, -0.5328245162963867, -0.27611690759658813, 0.278078556060791, 0.9840853214263916, -0.4037463963031769, 1.089081048965454, 2.1517293453216553, 0.2650476098060608, -0.04256448522210121, 1.3213773965835571, -0.9126872420310974, 0.6472273468971252, 0.18155749142169952, 2.177903175354004, 1.7463138103485107, -0.07339736074209213, 1.4920434951782227, -0.13264720141887665, -0.01697687804698944, 0.707045316696167, -0.12207669019699097, -1.491546630859375, -0.36678460240364075, 0.5357024073600769, -1.5158751010894775, 0.34968101978302, -0.01641356386244297, 0.9673476219177246, 0.026362314820289612, 2.126936674118042, 0.7595853805541992, 0.14142799377441406, 0.12379442155361176, -1.2156168222427368, 2.033399820327759, -2.106581687927246, -1.5643799304962158, 0.5713115930557251, -1.1477878093719482, -1.4635416269302368, 0.2289474457502365, 0.22021065652370453, 0.4787444472312927, -0.47372671961784363, -0.3804641366004944, 1.0520234107971191, 1.0363157987594604, 1.6497269868850708, -0.2629929482936859, -0.26389098167419434, 0.24749574065208435, -0.5053747296333313, 0.1252039670944214, 0.0038084080442786217, -0.92634516954422, 1.0084755420684814, 0.29291340708732605, 0.2065506875514984, -0.7275137305259705, 1.1867798566818237, -0.11821222305297852, 1.0115718841552734, -0.014045019634068012, -0.9854261875152588, 2.1732544898986816, 0.7485927939414978, -0.7765831351280212, 0.20200026035308838, -0.15311570465564728, 1.4286010265350342, -1.5209782123565674, 0.27574506402015686, -0.86683589220047, 0.16711468994617462, 0.3811279833316803, 2.447333812713623, -0.4426872432231903, -0.09996584802865982, 0.06816934794187546, -0.7828433513641357, 0.4482230544090271, 0.545208215713501, 1.1773234605789185, 0.9769831299781799, 0.3172052800655365, -0.0552092120051384, 0.1288064420223236, -0.19020695984363556, 0.27748903632164, 0.44555649161338806, 0.8486361503601074, -0.6793386936187744, -0.35890987515449524, 0.6532883048057556, 1.1806750297546387, 0.5125744938850403, -0.15214596688747406, -1.4904029369354248, -0.20433785021305084, 0.18016894161701202, 1.3212217092514038, 0.3678434491157532, -0.7261868119239807, -0.9284923672676086, -0.829149603843689, 0.6486647129058838, 0.5619094967842102, 1.1607086658477783, 1.5466023683547974, 0.029192190617322922, -0.21340924501419067, -0.9221441149711609, -0.09687396138906479, -0.4043552279472351, 0.32771384716033936, 0.2095441073179245, -0.8953085541725159, 2.4885334968566895, 1.0356923341751099, -0.9895824790000916, -0.15130887925624847, -3.0885841846466064, 0.44792553782463074, 1.2275382280349731, -0.03135538101196289, 0.10683242231607437, -0.8105326294898987, 0.21746888756752014, 0.46650487184524536, -0.8955770134925842, -0.13472433388233185, 1.3029053211212158, 0.12519511580467224, -0.5881564617156982, 0.26528072357177734, -0.3471061885356903, 1.5282481908798218, -0.8961146473884583, -0.22016094624996185, 2.7051312923431396, 1.5574642419815063, 0.36638471484184265, 0.42102497816085815, -1.0107086896896362, -0.6086214780807495, 0.16692061722278595, -1.1534862518310547, -0.7197577953338623, 0.33640965819358826, 0.22302758693695068, 0.2308254837989807, 2.074862003326416, -1.2993555068969727, 0.8976183533668518, 0.17996492981910706, 0.943515419960022, -1.441399097442627, 1.9530658721923828, 1.5543081760406494, 0.8810333609580994, -0.5521501898765564, -0.6721897125244141, 0.37273669242858887, 0.13655129075050354, 0.15000778436660767, 1.902961254119873, -1.89691960811615, 1.378592610359192, 0.017078684642910957, -0.7561686635017395, -1.0334581136703491, -0.05121750012040138, -0.35471397638320923, -1.1307989358901978, 0.2561958134174347, -0.09950823336839676, -1.4935283660888672, -0.3759431540966034, -0.16197365522384644, -0.7981149554252625, 1.6605498790740967, 1.3702707290649414, -0.5666712522506714, -0.9827202558517456, -0.6765560507774353, 0.32137158513069153, -0.07490753382444382, 1.5517363548278809, -1.1140944957733154, -0.7274102568626404, -0.8722671270370483, -1.3216742277145386, 0.9497491717338562, 0.33674824237823486, 0.313978374004364, -1.2500170469284058, -0.2513587474822998, 1.6123833656311035, -0.30162951350212097, -0.8965704441070557, 0.062187451869249344, 2.1196939945220947, -0.06662897020578384, 0.3615536689758301, -1.0839279890060425, 1.0405988693237305, -1.4103775024414062, 0.6432074308395386, -0.3016275465488434, -2.5027668476104736, 0.7422593832015991, -0.3507789373397827, 0.632719874382019, 1.3298543691635132, 0.918591320514679, -0.5001590251922607, -1.0487858057022095, 0.9092391133308411, 1.8165889978408813, -0.06394052505493164, -0.49474650621414185, -1.1837331056594849, 0.8148226141929626, 0.9442540407180786, 0.06732183694839478, -0.3487388789653778, 0.29472440481185913, -1.3132725954055786, 0.4570390284061432, -0.8416682481765747, -0.06949479877948761, -0.08147085458040237, 1.469860553741455, -0.18530136346817017, -1.2594705820083618, 0.5015788078308105, 3.0247349739074707, -0.666653037071228, -1.0702084302902222, 0.019646817818284035, 0.400133341550827, -0.22213035821914673, 0.16482019424438477, -0.2798578143119812, 0.8049954175949097, -0.7556061148643494, 1.1844953298568726, 0.4460659623146057, -0.21012350916862488, 0.4609684646129608, -0.47047969698905945, 2.3401424884796143, -0.048479314893484116, -0.7687066793441772, -0.9947183132171631, -0.003140449756756425, -1.5505437850952148, 0.15960079431533813, 0.9006152153015137, 0.13931035995483398, -0.17070770263671875, -1.5690844058990479, 0.267842561006546, 1.1833926439285278, -0.22807084023952484, -0.7078599333763123, -0.2360691875219345, 0.5067169070243835, -0.5750651955604553, -0.4857785403728485, 0.6557487845420837, -0.13569818437099457, 0.4449128806591034, -1.779971957206726, 1.6420552730560303, 0.009948762133717537, -1.2381796836853027, -0.4534509479999542, 0.3679199516773224, -1.3671164512634277, 1.194454312324524, -0.07736333459615707, -1.4492707252502441, -1.629345178604126, 0.5221847295761108, -0.2448461949825287, 0.42563605308532715, 0.19493885338306427, 1.9771672487258911, 0.42962315678596497, -1.0904945135116577, 1.2167435884475708, -0.7928486466407776, -0.1997838020324707, -1.1292208433151245, 1.2364604473114014, 1.2605609893798828, -0.49701327085494995, -2.070082664489746, -0.06485889852046967, -2.5858607292175293, 0.7975786924362183, 0.6682897806167603, -2.048008680343628, -0.5154860019683838, -0.01700434461236, -0.5272117853164673, 0.32470354437828064, -0.40117713809013367, 0.3735232353210449, -0.07917865365743637, -0.7778551578521729, -0.8711238503456116, 0.6733057498931885, -0.04680545628070831, -0.5058909058570862, 0.7238486409187317, -0.2583847641944885, 0.6794872283935547, 0.6476739048957825, 0.24581396579742432, 0.09424419701099396, -1.5646981000900269, -0.23582778871059418, -0.6911652684211731, 0.7282096743583679, 0.619006335735321, 0.5758054852485657, 0.47185343503952026, -0.007436564192175865, -0.2887874245643616, 0.5788285732269287, 0.1852790266275406, -0.4124920666217804, -0.5972588658332825, -0.8848386406898499, 0.714844286441803, 0.39280569553375244, 0.8246749639511108, 0.9750280380249023, 0.8167970776557922, 1.040351152420044, -0.3355698585510254, -1.2798302173614502, 0.7304749488830566, -0.0450301319360733, 1.6947243213653564, -1.294326663017273, 1.837096095085144, 1.2362416982650757, -0.8450162410736084, -0.747577428817749, 0.9228556752204895, -0.5641833543777466, -0.30549317598342896, 0.8878365159034729, -1.4736859798431396, -1.7473931312561035, 1.3170807361602783, -1.7198818922042847, -0.2299347221851349, -1.3134748935699463, -0.19836272299289703, 0.6206405758857727, -1.8691773414611816, -1.9444842338562012, 0.4600144624710083, 0.5496747493743896, 0.6170141100883484, -1.67283296585083, -0.2828862965106964, 0.5185047388076782, 0.9492515921592712, -0.7366635799407959, -0.5301705002784729, -1.5709154605865479, -0.03512439876794815, -2.2129406929016113, 1.099124789237976, 1.8443208932876587, 0.1374916136264801, -0.14862920343875885, 2.4201393127441406, 0.37460845708847046, 0.5914928317070007, -1.469136357307434, -0.7703368067741394, 0.4897560775279999, -0.2790951132774353, -2.9002327919006348, -1.355411410331726, 0.8205994963645935, 0.8267268538475037, 1.2904198169708252, -2.2801690101623535, 1.3343186378479004, 1.2140470743179321, -0.7739862203598022, -0.8901899456977844, 1.4863770008087158, -1.411792516708374, 1.8684489727020264, 1.1911591291427612, 0.6791858673095703, -0.059242524206638336, -0.1455661803483963, 0.3785853385925293, -1.183030128479004, 1.183313250541687, -0.47856616973876953, -1.2188304662704468, 0.10953167825937271, -0.4911409020423889, 0.5806158781051636, -0.09979734569787979, 1.4958378076553345, -0.6301077008247375, 0.33401378989219666, -0.1953159123659134, 1.4288966655731201, -0.19240261614322662, -0.5252359509468079, 0.1914055049419403, -0.10884596407413483, 0.6313185095787048, -0.056668274104595184, -0.5314979553222656, 1.4164472818374634, -0.95904141664505, -2.0429039001464844, -0.3992553651332855, -0.37327778339385986, 0.49207863211631775, -1.5971760749816895, 2.085350751876831, 0.7058151364326477, -0.17623797059059143, 1.123203992843628, -0.08888798207044601, -0.02997097186744213, -1.1241297721862793, -0.6289699673652649, -0.9270151257514954, -0.6314213275909424, -0.18306957185268402, -1.1598458290100098, -0.4805225431919098, 0.03535831347107887, -1.6858128309249878, -0.9395286440849304, -0.4230501651763916, -0.5339463353157043, -0.015701323747634888, -0.3417779803276062, -1.3882274627685547, 0.22449466586112976, 1.7611477375030518, -0.7519659399986267, 0.9870901107788086, 2.1393821239471436, -2.345439910888672, 0.32190170884132385, -0.35321298241615295, -2.7029197216033936, 1.3343526124954224, 0.2804146111011505, -1.9719069004058838, -0.5677357912063599, -0.8468117713928223, -0.05648081749677658, 1.4866383075714111, 0.6789857149124146, -0.40188369154930115, -1.3553786277770996, 1.3016616106033325, 0.652421236038208, -0.8198145627975464, 0.26950299739837646, 0.07574958354234695, -0.9007129669189453, -0.6026549935340881, -0.9178622364997864, -1.5336765050888062, 0.720496416091919, 0.8117412328720093, -1.2628753185272217, -1.0524826049804688, -1.0909619331359863, 0.6950987577438354, -1.7824532985687256, 0.2058744877576828, 0.8182763457298279, 0.6368052959442139, -0.029699670150876045, 0.2117728590965271, 0.6265838742256165, -1.785498857498169, -0.739753782749176, -1.5127067565917969, 0.9878082275390625, 0.7704707980155945, 1.292018175125122, -2.313260555267334, 0.5804421305656433, 0.41655588150024414, -0.564752459526062, -0.9591069221496582, -0.0781586691737175, 0.3145959973335266, -0.6411417126655579, -1.3853241205215454, -0.08197776973247528, 0.8423593044281006, -1.457306146621704, 0.43125638365745544, -2.0258004665374756, -0.6827791929244995, -0.695667564868927, -0.9607589840888977, 0.2261282056570053, 0.1577128767967224, -0.3407910466194153, 0.27921661734580994, 1.6589668989181519, -0.5305145978927612, 1.3671518564224243, 1.7360931634902954, -0.3034587502479553, 0.3831605315208435, -0.44176924228668213, -0.41376546025276184, 1.1836363077163696, 0.888927698135376, -0.4168349802494049, -0.2551189959049225, 0.11021029949188232, 0.27509284019470215, 1.646873116493225, -0.6137185096740723, -1.851759433746338, -0.47716692090034485, 0.9397451877593994, 0.6205881834030151, -0.057741835713386536, 0.8224795460700989, -1.048681616783142, -0.3397696316242218, 1.2351878881454468, 0.5096540451049805, -1.881108045578003, 1.1487245559692383, -1.99761962890625, -0.15463221073150635, 0.6518874764442444, -0.6931959986686707, 0.4099440574645996, -2.887815237045288, 1.2555114030838013, 1.2405145168304443, 0.7988168001174927, 0.40618157386779785, -0.44668132066726685, -0.2813767194747925, 0.24317295849323273, 0.2348468005657196, 1.322789192199707, -2.204789876937866, 1.7773747444152832, -0.5594763159751892, 0.674096941947937, -0.38313302397727966, -0.1360902041196823, -0.19948546588420868, 0.9798868298530579, 0.07680127769708633, 0.7169238328933716, 0.3531917929649353, 0.45903393626213074, 0.04658171907067299, 1.323750376701355, 0.33786246180534363, -1.468105673789978, -1.5429784059524536, 0.2415749877691269, 0.14774075150489807, 1.0434887409210205, -0.989919126033783, -0.3243928849697113, 1.2294433116912842, -0.9801531434059143, 1.172534704208374, -0.06065626069903374, 0.9190887212753296, 0.6702594757080078, -1.7791361808776855, -0.4383338689804077, -1.5442034006118774, -0.26228204369544983, 0.3554246425628662, -1.522120475769043, -1.2320513725280762, -1.129518747329712, -0.6401389241218567, -0.7875050902366638, -2.2776758670806885, 1.1232951879501343, -1.1400220394134521, 0.8962593078613281, 0.3065834641456604, -0.5576578378677368, -0.435228556394577, 0.09738583862781525, -0.1894892454147339, -0.02250344306230545, 0.0905180498957634, -0.7942233085632324, 0.6411010026931763, -0.6642621159553528, 0.7253985404968262, -1.0357794761657715, 0.5823801159858704, 1.7665716409683228, -0.7957325577735901, -0.3316511809825897, 0.9118538498878479, -1.0477001667022705, -1.6628779172897339, -0.8516863584518433, -0.2777296006679535, 0.23218819499015808, -0.9585142731666565, -0.6081188917160034, -1.7022727727890015, -0.46331271529197693, 0.5152527093887329, 0.3824928402900696, -0.9322109222412109, -0.03194111958146095, 1.1391526460647583, 0.166416734457016, 0.14972390234470367, 0.90721595287323, -2.3945083618164062, 0.2272442877292633, 1.6695667505264282, -0.7406620979309082, -0.47063738107681274, -0.3675789535045624, 0.2965203523635864, -1.2363502979278564, -0.265415757894516, 0.697144627571106, 0.03831292316317558, 2.113088369369507, 1.9095242023468018, -3.617987871170044, -0.6240943074226379, 0.505473792552948, -1.5576088428497314, 0.7014147043228149, -1.5404019355773926, -0.6430942416191101, -1.1431611776351929, 1.5749543905258179, -1.910408854484558, 0.24808363616466522, 0.8244473338127136, 1.582382082939148, 1.9153456687927246, 0.21719002723693848, -0.8455433249473572, 0.4210892915725708, -2.497379779815674, 1.3116892576217651, -0.34993651509284973, -0.04852358251810074, -0.4145015478134155, 1.1759686470031738, 0.24273493885993958, -1.5433273315429688, -0.6123619675636292, 0.44077998399734497, 0.7301350235939026, 0.9905571937561035, -0.8217543959617615, -0.3968971371650696, -1.23886239528656, -0.5489045977592468, -0.5833092331886292, -1.0968090295791626, -1.3110390901565552, 1.1869858503341675, 1.696703553199768, 1.5638989210128784, 1.789341688156128, 1.2748255729675293, -0.2232232689857483, -0.3405147194862366, -0.0840369239449501, 0.13410712778568268, 0.3333178758621216, -0.509300947189331, -1.58588707447052, 0.15801721811294556, -0.5619005560874939, -0.5726098418235779, -0.5793331861495972, -0.029263408854603767, 1.273694396018982, 0.5212334990501404, -2.5118069648742676, 0.3200906813144684, 0.09300168603658676, 0.8871062397956848, 0.39188748598098755, 0.13705259561538696, 0.7103641629219055, -0.35436299443244934, 0.9699034094810486, -0.2890114486217499, 1.5675619840621948, 0.11629253625869751, -0.2952595055103302, -1.3919463157653809, -0.9342259764671326, 1.4010876417160034, -0.5344032645225525, -0.664154052734375, -2.089425802230835, -1.2829259634017944, 0.9359328150749207, 0.36780473589897156, 0.6283378601074219, -0.025916609913110733, 0.8429322242736816, 1.575284719467163, -0.724503755569458, 2.2352516651153564, 1.535900592803955, 1.1729000806808472, 0.15543314814567566, -1.1011155843734741, -0.18236103653907776, 1.1892428398132324, 0.5940195918083191, 0.09834767878055573, 0.37317219376564026, -1.2701349258422852, 0.5321575403213501, -0.3398177921772003, 0.2377547025680542, 0.4835802912712097, -2.4414761066436768, -1.2041934728622437, -0.9334692358970642, -0.29670968651771545, 2.601547956466675, 1.8583483695983887, -0.3107968270778656, -0.15810349583625793, -0.29195868968963623, -0.609557032585144, -0.17353183031082153, 0.9097544550895691, 1.1451117992401123, -0.6531111001968384, 1.6299396753311157, 1.2546837329864502, 0.8181360363960266, -0.06196945160627365, -0.32625439763069153, -0.5934523344039917, 0.23811814188957214, 0.49568304419517517, 1.5073118209838867, -0.09507489204406738, -0.33010560274124146, -2.3335764408111572, 0.7376885414123535, 0.9795709848403931, -0.8602240681648254, -0.4705606997013092, -3.6484670639038086, -0.010631260462105274, 0.46046438813209534, -0.6133274435997009, 2.0720794200897217, 0.3059873580932617, -0.30696532130241394, -0.0018401636043563485, 2.058788537979126, -1.6265480518341064, 0.7273648977279663, 0.5328537225723267, 1.7865911722183228, -0.4746728539466858, -0.2658635079860687, 1.090189814567566, -0.3459935486316681, -1.8170794248580933, 0.36672475934028625, 0.21142737567424774, -0.6848956942558289, -0.7399840950965881, -0.1937895268201828, -0.24051515758037567, -0.8889289498329163, -0.2726530134677887, 2.0980257987976074, 0.19257093966007233, 0.0209564957767725, -1.102488398551941, -1.390242338180542, -0.5885916948318481, 1.8682069778442383, -2.131523847579956, -0.2189270257949829, 0.2364703118801117, 0.33736759424209595, 0.6605494022369385, -0.08498922735452652, -0.6368355751037598, 0.5706837177276611, 1.1372556686401367, 0.6288235187530518, -0.8503052592277527, 0.23063887655735016, -1.990073800086975, 0.20392188429832458, -0.4374844431877136, 0.3905079960823059, 0.27805638313293457, 1.9066914319992065, 0.13114754855632782, 0.32209256291389465, 0.5280979871749878, 0.16627952456474304, 0.05970114842057228, -0.490707665681839, -1.3974791765213013, -0.9002655744552612, 1.4837766885757446, -1.416027307510376, -0.802776038646698, -0.17592450976371765, 1.436205506324768, 0.7214786410331726, -0.0013568131253123283, 0.41823792457580566, -1.692365050315857, -0.6372694373130798, -1.5888079404830933, -0.8772348761558533, -0.12008755654096603, -1.8935399055480957, 0.3698682487010956, -0.5943158864974976, 0.05000131204724312, -2.0265896320343018, -1.0096213817596436, 0.38911718130111694, 0.5016490817070007, -0.9999761581420898, 0.17650800943374634, 0.34682944416999817, 1.0935369729995728, -0.761703372001648, 0.03381742537021637, -0.7711618542671204, 0.37810125946998596, 0.028223568573594093, 0.9413857460021973, -0.2578916549682617, 1.0101511478424072, 1.345682144165039, -0.01300720777362585, -0.09589029848575592, -0.2717178463935852, -0.732291042804718, -2.3137197494506836, 0.3890242576599121, 0.4104483127593994, -0.09643110632896423, 1.7548210620880127, -1.528460144996643, 0.9021007418632507, -0.27117443084716797, 0.18614709377288818, 0.448129802942276, 0.7405259609222412, -0.33711734414100647, -2.046137809753418, -0.10161925107240677, -0.09467561542987823, 0.9064048528671265, 1.4707008600234985, 1.5349822044372559, -2.50667142868042, -0.4100164473056793, -0.13602767884731293, 1.5251107215881348, -0.49695029854774475, 0.6653448343276978, 1.030166745185852, -0.03486713394522667, -2.288170576095581, -1.5197824239730835, 0.617132842540741, 1.0927550792694092, -0.5990452766418457, -0.3605480194091797, 0.06737571954727173, -0.531424880027771, -0.46448373794555664, -0.0208270400762558, 0.25711187720298767, -1.3728044033050537, -0.798967719078064, 0.24260489642620087, 1.3619307279586792, 0.9245813488960266, -1.1745274066925049, -1.8431657552719116, 0.18354783952236176, -0.7985763549804688, 0.0034392254892736673, 0.6350827813148499, 0.43949297070503235, -1.550806999206543, -0.7019770741462708, -0.13833126425743103, -1.968543291091919, -0.9198434948921204, 0.3398464620113373, 1.7765318155288696, 1.088769793510437, -2.057199716567993, 2.0178000926971436, -0.2974448800086975, 0.8677487373352051, 0.8334692716598511, -1.0399831533432007, -0.5342798829078674, 0.5340321660041809, -1.4064284563064575, 0.1673462837934494, -2.195061445236206, -0.32618433237075806, -0.01054026372730732, -0.013737623579800129, -0.1428036093711853, -0.7111895084381104, 1.3264738321304321, -1.7021604776382446, 1.9738997220993042, -2.016904830932617, 0.5995200276374817, -1.7559179067611694, 1.7485846281051636, 1.2553045749664307, -0.6220445036888123, 0.48549720644950867, -1.4063395261764526, 0.5702501535415649, 0.49598458409309387, 0.6669746041297913, -0.31524941325187683, 1.6327414512634277, 0.3780699670314789, 0.5302292108535767, 0.48838236927986145, -0.2166571468114853, 0.44569942355155945, -1.1003015041351318, 0.8778834939002991, -0.5301135182380676, 1.7958332300186157, -0.8581931591033936, 0.8768548965454102, 1.0874863862991333, 0.42246219515800476, 0.21231518685817719, -1.4831081628799438, 1.1773921251296997, 0.5545319318771362, 1.4185560941696167, 1.174834966659546, -0.7133411765098572, -0.4537469744682312, 0.07635367661714554, 1.3312089443206787, 0.7542613744735718, -2.34960675239563, 0.8969835638999939, 0.951708197593689, 1.214866042137146, -0.3087397813796997, 0.8304315209388733, -0.810230016708374, -0.364608496427536, 1.4503247737884521, -0.8830675482749939, 0.6011660695075989, 0.9542391300201416, 0.22869089245796204, 0.16893674433231354, -1.7686692476272583, -0.8515592217445374, -0.018999233841896057, 0.8395580053329468, -1.0350669622421265, -1.0358158349990845, 1.404151439666748, -0.30122360587120056, -1.4791158437728882, 0.6222699284553528, -0.7291299700737, 1.1885676383972168, -0.05268574506044388, -1.444924235343933, 1.058347225189209, -0.43678900599479675, 0.6113530993461609, 1.31635320186615, 0.5962603688240051, -0.21681468188762665, 0.16625362634658813, -0.2979987561702728, 0.1604609489440918, -1.3937956094741821, 0.6024852395057678, 0.7622133493423462, -0.5688129663467407, 0.972726583480835, 0.1245235726237297, 1.0211114883422852, -2.0390100479125977, -0.5588046312332153, 0.34913328289985657, -1.6532002687454224, 0.9939486384391785, -1.3685237169265747, 0.3129218816757202, 0.7507959604263306, 0.042711589485406876, -0.5283958911895752, 0.6622592210769653, 0.150099977850914, 3.5391178131103516, 0.1520920842885971, 0.4113622009754181, 0.5824888944625854, 0.05132703855633736, -2.2241432666778564, 0.0006124443025328219, 1.2142996788024902, 1.5310534238815308, -0.45380353927612305, -2.615259885787964, -1.2353273630142212, -0.8524446487426758, 0.15659143030643463, 0.0020088953897356987, 1.8693172931671143, 0.41306930780410767, 1.6483043432235718, 0.16930930316448212, 0.11925596743822098, -0.4536757469177246, 0.2344377636909485, 0.867112398147583, -0.2546684443950653, 0.8608096837997437, -0.8038142323493958, 1.4314944744110107, -0.6962491869926453, -2.3973300457000732, -0.23936550319194794, 1.5606520175933838, 0.08505959063768387, 1.217066764831543, -0.2656298577785492, 1.7895176410675049, 0.239382803440094, 1.0203379392623901, 0.48493492603302, 1.2905189990997314, -0.5286926031112671, 0.9397351741790771, 0.9381882548332214, -0.31388384103775024, -0.6552895307540894, -0.13685160875320435, -0.6929755210876465, 0.274202436208725, 0.4549439251422882, 1.5016961097717285, -0.06582111865282059, 0.6209633946418762, -0.666215717792511, 1.509466528892517, -0.05366858094930649, 0.9279872179031372, 1.0520992279052734, 2.2125844955444336, 0.22813189029693604, -0.7477759122848511, 1.2762353420257568, 0.2243494838476181, -0.6067823767662048, 0.3567139208316803, 0.08448828011751175, -0.12982462346553802, -1.557336688041687, -0.08293955028057098, 1.101883053779602, -0.5847648978233337, 1.9881926774978638, 1.3330882787704468, -0.7656809091567993, -1.0312901735305786, -0.3032156229019165, -2.201615571975708, 0.4237106740474701, 0.706562340259552, 2.327378273010254, 0.5156179070472717, 1.088000774383545, -1.5429115295410156, -0.9331044554710388, 3.4501428604125977, 0.34020525217056274, -0.3738122284412384, 2.084662914276123, -2.3108530044555664, 0.1388547569513321, -0.5349862575531006, 0.6628404855728149, 1.035265326499939, -0.2812628746032715, 2.2791953086853027, -0.6828503012657166, 1.453924536705017, 1.2339446544647217, -0.4426778256893158, 1.1177165508270264, -0.4983830153942108, 0.3098337948322296, -0.4855406880378723, -0.009304732084274292, 0.3255491554737091, -0.2617795765399933, -0.18172115087509155, -0.6194568872451782, 0.16821126639842987, 0.9721202254295349, 1.143089771270752, -0.5865171551704407, 0.9908456206321716, 0.19233115017414093, -1.8247809410095215, -0.9948707222938538, 0.4333687126636505, 1.3269888162612915, -0.639788806438446, -0.4120892286300659, -0.7533767819404602, -0.1096724346280098, -1.5423685312271118, -1.9042003154754639, -0.7930514812469482, -2.0674986839294434, -0.14229203760623932, 0.04642784595489502, -1.4686970710754395, 0.21541659533977509, 0.533730685710907, 1.3455440998077393, -0.8341216444969177, -0.6668789386749268, 1.3572900295257568, -1.2098568677902222, 0.5708373188972473, 1.8379056453704834, 0.41952648758888245, -1.1209943294525146, -0.3884834349155426, -0.5397000312805176, 0.3989032506942749, -2.2744486331939697, -0.4120873212814331, -0.033230435103178024, -1.1924837827682495, -0.0748162493109703, 1.0531110763549805, 1.260634422302246, -1.145781397819519, 0.5775744318962097, 0.20445722341537476, 1.0654664039611816, 0.7223242521286011, -0.541044294834137, -0.4907662570476532, -0.11361212283372879, 1.2573362588882446, 1.6457327604293823, 0.25308868288993835, 0.5611664056777954, 0.6641573905944824, 0.06849104911088943, 0.12881875038146973, -1.0948067903518677, 0.8314734101295471, -0.478580504655838, 0.7981184720993042, -0.08513525128364563, -0.6179192662239075, -1.353550672531128, -0.13444501161575317, 1.9120641946792603, -0.05328359827399254, 0.06125126779079437, -0.4750969111919403, 0.2151179313659668, -0.16315919160842896, 0.33156368136405945, 0.9958202838897705, 0.5526071190834045, 1.1722012758255005, -1.8050990104675293, -1.000367283821106, 0.1683708280324936, -0.6929770708084106, -1.0675151348114014, 1.3082040548324585, -1.1017813682556152, 0.301548033952713, 0.6326074600219727, 0.18410469591617584, 0.306639164686203, -1.2333635091781616, 1.0747452974319458, -0.2843979597091675, 1.8733447790145874, 0.39695489406585693, -0.5665665864944458, -1.5175012350082397, -1.9807928800582886, -0.014164802618324757, 0.43379807472229004, -0.5270458459854126, -1.8760764598846436, -1.0831220149993896, -0.3401023745536804, 2.693241834640503, 0.36364737153053284, -0.48973411321640015, 0.628061830997467, -1.543215274810791, 1.6970266103744507, -1.5820765495300293, 0.18638372421264648, -0.5791453123092651, 0.053130440413951874, -0.8561115264892578, 0.20103874802589417, -0.5058879256248474, -0.42534029483795166, -0.8187360763549805, 0.5137255787849426, 2.8132822513580322, 1.087854027748108, 0.02746497467160225, -1.0221962928771973, 0.5726145505905151, -0.00779449800029397, 1.670994758605957, -0.41744235157966614, -0.8544744253158569, 1.7066452503204346, 0.6570547819137573, -0.2597980797290802, -0.5118493437767029, -0.5752624869346619, 1.6972026824951172, 0.13433445990085602, -0.6174977421760559, -0.9895591139793396, 0.6880727410316467, -0.9055514335632324, -0.4941510856151581, -0.17682026326656342, -0.27054691314697266, 0.2011827528476715, 1.5322136878967285, 0.1423823982477188, -1.7865447998046875, -0.8610501885414124, -1.0883398056030273, 0.31314441561698914, -1.2740412950515747, 0.22740215063095093, 0.9000534415245056, -0.7484085559844971, -0.050008561462163925, 0.8995022773742676, 0.59404456615448, -0.23716644942760468, 0.07199373841285706, 0.48281678557395935, 2.6447882652282715, 0.48356446623802185, 0.19311130046844482, 2.166795492172241, 0.20568139851093292, 0.2458321452140808, 0.7110143303871155, 0.1949952244758606, 1.0800299644470215, 0.5182516574859619, 1.9803075790405273, 0.5356550812721252, 1.3219720125198364, 0.19064614176750183, 0.602623701095581, 0.36791548132896423, 0.017142856493592262, -0.8319840431213379, -0.675237774848938, 0.6868468523025513, 2.9042742252349854, 0.47918078303337097, -1.6995922327041626, 1.6874396800994873, 0.19404202699661255, -0.854591965675354, -1.0535937547683716, 0.7503053545951843, -1.2272101640701294, 1.5753543376922607, 0.6933835744857788, 0.12422078847885132, 0.415941059589386, -0.6060796976089478, -0.4984903633594513, 2.3916127681732178, -0.2472795844078064, -1.2089381217956543, 0.17758610844612122, 0.4564645290374756, -0.24248802661895752, 2.063385009765625, 0.19444893300533295, -0.5206788182258606, 1.6680512428283691, 2.2573368549346924, -0.7656739354133606, -0.14271949231624603, 1.1330151557922363, -0.7986032366752625, 0.016378460451960564, -0.6584047079086304, -1.8937989473342896, 1.780066728591919, -0.9627573490142822, -0.6577364802360535, -0.4411576986312866, 0.8767510056495667, 1.9660286903381348, 0.5201155543327332, -1.0098360776901245, 0.052645161747932434, -0.8646785020828247, 0.32824739813804626, 1.3890557289123535, -0.6480070948600769, 0.07079560309648514, -0.5899826884269714, -0.2856917381286621, -0.49151742458343506, -0.33321958780288696, 1.3288830518722534, -0.4214257597923279, -0.95245361328125, 0.7313836812973022, -0.7812925577163696, -0.8932725787162781, -2.217555284500122, -0.08873558789491653, 0.5459333062171936, -1.2234835624694824, -0.34577909111976624, -1.203158974647522, -0.6583689451217651, 0.815342128276825, 1.1034998893737793, -0.4164983034133911, -0.9214662909507751, -0.6295676231384277, 0.9409560561180115, -1.2073979377746582, 2.339689254760742, 0.3311420679092407, 0.450419157743454, -2.154186964035034, 0.47016027569770813, -0.07958243787288666, -1.8430163860321045, 1.348121166229248, -1.9848953485488892, 1.3407701253890991, -0.04146422818303108, -0.3863842189311981, 0.04174301400780678, -1.3279995918273926, 0.45957106351852417, 0.196977436542511, 1.337458848953247, -0.5650703310966492, 0.2993803024291992, 1.8007936477661133, -0.32406875491142273, 0.19353903830051422, -0.1706494390964508, -0.6186155676841736, -0.7163066864013672, -0.46098312735557556, 1.2919113636016846, 0.5866581797599792, 0.7426550388336182, 1.3432128429412842, -1.5464463233947754, -0.16137655079364777, -0.5362291932106018, 0.41435328125953674, 0.48378193378448486, -1.2237170934677124, -0.15078853070735931, 1.4294712543487549, 0.39286544919013977, -0.08810805529356003, -0.20314830541610718, 1.8254179954528809, 2.0469067096710205, -0.5313576459884644, -0.7431443333625793, -1.7642797231674194, -0.3581470847129822, 0.7779578566551208, 0.504874050617218, 1.6563338041305542, 0.6662731766700745, 0.6002815365791321, 1.1088019609451294, -0.8984306454658508, 1.6893649101257324, -0.08135015517473221, -0.5468626618385315, -0.0036427027080208063, 0.8365070819854736, 0.24902580678462982, 0.29038485884666443, 1.5511304140090942, -1.1648681163787842, -0.9923748970031738, -1.5046335458755493, -1.1827383041381836, 1.4432108402252197, 0.242534339427948, 0.9887407422065735, 0.11032114177942276, -0.6391551494598389, 0.36947935819625854, -2.1729376316070557, -3.0697059631347656, -1.842409610748291, 1.474765658378601, -1.1796680688858032, 1.0296907424926758, -0.38531258702278137, -1.5393084287643433, -0.8152357339859009, 1.1038166284561157, 0.4965057969093323, 0.5265976786613464, -0.13370899856090546, 1.3017035722732544, -1.609012484550476, 0.2763034701347351, -0.696168065071106, 1.1479907035827637, -0.42534253001213074, 0.10708405822515488, 0.8597576022148132, -0.19108758866786957, -1.624049425125122, 1.486898422241211, -2.5886330604553223, 0.16529996693134308, -2.0326831340789795, 0.6609864830970764, -1.1520438194274902, -0.48034676909446716, -0.10761509835720062, 0.2712048292160034, -0.8937346339225769, -0.016389086842536926, -2.111565113067627, 0.8591905832290649, -0.6541910171508789, 1.1603366136550903, -1.4677796363830566, 0.75095134973526, -0.640887975692749, 0.7396584749221802, 0.8590121269226074, -1.2849150896072388, 0.33128559589385986, 1.7164533138275146, -0.46379104256629944, 0.1583215594291687, -0.21006181836128235, -0.21420428156852722, -0.04746835306286812, -0.34212252497673035, 0.8934492468833923, 0.2448565512895584, -0.39729779958724976, 2.102466106414795, -0.11612243950366974, 0.04674588516354561, -0.11106691509485245, 0.1512402892112732, 0.6478707194328308, 0.6919004321098328, 1.2090208530426025, 0.6626819968223572, 0.3740123212337494, 0.6754312515258789, 0.6956468820571899, 0.4514749348163605, -0.8606852889060974, -1.0826598405838013, 0.6756665110588074, -0.946803092956543, 0.9282375574111938, -0.8583572506904602, 1.018744707107544, 1.1344921588897705, -0.38604456186294556, -2.57306170463562, 1.0262442827224731, 0.9897263050079346, 0.256207674741745, 0.8498367667198181, 0.8859441876411438, -1.2114896774291992, -2.789935827255249, 0.11177598685026169, 0.3341848850250244, 0.3262026607990265, -1.516559362411499, 1.222947120666504, 0.2486288845539093, -0.2397727370262146, 2.7137744426727295, 2.3536722660064697, 0.4784468412399292, -0.9222778677940369, -1.278241515159607, -0.205296590924263, 1.494978666305542, 0.11549374461174011, -1.6554220914840698, 2.1973390579223633, -0.07981470227241516, -1.7147700786590576, -0.6658543348312378, 1.321998119354248, -0.14781063795089722, 1.7430866956710815, -1.0803403854370117, -1.2021868228912354, -0.4320950508117676, 0.64448481798172, 1.1088110208511353, 1.0714904069900513, -0.21611616015434265, -0.5818010568618774, 0.2799772620201111, 1.009709358215332, -0.16650265455245972, 2.3726229667663574, -0.37666672468185425, 0.7031195163726807, -0.1715889722108841, -1.3531526327133179, 0.37884876132011414, -0.27685976028442383, 0.20159156620502472, 0.07449652254581451, -1.064665675163269, -0.4371497333049774, 0.5294568538665771, -0.4506196081638336, -0.9995402693748474, 1.0824170112609863, -0.14779186248779297, 0.010239139199256897, -1.664300799369812, 0.9672760963439941, -0.7410799860954285, -0.0414111353456974, 2.387251615524292, 0.7348708510398865, -0.868346095085144, 1.7752786874771118, -1.0972257852554321, -0.2336130291223526, 1.1022969484329224, 0.8304921388626099, -1.3136564493179321, 0.3927704691886902, -0.013445046730339527, -1.4784107208251953, -0.41697943210601807, 0.019185060635209084, 0.38291555643081665, 0.7807537317276001, 1.8508057594299316, 0.3594847023487091, 1.3357547521591187, 1.3601683378219604, -0.12092841416597366, 2.1111533641815186, -1.2766399383544922, 0.9931259751319885, -0.20106728374958038, -0.2162616103887558, -0.7348368167877197, 0.5026276707649231, 0.38813313841819763, 2.513293743133545, 0.026288790628314018, 1.5948269367218018, 0.8429645895957947, 1.4999185800552368, 1.6161688566207886, 1.9762715101242065, -1.4117302894592285, -0.6986169815063477, 0.9447687864303589, 0.09242717176675797, -1.601567029953003, -0.23279966413974762, 0.03208939731121063, -0.6238745450973511, 2.048795223236084, 1.293555736541748, 0.3339654803276062, -1.3638454675674438, -2.169490098953247, -1.587702751159668, -0.05800196900963783, 1.8883261680603027, 0.006666417699307203, 1.1164246797561646, -1.0185061693191528, 0.3224371373653412, 0.009630739688873291, -1.10468590259552, -0.7436623573303223, -0.1294650435447693, -0.25483232736587524, 1.6687756776809692, -1.6726715564727783, 0.08180888742208481, 0.4693737328052521, -1.0214813947677612, 0.63097083568573, 0.3472679555416107, 0.20510950684547424, -0.23960047960281372, 0.24757611751556396, 1.125542163848877, 1.111466646194458, -0.8249119520187378, -1.2913639545440674, 0.034253597259521484, 0.957965075969696, 0.3761252760887146, 0.23761872947216034, -0.3276573121547699, -0.2026737481355667, 0.15805362164974213, 0.1099993959069252, -0.6233143210411072, 0.9289401769638062, 0.9906447529792786, -0.1485089361667633, 1.0080244541168213, -0.45165517926216125, -0.03389479219913483, 0.35326915979385376, -0.3687205910682678, -0.023793397471308708, 0.7517914772033691, -0.020517950877547264, -0.34426596760749817, -0.016025464981794357, 0.4099102020263672, 0.2716614305973053, -0.16172368824481964, 0.69850754737854, 0.15972639620304108, 1.6190931797027588, 0.46050071716308594, -0.9595988392829895, -0.3368423879146576, 0.7476123571395874, -0.34279853105545044, 0.26197612285614014, -0.5985599160194397, 0.042163196951150894, -1.687171459197998, 0.20064006745815277, -0.3055354654788971, 1.3802193403244019, 0.8356146216392517, -0.37905025482177734, -0.02070228010416031, 0.024875320494174957, 0.16562072932720184, 0.9898591637611389, 0.15676896274089813, 2.0497448444366455, -0.9979355335235596, -0.3598509728908539, 1.4144021272659302, -0.6248550415039062, -0.5161045789718628, -0.6668903231620789, -0.3228982388973236, 0.5178568959236145, 0.6043820381164551, -0.4567596912384033, 1.4655570983886719, -0.7810298800468445, -1.4856675863265991, 2.578195571899414, -1.0259778499603271, -0.767977237701416, 1.120401382446289, -1.005187749862671, 0.8403520584106445, 0.08080729842185974, 0.7585537433624268, -0.09985784441232681, -0.6939193606376648, 0.1260550320148468, -1.6328204870224, 1.1317994594573975, -1.690718173980713, -2.587743043899536, 0.537209153175354, 0.18790298700332642, -0.6133967041969299, -2.1927831172943115, -0.7495774626731873, -0.2960189878940582, 0.911310613155365, -1.7289320230484009, 0.4697432816028595, -0.23604871332645416, 0.33581164479255676, -1.0504337549209595, -0.5339572429656982, 1.4799916744232178, -0.08389468491077423, -0.14536762237548828, 0.050054751336574554, 0.23291169106960297, 0.5908386707305908, -0.18167975544929504, 0.2904944121837616, -1.2301571369171143, -0.6711090207099915, -0.37636321783065796, 0.7559733986854553, -1.1557536125183105, 0.01009652391076088, -0.09916761517524719, 1.1315433979034424, 0.6119382381439209, -0.8628199100494385, 0.2641623616218567, 0.959858775138855, -2.032797336578369, -1.8367180824279785, -1.1271276473999023, -0.34238535165786743, -0.856523871421814, -0.7778397798538208, -0.46560898423194885, -1.3546528816223145, -1.521884799003601, 0.41891220211982727, 0.5576200485229492, 0.7854041457176208, -1.4465912580490112, 0.3152896761894226, -0.2911442816257477, 0.8795607686042786, 1.2618987560272217, -0.8822064995765686, -0.3814834952354431, 0.5329810976982117, -0.0663393884897232, 0.3653855323791504, -0.08905784040689468, 0.5717746019363403, 0.4021803140640259, 1.1924803256988525, -0.37624266743659973, -0.1345680207014084, 0.11619115620851517, 1.1818782091140747, -0.8764480948448181, -0.2503621280193329, -2.5417869091033936, -0.24534405767917633, 1.8532652854919434, -0.15760797262191772, -0.2990522086620331, 0.48270031809806824, -2.7204151153564453, -0.9060174226760864, 0.021401498466730118, 0.050221946090459824, 0.4402182996273041, 0.49012595415115356, -0.7124966382980347, 0.5926991701126099, -0.33057743310928345, -1.0097508430480957, 0.5903187394142151, -0.09897816926240921, -0.9501646757125854, -0.12333910912275314, -0.937386155128479, -1.0433894395828247, 0.6367050409317017, -2.1201086044311523, -0.17771847546100616, 0.15927733480930328, 0.19443874061107635, -0.98359215259552, -0.2747456729412079, -0.25449806451797485, -0.46108534932136536, 0.33615371584892273, 0.8286378979682922, -0.02388630248606205, -0.5277169346809387, -1.0591036081314087, -0.9485446214675903, 1.0040425062179565, 0.5196725130081177, -1.129185438156128, -0.3923799693584442, -0.2783471345901489, 0.3039741814136505, 0.3854086399078369, 1.4889907836914062, -0.9157599806785583, -0.1872810274362564, -1.0438933372497559, -0.012937220744788647, -0.9669612050056458, -0.18662014603614807, -1.0899310111999512, 0.9881458282470703, -0.8023582100868225, 0.0332389660179615, -0.7520378232002258, 0.7218586206436157, 0.2591380774974823, -0.6480130553245544, 0.35809892416000366, -1.4733480215072632, 0.3321792781352997, -1.6188380718231201, 2.6514408588409424, 0.2978975176811218, 0.36103853583335876, -0.44770190119743347, 1.1588188409805298, -0.905961275100708, 0.10405594110488892, 0.3926006555557251, -0.7857284545898438, -0.2946929633617401, -1.203579306602478, 1.4919267892837524, 0.13891029357910156, -0.427457720041275, -1.8924155235290527, -1.003616452217102, 0.3130009174346924, 1.453271508216858, -0.5578297972679138, 0.57457435131073, 2.4484126567840576, -0.1330782175064087, -0.7109165191650391, -1.1014270782470703, -0.2638387382030487, -0.8466259241104126, 0.8730990886688232, 1.2487714290618896, 0.9059169888496399, -1.9168719053268433, 1.2061899900436401, 0.12342926859855652, -0.2115563601255417, 0.15801294147968292, 1.2570602893829346, -0.10895249992609024, 0.7570620775222778, 1.625579833984375, 0.21948431432247162, -0.59693443775177, 0.7424607276916504, -0.0007317422423511744, 0.8031550645828247, -0.46954211592674255, 0.09644126147031784, 1.0252395868301392, -0.7466514706611633, 0.3236702084541321, -0.4603210389614105, 0.4072835147380829, 1.7340641021728516, -0.6812677383422852, -0.8274984359741211, 0.16558212041854858, -0.603732168674469, -0.1835736334323883, -1.1752774715423584, 0.4286722242832184, 0.062222469598054886, -0.875443160533905, -1.548195719718933, -1.0414047241210938, -0.2113843560218811, 2.424471139907837, -0.05112529173493385, -0.858532190322876, 0.20052790641784668, -0.6498627662658691, 1.1874974966049194, 0.39856261014938354, 0.11779304593801498, -1.782891035079956, 0.5215082764625549, 1.1822988986968994, -0.5125122666358948, -0.5684987306594849, 1.0125086307525635, 0.2335708886384964, -0.709576427936554, -0.32878854870796204, 0.4222430884838104, -0.9476615190505981, -0.20783796906471252, -0.38422349095344543, -0.2161870002746582, 0.4126991033554077, 0.4880409836769104, 1.0212043523788452, 0.3732532262802124, 0.9770601987838745, -1.3904449939727783, 1.021622896194458, -1.003851294517517, 1.7741847038269043, -1.9183405637741089, 1.5280550718307495, 1.0808335542678833, -0.14504162967205048, 0.21194370090961456, -0.9196906685829163, -0.45094919204711914, -0.8655813932418823, -0.24799734354019165, 1.4233964681625366, 1.6594566106796265, -1.1954299211502075, 1.6074094772338867, 1.116944670677185, -0.39952921867370605, -0.5430397391319275, -0.2820277810096741, 0.22017325460910797, -0.3211571276187897, -0.1966007798910141, 0.8963565826416016, 0.9712313413619995, -2.068341016769409, 2.540982484817505, 0.7685813307762146, 1.4288533926010132, 1.2850319147109985, -1.0572433471679688, 0.21336859464645386, -1.5517549514770508, 0.9775876998901367, 1.1837292909622192, -0.3531794250011444, -0.3712179958820343, 0.20955540239810944, 1.2703096866607666, -0.5164867639541626, -0.4005381166934967, -0.5107730031013489, 1.1914013624191284, 0.6380420923233032, -1.4579919576644897, -1.3568952083587646, 1.5853146314620972, -0.11988066881895065, -1.9155818223953247, 0.3570619523525238, -1.580655574798584, -3.065260171890259, 0.42066651582717896, -0.9146254658699036, 1.0002260208129883, -0.9274699091911316, 0.926967203617096, 0.13156315684318542, 0.21745482087135315, -1.1942239999771118, -0.7603896856307983, -0.5808967351913452, -0.9852287173271179, -0.6668665409088135, 0.8957351446151733, 1.1167157888412476, 0.7068280577659607, 1.3929468393325806, -2.3937058448791504, -0.7164028286933899, -1.4959948062896729, -0.5407084822654724, -0.54593825340271, -0.9108741283416748, -2.013782501220703, 0.724251925945282, 0.8703131675720215, 1.2912734746932983, 0.7642573714256287, 0.07303464412689209, 0.18352080881595612, 0.414910227060318, -0.4665850102901459, -1.220497488975525, -0.7048542499542236, 0.8227304220199585, -0.30348584055900574, 1.9674432277679443, -0.5819951891899109, -0.6124041676521301, 0.6983696222305298, -0.20690645277500153, 0.07560352236032486, 1.0748460292816162, 0.25794970989227295, 0.9014586806297302, 1.0156261920928955, 2.051623821258545, -0.1735415756702423, 0.16188286244869232, 0.03461967781186104, -0.6046258807182312, 1.311259388923645, -1.5357844829559326, 0.6504973769187927, 1.8904228210449219, 0.4023660123348236, -0.5370991230010986, -0.3898440897464752, 0.19980640709400177, -0.3712960183620453, -1.5049378871917725, -2.1912264823913574, -0.9078407883644104, -0.46693599224090576, 0.49350014328956604, -1.1291314363479614, 0.18922604620456696, -0.6731681823730469, -0.16211897134780884, -1.4864999055862427, 0.753328263759613, -0.4520115852355957, 1.079442024230957, 0.9344057440757751, -1.5304452180862427, -0.9620947241783142, -1.7069591283798218, 0.2429850846529007, -0.9117926359176636, -0.4996938705444336, 0.30716705322265625, 0.2706451117992401, 0.9771631360054016, 0.46577537059783936, 0.3355846703052521, 0.55353844165802, 0.19733630120754242, -0.5497198104858398, -0.3755882680416107, -0.7906184792518616, 0.5544710755348206, 1.0138776302337646, -0.2321280539035797, 1.881483793258667, -1.55168879032135, -0.7437909245491028, -2.0665996074676514, 0.13157324492931366, -0.030072418972849846, -1.2409015893936157, -2.107684373855591, 0.343229740858078, -0.773529589176178, 0.03519069775938988, 0.9069134593009949, 0.10659897327423096, 0.46375972032546997, -0.18433889746665955, -1.3513095378875732, -1.7425848245620728, -0.44699376821517944, -0.25207605957984924, -0.6507673263549805, 0.39315542578697205, 0.038211554288864136, -0.42223453521728516, -0.32700738310813904, 0.56840580701828, 0.7615572810173035, -2.679641008377075, 1.3811970949172974, 0.441402405500412, -0.054561857134103775, 1.8546043634414673, 1.6414217948913574, 0.46633145213127136, 0.7484146952629089, -0.3503609895706177, 1.1116511821746826, -0.8824669122695923, -1.444146990776062, -0.01264511700719595, 0.6380325555801392, -0.3494488298892975, 0.6780933141708374, -0.6242164373397827, 0.4873592257499695, -0.6196683645248413, 0.09667506068944931, 0.48764902353286743, 0.9012766480445862, -0.6256422400474548, -0.5285018682479858, -2.0471267700195312, 0.6778622269630432, 0.5493381023406982, -2.4877614974975586, -0.5408051609992981, 0.7302252650260925, -1.1210943460464478, 1.078770399093628, 0.14528416097164154, 0.1452162265777588, -1.5134379863739014, 0.5235338807106018, -0.10577192157506943, -0.30905401706695557, 0.8222167491912842, -0.2700158953666687, 0.5019190907478333, 1.0633199214935303, -0.6267543435096741, 0.5281897187232971, 0.09512044489383698, 0.833135724067688, 1.2502188682556152, -1.1317481994628906, 0.6808190941810608, -0.9092693328857422, -0.6016238331794739, -1.426514744758606, 0.18337373435497284, 0.2257515788078308, 0.26217928528785706, -0.12738896906375885, -0.19070874154567719, -1.3528501987457275, 0.925815224647522, -0.8218523263931274, 1.7931770086288452, 0.38222944736480713, 1.006805181503296, -0.7030316591262817, -0.8755000233650208, 1.7343794107437134, 1.4347022771835327, -0.43945831060409546, -0.19977827370166779, -2.430457592010498, 0.3425866961479187, 0.26706942915916443, -1.8650445938110352, 0.6973189115524292, 0.08510370552539825, 0.44952765107154846, 0.039880234748125076, -1.943101167678833, 2.168633460998535, 0.4425443708896637, -0.2873421907424927, -1.1421864032745361, -0.4365338385105133, 1.1475986242294312, -0.18162037432193756, -1.021941900253296, -0.5757516026496887, 0.8294909596443176, -0.8387212157249451, -0.16604676842689514, -0.29854458570480347, -0.5735236406326294, -2.4841718673706055, 1.128095030784607, -0.17908844351768494, 0.48918014764785767, 0.5031930804252625, -0.4110927879810333, 0.019032008945941925, 0.14453992247581482, 0.10401563346385956, 0.5091016888618469, -0.5652907490730286, -0.2642582356929779, -0.1224285140633583, 0.21594911813735962, -0.002496910747140646, 0.6110938191413879, 0.9062353372573853, -1.8327381610870361, -0.015438953414559364, -0.1866411566734314, 0.1488407552242279, -1.5438570976257324, 0.7076100707054138, -0.535940945148468, -0.5626620054244995, 0.05915471538901329, -0.00987408310174942, 1.0537996292114258, 0.2552019953727722, -1.002784013748169, -0.5270487070083618, -0.47637736797332764, -1.1102944612503052, 0.3155270516872406, 0.9143484830856323, 0.2196573168039322, 0.6779251098632812, -0.7007827162742615, -0.7354161739349365, 2.162975311279297, -0.03550171107053757, -0.3409341275691986, 1.108736276626587, -0.9627017974853516, 0.46868929266929626, 2.0898587703704834, -0.30424198508262634, -1.0003407001495361, -1.051120638847351, -1.6319870948791504, 0.663738489151001, -0.8357906341552734, 0.3972403109073639, -1.6282954216003418, 0.8811146020889282, -0.7468650341033936, -0.22173355519771576, 0.7823204398155212, -0.9317780137062073, 2.722238063812256, -0.013712451793253422, -0.9076263308525085, -0.7036681175231934, -0.4927535355091095, -1.4255033731460571, 0.32394546270370483, 0.5172354578971863, 0.12567289173603058, 0.7534435391426086, 0.31683313846588135, 1.7446551322937012, -1.7066090106964111, -0.044915758073329926, 0.175420343875885, -1.0074633359909058, 1.3400219678878784, 0.13519705832004547, 0.970081627368927, 0.07626281678676605, 0.40651002526283264, -0.9769337177276611, 1.3393380641937256, 1.0320831537246704, 0.37849900126457214, 0.03777255117893219, 0.9467257261276245, -2.200377941131592, 1.4299026727676392, -1.7212166786193848, -0.10159309953451157, -1.2827194929122925, -0.10075924545526505, 0.9236124157905579, -1.4608242511749268, 1.1871494054794312, 0.9139726758003235, 1.7811766862869263, -1.9325987100601196, 0.790335476398468, -0.8222143650054932, 1.6031466722488403, 0.40750786662101746, 0.7009850144386292, 0.3213622272014618, -1.1736763715744019, 0.11856698244810104, 0.16626986861228943, -0.31611698865890503, -0.7932949662208557, 0.2898302674293518, 0.9791768193244934, 0.6590469479560852, 2.6975340843200684, -1.6996958255767822, 0.8798921704292297, 0.6329247355461121, -0.3277301490306854, 0.935872495174408, -0.33658307790756226, 2.198136806488037, -0.6422423720359802, 1.0920807123184204, 0.8596627116203308, 1.53168523311615, 0.6890133023262024, -0.529064953327179, -0.7932190299034119, 1.494551658630371, 0.580501914024353, 0.4300163984298706, 2.318800926208496, 1.0772050619125366, -1.0487847328186035, -3.015441417694092, -0.969504177570343, -0.34802424907684326, 0.7683895230293274, -1.2958484888076782, 0.7534372210502625, -0.27824434638023376, -0.1850525587797165, -0.07444170117378235, 1.6373647451400757, 0.4509337246417999, 0.12143543362617493, -0.6063981652259827, -0.9522760510444641, 0.2266307771205902, -0.008077682927250862, 2.9113941192626953, -1.577389121055603, 1.2585722208023071, 0.6162378191947937, -0.5819801688194275, -0.08644428849220276, 1.0398122072219849, 1.918564796447754, -1.7965861558914185, -1.2931506633758545, 1.0261057615280151, -1.8908255100250244, 0.7683046460151672, 1.1473517417907715, -0.9410959482192993, -0.8479611277580261, 0.23246122896671295, 0.16016638278961182, -0.9703955054283142, 1.2548424005508423, 1.5939356088638306, 0.4333554208278656, 1.2579364776611328, -0.6771564483642578, -0.13554027676582336, 0.2225102335214615, -1.8188925981521606, -1.0931181907653809, 2.748406410217285, -0.31480225920677185, -0.6067327260971069, -1.9394183158874512, 0.13848650455474854, 0.9313795566558838, 1.3787827491760254, -1.6627904176712036, -0.9903350472450256, 0.7322495579719543, 0.448514848947525, 0.8177887797355652, 0.6894576549530029, 0.39087507128715515, -0.26695114374160767, 0.7026932239532471, 0.9387925863265991, -1.0705947875976562, -0.336995393037796, 2.011566400527954, 1.0780324935913086, 1.3024758100509644, 0.3234122097492218, 0.629339337348938, -0.5891852974891663, -0.5539487600326538, 2.1914901733398438, 1.5268027782440186, 0.25387662649154663, -0.762139618396759, 0.007753557059913874, -0.0355801098048687, 2.488910436630249, -0.24255317449569702, 0.244916170835495, -0.31285834312438965, 0.8347153067588806, 0.06374730914831161, 1.2641632556915283, 0.13615134358406067, 0.26412034034729004, 1.0917208194732666, -0.2514338791370392, 1.4266780614852905, -1.1012601852416992, 0.9076321125030518, -0.264208048582077, 0.2280248999595642, -0.8021599650382996, -0.7985302805900574, -0.6035928726196289, 0.6436244249343872, -1.068423867225647, -1.4743813276290894, 0.03841956704854965, -0.6367899775505066, -0.36780455708503723, -1.6806379556655884, -1.2440828084945679, 0.5285425782203674, -2.003282308578491, -0.2872718870639801, -0.0439012385904789, -0.18800759315490723, -0.427489310503006, 0.6061272621154785, -0.5305808782577515, -0.7076340913772583, -0.7933356761932373, -0.11062135547399521, -2.298767328262329, 1.3660134077072144, -0.9756633043289185, -1.3057340383529663, 1.816114902496338, 1.0204135179519653, -0.5521848797798157, 0.5234428644180298, 0.47189486026763916, -0.29119205474853516, -0.17903946340084076, -0.8186423778533936, 1.575637698173523, -0.08632553368806839, -1.0650731325149536, 1.48491370677948, -0.41310030221939087, -0.3544030487537384, 1.2425605058670044, 1.0243173837661743, -0.39318329095840454, -0.5685970187187195, -1.0190356969833374, 0.21061484515666962, -0.5637555718421936, 1.6439496278762817, -0.05166640877723694, 0.7277247905731201, -0.4567492604255676, -0.9529756903648376, 1.8298277854919434, -0.7303281426429749, -1.5210992097854614, -0.8360509872436523, -0.5321525931358337, 1.381486415863037, -0.5450780987739563, 0.9421884417533875, 1.1236364841461182, 0.175294429063797, 1.769920825958252, -0.8669178485870361, 0.15638189017772675, -0.331009179353714, -0.010375097393989563, 1.0560358762741089, 1.4699581861495972, -0.3492678105831146, 1.1113296747207642, 1.528476357460022, -0.07715923339128494, -0.9211940169334412, -1.6085073947906494, 0.21611855924129486, -1.1950100660324097, -1.265571117401123, -0.6636560559272766, 0.7719048857688904, 2.152226209640503, 1.4076145887374878, 1.9253668785095215, 1.4799574613571167, 0.07341745495796204, 1.0161988735198975, 0.44696369767189026, -1.6156158447265625, -2.0378189086914062, 0.5281509160995483, 2.281700611114502, 1.08240807056427, -0.3149643540382385, -1.7818543910980225, 0.7333816289901733, -2.579914093017578, 0.34066465497016907, -0.5126487016677856, -1.4883252382278442, -1.6199723482131958, -0.894855260848999, -0.8451811075210571, 0.5300923585891724, -0.0923057347536087, -1.7475852966308594, -2.735800266265869, 0.3996947109699249, -0.1344814896583557, 0.8167273998260498, -0.8211012482643127, 1.1624326705932617, -0.07183989137411118, 0.5370879769325256, 0.061353664845228195, -1.4528465270996094, 0.6398134827613831, 0.8254149556159973, 0.12805165350437164, -0.14109468460083008, -0.625221848487854, 0.8092066049575806, -0.11718758195638657, -1.1148312091827393, 0.9762508869171143, 0.7792982459068298, 1.7043391466140747, 0.7392937541007996, -0.09029268473386765, -1.5124807357788086, 0.11073430627584457, 0.3517610728740692, 0.225253626704216, 1.0885297060012817, 1.1271064281463623, -2.571439743041992, -1.5619075298309326, -0.04409025236964226, -1.6726114749908447, 0.10444904118776321, 1.2362767457962036, 0.18855658173561096, -2.026031017303467, -0.763046145439148, 0.39466825127601624, -0.4472545385360718, 1.3351815938949585, -0.21375977993011475, -0.26246339082717896, -0.2416851669549942, -0.8357256650924683, 0.20526982843875885, 0.48812177777290344, 0.6734729409217834, -0.5760495662689209, 1.5215134620666504, -0.6188464760780334, -0.6054529547691345, -0.0629148930311203, 0.2784777581691742, 1.7754497528076172, -0.10409016907215118, -0.3474760055541992, 0.9673697352409363, -1.043190598487854, -0.041241008788347244, 2.4454219341278076, 0.8718115091323853, 2.4982998371124268, 1.2723380327224731, 1.5647765398025513, 0.48673132061958313, -1.526787281036377, -0.5008388161659241, -0.4825889766216278, -2.7372868061065674, -0.460245817899704, 0.3206985294818878, -0.1324109435081482, -1.2323992252349854, 0.8853267431259155, -0.7162377238273621, 0.7331264019012451, 1.2727547883987427, -0.2141655832529068, 0.5932980179786682, -0.5717976093292236, 0.5250082015991211, 0.13406798243522644, -0.9980590343475342, -0.49482566118240356, 0.04486565291881561, -0.44595402479171753, -0.6660885810852051, -1.0977351665496826, 0.6875897645950317, -0.4028196632862091, 1.2828515768051147, 0.20233047008514404, -0.1452723890542984, -1.2760826349258423, 0.8781658411026001, -1.3329477310180664, 0.6804112195968628, -0.8617445230484009, -0.24095205962657928, 0.9688426852226257, 0.09023550897836685, 1.4299067258834839, -0.10566366463899612, -1.2167209386825562, -0.6714652180671692, 0.4090316593647003, 1.9153506755828857, -0.19954705238342285, -1.156960129737854, -2.1965367794036865, 0.740816593170166, -0.7165335416793823, 2.189835548400879, -0.5803816914558411, -1.8143552541732788, 0.19016751646995544, 0.997963547706604, -0.44459035992622375, -0.2186175137758255, -1.5346736907958984, -0.06741951406002045, 1.118284821510315, -0.539294958114624, -0.24226878583431244, 1.181956171989441, 0.8644051551818848, 0.4498967230319977, -0.459118515253067, 0.24597714841365814, -0.2969715893268585, 1.5312389135360718, 0.9527507424354553, 0.9935436248779297, -0.6778773069381714, 0.08999475091695786, 0.23534545302391052, 1.5798420906066895, 0.8776527047157288, -1.0788476467132568, 0.8199342489242554, 1.1258000135421753, 1.0732814073562622, 0.4224063754081726, 1.276467204093933, 1.8543668985366821, -0.685929000377655, 1.150649905204773, -0.5860447287559509, -1.162844181060791, 0.10077589750289917, 0.6879785656929016, 0.569084644317627, -0.0120925921946764, -1.121256709098816, -0.31278616189956665, 1.5336055755615234, -1.3610914945602417, 1.2032177448272705, -0.7268349528312683, -0.7323019504547119, 3.737567186355591, -1.5371572971343994, -0.6533039808273315, 0.3316200375556946, 1.4778854846954346, 0.03268267586827278, -0.11003129929304123, -0.31057214736938477, 1.5397073030471802, -1.2787760496139526, 0.01793847791850567, 0.45681118965148926, 0.05143281817436218, -0.9807073473930359, -0.28033119440078735, -0.3591710031032562, -1.1237719058990479, -0.47590139508247375, -0.7490650415420532, 0.24647657573223114, -0.16897666454315186, -1.4565855264663696, -0.09422188997268677, -0.7165818810462952, -1.4126297235488892, 0.3722274899482727, 0.22315478324890137, 0.5901334881782532, 1.7704182863235474, -0.07067952305078506, 0.2633742094039917, -0.9359489679336548, 1.3029149770736694, 1.5921276807785034, 2.410417318344116, -0.1330181211233139, -0.20999406278133392, 0.37402912974357605, -1.042997121810913, 1.0441745519638062, 0.9879540801048279, 1.3288050889968872, 1.3879175186157227, 1.1054853200912476, -1.531322717666626, 0.24462273716926575, 0.5808990001678467, -0.4733770191669464, -1.3447606563568115, 0.493614137172699, 0.10951017588376999, 0.5634345412254333, 1.3920351266860962, -0.04094242304563522, 0.9086894989013672, -0.934503972530365, -0.7036672234535217, -0.6773335933685303, -1.6695002317428589, 0.3254689574241638, -1.1045103073120117, 0.043463848531246185, -0.15345712006092072, -0.2445601373910904, -0.9832969903945923, -0.08945722877979279, 1.2400035858154297, -1.9783906936645508, -1.0010015964508057, -1.9590941667556763, 1.0083421468734741, -0.7855895757675171, -0.3156237304210663, 0.24393317103385925, -0.09808523207902908, -0.5225448608398438, -0.140946164727211, 0.6916184425354004, -0.5811348557472229, -1.381591558456421, 1.0387083292007446, 1.7929490804672241, -1.1075234413146973, -0.12245869636535645, -0.5079467296600342, -0.12870757281780243, 0.971109926700592, 1.660989761352539, -0.15505516529083252, -0.08253271877765656, 1.0298832654953003, 1.8664350509643555, 0.006741723511368036, -0.3333989381790161, -1.483108401298523, -1.3328425884246826, -1.650346279144287, 0.3439781963825226, -1.2932019233703613, 1.0748846530914307, 0.11718330532312393, -2.2243857383728027, -0.1520201861858368, -0.16796833276748657, 1.1464675664901733, -1.2217791080474854, 1.7396749258041382, -0.8884100914001465, -1.0964643955230713, 0.11216297000646591, -0.07985618710517883, -1.4582661390304565, 0.08765754103660583, -0.8795207142829895, -0.4667317569255829, -0.3155784606933594, 0.6934699416160583, 0.46829691529273987, -1.0374470949172974, 0.5043755769729614, 0.1263323277235031, 0.20309847593307495, -0.7504032254219055, 1.0274159908294678, -0.35111135244369507, 0.6700394749641418, 1.241397738456726, -1.4321649074554443, -0.5421318411827087, 0.22114239633083344, -1.3104230165481567, -0.8001041412353516, 1.068984031677246, 1.5536808967590332, 1.9840667247772217, -0.5542587041854858, 0.4291350245475769, 0.07153293490409851, -0.8111438155174255, -0.6357504725456238, 0.9728097319602966, -0.29340609908103943}; -static float axpy_Y_dram [4096] __attribute__((section(".data"))) = {-0.5196930766105652, 1.8524175882339478, 1.8365377187728882, 2.074131727218628, -0.7373097538948059, -0.7686780691146851, -0.05119974538683891, 1.5985578298568726, 0.2122737318277359, 1.1059595346450806, 1.311963438987732, 0.424176424741745, -0.4922901690006256, 1.6562608480453491, 0.4111401438713074, -0.2428770512342453, 0.8634518384933472, -1.4491990804672241, 0.3142701983451843, -1.005286455154419, -1.3435431718826294, 1.2677130699157715, -1.2937111854553223, -0.7414584755897522, -0.3299030065536499, 0.3301123380661011, 0.9814369082450867, -1.4912174940109253, 0.5385298132896423, 1.3361884355545044, -0.5637743473052979, 0.663472592830658, 0.43149101734161377, -0.7728766202926636, -0.8030177354812622, 0.46446937322616577, -0.17089581489562988, 2.706796407699585, 0.6624157428741455, -0.654021143913269, 0.7278003692626953, 0.09257330745458603, -0.1797974407672882, 0.7003864645957947, -1.250577688217163, 0.9090378284454346, -0.15779435634613037, -0.43905171751976013, 0.7388755679130554, -0.46826601028442383, -1.59627366065979, -1.6671663522720337, 0.3388274610042572, 0.3750116229057312, -1.3291982412338257, 0.5636889338493347, 0.8051766753196716, 0.7449150681495667, -0.1739114224910736, 1.1078135967254639, 0.5147720575332642, 0.8934884667396545, -1.5113967657089233, -0.8514725565910339, 2.081841230392456, 1.0677173137664795, -1.4276772737503052, -0.33180344104766846, 1.7054011821746826, 0.6059234738349915, 1.1122153997421265, -0.5635794997215271, -1.364527702331543, 0.17917323112487793, 0.5652397871017456, 0.3271985352039337, 0.01357425469905138, 2.691838502883911, 1.2729166746139526, -0.6343013048171997, 0.5684458613395691, 0.5110347270965576, 0.9753285646438599, 1.9773973226547241, -1.2740811109542847, -0.7873809337615967, 2.1380560398101807, 0.3426303565502167, 0.9504527449607849, -1.5345426797866821, -0.17094235122203827, 0.9801839590072632, -0.05862395092844963, 2.073120594024658, -0.3976811170578003, -0.20158079266548157, -0.16366083920001984, -1.2389750480651855, -1.0557494163513184, 1.2461082935333252, -0.7245869040489197, -1.044531226158142, 0.5626670122146606, 0.17291614413261414, 1.9904685020446777, -1.181625247001648, 0.30392396450042725, 2.225896120071411, 0.30583375692367554, -0.6297805309295654, 0.7762312889099121, -0.5106104016304016, 0.3001462519168854, 0.17312221229076385, 0.08587908744812012, 0.10766935348510742, 1.0629868507385254, 1.841042399406433, -0.5686787366867065, -1.44584059715271, -0.2561878263950348, 1.1728384494781494, -0.428275465965271, -0.2985764443874359, 0.14890146255493164, 1.661197304725647, -2.622263193130493, -0.43193310499191284, -0.10098669677972794, -0.43878018856048584, -1.9775099754333496, -0.03591495007276535, -0.787344753742218, -0.11653880029916763, 1.899356484413147, 0.45238569378852844, -0.5850009918212891, 0.17411360144615173, -0.2031504362821579, -1.271364688873291, 0.8729038834571838, 0.14136412739753723, -1.4058030843734741, 0.4083256125450134, 1.4582887887954712, -0.5315611362457275, 0.8970864415168762, -1.1392240524291992, -1.309956669807434, -0.0961947962641716, -2.4108095169067383, -0.009612545371055603, -0.6612817049026489, 0.8028563857078552, -0.0704915001988411, -1.5173195600509644, 0.20034420490264893, -0.24687924981117249, 0.23627454042434692, 0.7618011236190796, -0.5062925219535828, 0.7492969632148743, 1.0681610107421875, -2.636631488800049, 0.6954406499862671, -0.32303762435913086, 0.5969774723052979, -0.6247759461402893, 1.647339940071106, -1.7078118324279785, 0.09625459462404251, -0.28645211458206177, 1.0167992115020752, -0.6579540371894836, -0.3999125063419342, -1.7973577976226807, -0.3783835768699646, 0.23239530622959137, -0.7321792840957642, -0.40373992919921875, 1.2062691450119019, -0.6938396096229553, -1.5164529085159302, 2.0242106914520264, -0.8075132966041565, 0.09412498027086258, 0.27298247814178467, 0.5441054701805115, -2.4758286476135254, 0.21060240268707275, 0.2536042630672455, -0.7318422794342041, -1.3799183368682861, -0.21034009754657745, 0.8919094204902649, 0.18319325149059296, 0.5042280554771423, -1.007485270500183, -1.3393090963363647, -0.4502287805080414, -0.16011619567871094, -1.608611822128296, 0.1806594282388687, 1.864193320274353, -1.201043963432312, -0.07190026342868805, 0.14386875927448273, -0.1494988203048706, -0.4506336748600006, 0.3065869212150574, 0.9810793399810791, -0.5398741960525513, -0.42057791352272034, 0.4069875180721283, -0.3191024363040924, 0.8826714158058167, 0.6646241545677185, -2.1909663677215576, 0.4844568967819214, 0.6883501410484314, 1.6444298028945923, -0.09725860506296158, -0.972576379776001, 0.9053502678871155, -0.9080927968025208, 1.1592087745666504, -1.467360258102417, 1.7191014289855957, -0.3673190176486969, 2.3575830459594727, -0.459722101688385, -0.058640673756599426, -1.2418878078460693, -0.023634955286979675, -2.0428922176361084, 0.19053655862808228, 0.5756992697715759, -0.5177616477012634, 0.9197074770927429, 0.9861821532249451, 0.05695872753858566, 0.15881912410259247, 0.6510986685752869, 0.020065616816282272, 0.8478670120239258, 2.0752015113830566, -0.38090255856513977, -0.9460003972053528, -0.4590383470058441, -0.6942368745803833, 0.30009862780570984, -1.389849305152893, 1.2990328073501587, -1.8040062189102173, 1.837660551071167, -0.7219073176383972, 0.6289454698562622, -0.0685209259390831, -1.2115036249160767, -0.5285655856132507, -1.5568547248840332, -1.2001843452453613, 0.2736855447292328, -0.6129935383796692, 0.9846591353416443, 1.6213051080703735, 0.5066256523132324, -0.126494362950325, 0.03450252488255501, 1.3147404193878174, -0.6877241134643555, -0.10271778702735901, 0.3767105042934418, 0.6639789342880249, 0.05910481512546539, 0.6989551782608032, -0.16035781800746918, -1.092200756072998, 0.02478332258760929, 0.4938628077507019, -0.5733305811882019, 0.6898083090782166, 0.6767069697380066, 0.5416850447654724, -0.17832504212856293, -0.7599223852157593, 0.4881221354007721, -1.4561625719070435, 0.9352383017539978, 0.30303436517715454, -0.5343928933143616, -0.2886335253715515, 0.9647671580314636, 1.7285969257354736, -0.8043005466461182, -0.28731220960617065, -1.2584627866744995, 0.08387812972068787, 0.9973886609077454, -0.7661278247833252, -0.3684079647064209, -0.16042770445346832, 0.731488823890686, -0.4989534318447113, -0.3056040108203888, 0.9780712127685547, 0.9052547812461853, -0.901805579662323, -0.2280527949333191, -0.948022723197937, -0.15365807712078094, 1.032126545906067, 0.17955327033996582, -0.2721782922744751, 0.15856090188026428, 1.1139295101165771, -0.5713488459587097, -0.9870969653129578, -1.6782877445220947, 1.8284801244735718, -1.401864767074585, -0.134507417678833, -0.5799044966697693, 1.0302584171295166, 0.16683164238929749, 0.13005995750427246, 0.3381371796131134, 1.025931715965271, -1.1549469232559204, 0.21058911085128784, 0.0752936601638794, 0.4396262466907501, 0.029413584619760513, 0.18214739859104156, 0.03471093624830246, -1.8955014944076538, 0.5495442748069763, 0.1979023516178131, -0.33105704188346863, -1.3217003345489502, -0.24350062012672424, -0.4956028163433075, 1.6506794691085815, 0.7990935444831848, 0.6958364844322205, -0.9322998523712158, 0.18523266911506653, 0.039212681353092194, -1.0234346389770508, 0.31960463523864746, -0.8433935046195984, -2.1094107627868652, 0.5183284878730774, 0.6223585605621338, 0.017574317753314972, 1.3758805990219116, -0.024098770692944527, -0.11441737413406372, -0.2840602993965149, -0.10638472437858582, 0.3422453701496124, -0.21973253786563873, -0.09440521895885468, -0.514839768409729, 0.5137941241264343, 0.3945278227329254, 0.5612776279449463, -0.006535662803798914, -0.4982566237449646, 0.47082117199897766, -0.591300904750824, -0.41513174772262573, 0.5321887731552124, 1.317676305770874, -0.7898051142692566, -0.5088178515434265, 0.23661386966705322, 1.2172428369522095, 0.2148703932762146, 1.2481820583343506, 2.4610743522644043, 0.14153295755386353, 1.837676763534546, -0.2276618480682373, 0.9624823927879333, 0.04533285275101662, -2.03769850730896, -1.0922635793685913, -0.17383840680122375, -1.065432071685791, 0.926749587059021, -0.16234397888183594, 0.36853712797164917, -0.2603956162929535, 0.12695534527301788, -1.7026076316833496, 0.6422180533409119, -0.6823133230209351, -1.6458345651626587, 0.15697401762008667, -0.36244451999664307, -0.12838764488697052, -0.30779823660850525, -1.713757038116455, 0.23439815640449524, -0.40255531668663025, -1.402301549911499, -0.8660640120506287, 0.8337363600730896, 0.1786288470029831, 1.002273440361023, -0.676571249961853, 0.02751591056585312, -1.0370279550552368, 1.4250038862228394, 0.3661484718322754, 0.8489260077476501, 0.4735972583293915, 0.5418957471847534, 0.0022529142443090677, -0.5435486435890198, 0.18075302243232727, -0.7696079015731812, -1.0346392393112183, 0.7314628958702087, 1.6726516485214233, 0.35546284914016724, 0.30347952246665955, 0.04806268960237503, 0.5032230019569397, -0.025565603747963905, -1.8534711599349976, 0.2129386067390442, 0.8061029314994812, 0.7021245956420898, -0.6485925316810608, -0.47295162081718445, 2.2190706729888916, -1.868390679359436, -1.3732751607894897, -1.013265609741211, 1.365964651107788, 1.1893959045410156, -2.7800850868225098, -1.0310310125350952, -0.702293336391449, 0.8099242448806763, 0.8948581218719482, -1.2265124320983887, 0.7861263155937195, -2.095715284347534, 0.4538240432739258, -0.4390734136104584, 0.4836112856864929, 0.9263717532157898, 0.06930986046791077, 1.4818024635314941, -0.9132123589515686, -1.606928825378418, -0.5966755747795105, 0.7912061810493469, 1.1138908863067627, 1.4326399564743042, 0.34999290108680725, 0.2926573157310486, 0.9981994032859802, 0.9345365762710571, 0.08568228036165237, -0.5905015468597412, -0.603374183177948, 0.6896741390228271, 0.7225849628448486, -0.4239010512828827, 1.3261003494262695, -1.067254662513733, 0.6243192553520203, -2.4506609439849854, 1.3008989095687866, -1.3570464849472046, 0.4011816382408142, 1.0129450559616089, -0.07218152284622192, 0.03116939589381218, -0.755984902381897, -0.7956190705299377, -0.6868169903755188, 1.9091075658798218, -0.4942598342895508, -0.06308790296316147, 0.9503589868545532, 0.6692175269126892, 0.12500713765621185, 0.5085462331771851, -1.0646655559539795, -0.4647725224494934, -0.9152674674987793, -0.40090087056159973, -0.08886078000068665, -0.9508647918701172, 0.28014296293258667, 0.06467004120349884, -2.057403087615967, 1.1129963397979736, -0.7580298185348511, 0.0977560505270958, 0.8891482949256897, 0.3460270166397095, -0.11394428461790085, 1.4566701650619507, -1.1309462785720825, 1.2330803871154785, 0.8789023160934448, 0.7664128541946411, 0.4324735403060913, -1.018404245376587, 0.012393372133374214, 0.6695152521133423, 1.2033629417419434, 0.8298293352127075, -0.9191604256629944, 0.958231508731842, 0.2724153697490692, 0.9915789365768433, 0.3825709819793701, -0.5029784440994263, 1.5228251218795776, -2.502131938934326, -0.6252055764198303, -0.4519657790660858, -0.5720081329345703, 1.7311065196990967, 0.40453165769577026, 0.5910705924034119, 0.5206778049468994, 0.26302585005760193, 1.462548851966858, 0.806793212890625, 2.1060609817504883, 0.1250244528055191, -1.0741941928863525, -0.04460187256336212, -0.7740861177444458, 1.4476147890090942, -1.8094489574432373, 0.7144214510917664, 1.472544550895691, -1.7723859548568726, 0.0483609139919281, 0.20343580842018127, -0.6890958547592163, -0.5412123203277588, 2.0465071201324463, -0.5884720087051392, -0.8352398872375488, 0.0502433180809021, 0.4375612735748291, 1.3833050727844238, -1.3894257545471191, 0.3897654116153717, -1.5383917093276978, -2.017490863800049, 0.856982946395874, 0.04652906581759453, -0.3498983681201935, -0.8770002722740173, -0.38342466950416565, -0.05906866118311882, 0.7605865001678467, -0.9748004078865051, 0.9013839960098267, -0.43347686529159546, -1.508413314819336, 2.2633509635925293, 0.88945072889328, 0.7355136275291443, -0.6224141120910645, -0.5682758688926697, -1.4246463775634766, 0.4983586370944977, -1.3945032358169556, -2.804393768310547, 0.4811320900917053, 0.3296896517276764, 1.4936070442199707, 1.691656231880188, 0.1595890074968338, 1.024785041809082, 0.12853768467903137, -0.46378275752067566, 1.3842717409133911, -0.6843701601028442, -0.3655944764614105, 0.40884074568748474, -0.13771961629390717, -0.48222219944000244, -0.9596375823020935, 0.88399738073349, -1.1032230854034424, 0.4613407552242279, -0.6266279220581055, -0.059072766453027725, 1.432356357574463, -0.3214779198169708, -0.32065340876579285, -1.381635308265686, -0.36659157276153564, -1.3767681121826172, -0.6575090289115906, 1.8197290897369385, -0.5204964280128479, 1.4799479246139526, 0.4248008131980896, -0.30355918407440186, 0.07046826928853989, -1.5127924680709839, 1.3581115007400513, 0.32402706146240234, -1.0680902004241943, 0.08959023654460907, 3.1311562061309814, -0.8544708490371704, -0.8357695937156677, 1.2985942363739014, -0.7110929489135742, 0.2808963656425476, 0.1529616415500641, 0.09397149085998535, 0.8745917677879333, -1.226547122001648, 1.3209213018417358, 1.0341976881027222, -0.49460795521736145, -3.3865373134613037, 2.0950191020965576, 0.2728019058704376, -0.030417079105973244, 0.08612233400344849, -1.7298319339752197, 0.08109258860349655, 1.2689827680587769, -0.34340038895606995, 0.9877837896347046, 1.3732529878616333, -0.32952919602394104, -0.540728747844696, 0.5175154209136963, -1.1346107721328735, 0.4711856544017792, 1.920310378074646, 0.4751400351524353, 0.5673654675483704, 0.2462792843580246, 2.888267755508423, -0.5556330680847168, 0.017246929928660393, -0.3958292305469513, -1.0047813653945923, 0.15763555467128754, -2.729581594467163, -0.12834908068180084, -0.4433963894844055, -1.7998695373535156, -0.4873202443122864, -0.360196590423584, -0.2588382959365845, 1.3558588027954102, -0.6274254322052002, 0.47283434867858887, -0.6854686141014099, 1.4168455600738525, 0.8578910827636719, 0.8829494118690491, -0.9653112292289734, -1.340206503868103, 0.03399703651666641, -0.4458200931549072, 0.151767760515213, 1.6231015920639038, -0.429679274559021, -0.16159531474113464, -1.0276970863342285, -0.9918712973594666, 0.29410406947135925, -0.3595946729183197, -0.13289135694503784, -0.09766664355993271, -1.9935065507888794, 0.11608057469129562, -0.6729629635810852, 0.8545035123825073, -1.1793856620788574, -0.9540548324584961, -0.969673752784729, 0.3141362965106964, 1.2000207901000977, -0.1831716001033783, -0.18045181035995483, -0.1034746766090393, -0.10869846493005753, 0.5782245993614197, 1.7499538660049438, 0.002034955658018589, 0.36500951647758484, -0.8946718573570251, -0.3625917434692383, 1.179726004600525, 0.8268131613731384, 1.457729697227478, -1.029435634613037, -1.2957319021224976, 0.2842133045196533, -2.20194673538208, 0.5491127967834473, -1.2930694818496704, 0.4510805904865265, -0.3953653573989868, 1.7161552906036377, 0.15392881631851196, -1.4651801586151123, -0.5170696377754211, -0.8793548941612244, -0.8100994825363159, 0.6788665652275085, 0.33716168999671936, -0.5290454030036926, 0.9324967265129089, 0.6762214303016663, 0.14378660917282104, 2.18232798576355, 0.037647929042577744, 0.21775013208389282, 2.395190954208374, 0.4023849368095398, 1.6874338388442993, -2.743908405303955, -1.9040486812591553, 0.9187806844711304, 0.12344544380903244, 2.8273682594299316, 0.47407886385917664, -1.9149128198623657, -1.5384353399276733, -0.6529980897903442, 0.16414928436279297, -0.19700200855731964, 1.038715124130249, -0.3908529281616211, 1.0207839012145996, -1.2268577814102173, -2.0579991340637207, 0.5987722277641296, -0.13595744967460632, -0.219259113073349, -0.47011202573776245, -0.9500816464424133, -0.6808398962020874, -0.9808987379074097, -0.7519583702087402, -1.0324863195419312, -1.8080289363861084, 0.21794334053993225, 0.6857624053955078, 0.43733805418014526, -1.9561761617660522, 1.2915894985198975, -1.6977379322052002, -1.2025177478790283, -1.646543025970459, -0.789655864238739, -1.313944935798645, 1.211775779724121, 0.9332025647163391, -0.2605237364768982, 1.5151708126068115, -1.521173357963562, -1.1669979095458984, -2.0334060192108154, -0.08260460197925568, 1.4479997158050537, 0.1535591334104538, -0.19014781713485718, -0.9898110032081604, 0.3790753483772278, 1.921068549156189, 0.03124547004699707, 0.3133164942264557, -1.2002359628677368, -0.22739538550376892, -0.23840101063251495, -0.682720422744751, 0.46212872862815857, -0.9165003299713135, -1.665152907371521, 0.7060098052024841, 0.9033956527709961, -0.3824882507324219, 0.1978939324617386, -0.032255567610263824, -0.9255485534667969, 1.1699923276901245, 0.24731674790382385, -2.150991439819336, 1.2150318622589111, -0.33008328080177307, -0.5156939625740051, 0.022917015478014946, -0.6746217608451843, 1.309282898902893, -1.6657313108444214, 0.32850465178489685, -0.8491258025169373, 0.9747982025146484, -0.37322181463241577, 0.6090918779373169, -0.7589855194091797, -0.6492360830307007, -1.3370387554168701, 1.169712781906128, -0.5579738020896912, 0.38680657744407654, -0.4910755455493927, -0.32521501183509827, 0.13093096017837524, 0.624261200428009, 0.6792762279510498, 0.3959449231624603, 0.66949063539505, -0.19622361660003662, -0.32004305720329285, 0.20433473587036133, -1.9621531963348389, -0.5470468401908875, -0.13450416922569275, 0.5259649753570557, 1.2340812683105469, 0.19075801968574524, -0.19310960173606873, 1.5767059326171875, 0.11904352903366089, 0.2899794280529022, -2.0928122997283936, -0.0447654202580452, 0.11111800372600555, 0.38851943612098694, 0.5478768348693848, -1.3495665788650513, -0.44743525981903076, -0.7099960446357727, -1.751257061958313, 0.9016333222389221, -1.3840047121047974, -0.8077333569526672, 1.2065165042877197, 0.1894015073776245, -0.6532776355743408, -0.2359209805727005, 0.6057451367378235, -0.3558703064918518, 1.4644410610198975, -0.8236719965934753, -0.3576895296573639, -0.9380688667297363, 1.4365062713623047, 1.5855119228363037, -1.2674946784973145, -0.382905513048172, 0.8315308690071106, 1.8437397480010986, 0.021685972809791565, 0.28762421011924744, 0.39912644028663635, 2.4587013721466064, 0.4567311406135559, 1.106606125831604, 0.9169553518295288, -0.23127765953540802, -1.498667597770691, 0.6427391171455383, 0.18222902715206146, -1.0757185220718384, 1.5721423625946045, 1.0094727277755737, 0.4029425382614136, 1.6145533323287964, 0.4475519359111786, 0.5499113202095032, 0.21999366581439972, -0.019641423597931862, 0.20199595391750336, -0.305207222700119, -0.11879883706569672, 0.8017854690551758, -0.3870505392551422, 1.560797929763794, 0.04964430257678032, -0.33324524760246277, 0.9817765355110168, 1.0983757972717285, -0.25671127438545227, -2.2621774673461914, 0.16864269971847534, -1.6143133640289307, -0.011093960143625736, 1.2098065614700317, -1.4476906061172485, 1.3612878322601318, -1.3062708377838135, 1.6407432556152344, -0.33931660652160645, 0.13347899913787842, -0.9141297936439514, -0.15479597449302673, 0.39503413438796997, -0.09881415218114853, -1.8138774633407593, -0.6933608055114746, 1.3770830631256104, 1.0369852781295776, 0.522045910358429, -2.008735179901123, -0.7871567606925964, 0.07242458313703537, -1.9583851099014282, -0.1682676076889038, -0.09407275170087814, -1.5021157264709473, 0.9083237051963806, -0.627318799495697, 1.345549464225769, 0.32771772146224976, 1.3408823013305664, 1.2158557176589966, 0.95885169506073, 0.5136737823486328, 0.5763065814971924, 0.0778578370809555, -1.061161994934082, 2.042306900024414, 0.6509259343147278, -1.0072094202041626, 0.35781362652778625, -1.0799492597579956, 0.04710597172379494, 2.201401472091675, 0.7588040828704834, -1.5863133668899536, -0.6730678081512451, -1.2264569997787476, 1.3401838541030884, -1.162084698677063, 1.4780147075653076, 1.5603762865066528, -0.3231433033943176, 2.119018793106079, 0.7877871990203857, -1.9625552892684937, -0.526032567024231, 2.639968156814575, 1.171855092048645, 1.2722933292388916, -0.699771523475647, -1.096972107887268, -0.55166095495224, -0.3263216018676758, 0.6205294132232666, -1.4098610877990723, -0.41539478302001953, 3.068681478500366, 0.3701395094394684, -0.7284356355667114, -0.9289583563804626, 0.8983376026153564, -0.48385927081108093, 0.032833296805620193, -0.10948953032493591, 0.46667027473449707, -1.1001535654067993, 0.8703535795211792, 0.9143402576446533, -0.9333758354187012, -1.9243427515029907, 0.5441842079162598, 1.674156904220581, -0.1457550972700119, -0.663499116897583, 1.2121490240097046, 0.9221742749214172, 0.5710628032684326, -0.7049512267112732, 2.053586959838867, 0.9570578932762146, -1.0222344398498535, 0.2908516526222229, -0.08251824229955673, 1.5195056200027466, -0.3584267199039459, -0.6102548837661743, -1.5060930252075195, -0.7732543349266052, 1.0847911834716797, 1.4872429370880127, 0.6041548848152161, -1.1257244348526, 0.3761575222015381, 1.959293246269226, -0.7958163619041443, 0.4539487659931183, -2.5069937705993652, 0.4042589068412781, -1.5217982530593872, -0.5133728981018066, -1.3806458711624146, -0.5332193970680237, 1.0821458101272583, 0.6407410502433777, -1.729612946510315, -2.14782977104187, 0.4973359704017639, -1.268904685974121, -0.24232769012451172, -1.0745846033096313, -0.6819858551025391, 1.0070197582244873, -0.8279359936714172, 0.6613953113555908, -0.03297487273812294, -0.13994985818862915, 1.0257868766784668, -1.9717178344726562, 0.5998077988624573, 1.361150860786438, -0.173064723610878, 0.7555463910102844, -0.14636565744876862, -0.09429822862148285, 0.29657915234565735, 0.11924134939908981, 0.1167483851313591, -0.5673035979270935, -1.7532938718795776, -0.5004768371582031, -0.8111429214477539, 2.0269458293914795, -2.568801164627075, 1.0234973430633545, -0.5307855606079102, 2.509202480316162, 0.9496951103210449, 1.4498697519302368, 1.9099774360656738, -0.41487377882003784, -2.154376745223999, -1.1843920946121216, -0.13271500170230865, -0.6129738092422485, 1.0654757022857666, -1.361000418663025, 1.183761477470398, -1.1673825979232788, -0.25077250599861145, 0.33264845609664917, 1.1230510473251343, 1.8761743307113647, 0.273639976978302, 0.2801147401332855, 0.7281787991523743, 0.02403142862021923, 2.3937904834747314, -1.3129955530166626, -0.48624828457832336, 0.4012764096260071, 1.0767757892608643, 2.587069511413574, -0.7386665940284729, 0.3296529948711395, 2.8114237785339355, -0.879487931728363, -0.33060166239738464, 0.6457027792930603, 0.17840361595153809, -1.1289931535720825, -0.3602311313152313, -2.383305549621582, 1.3506853580474854, -0.4065081775188446, -0.30150434374809265, -1.5348396301269531, 1.6021355390548706, -1.0694472789764404, 0.08452916890382767, 0.33887022733688354, -1.223060965538025, 0.19821691513061523, 0.38515371084213257, -0.07315804064273834, 0.8780375719070435, 0.12963341176509857, -0.6663824319839478, 0.4921484887599945, -0.9834228754043579, -1.2953417301177979, -1.5002580881118774, 1.1558160781860352, -0.7528656125068665, 0.589937686920166, -1.330565333366394, 1.276455044746399, -1.1509960889816284, 1.4542961120605469, 0.21978320181369781, -0.26515746116638184, 0.7749262452125549, 0.12985540926456451, 1.0439496040344238, -1.7788974046707153, 0.3559875190258026, -0.05403977632522583, 0.07127834856510162, -0.8058504462242126, -0.35074129700660706, 1.1279785633087158, 0.02586616761982441, -0.14947263896465302, 0.39506977796554565, 1.9742354154586792, -0.4404173791408539, 2.3815414905548096, -0.34589144587516785, 2.694831609725952, -0.8544124364852905, -0.27781322598457336, 0.7772916555404663, -0.43923330307006836, 1.1729096174240112, 1.397361159324646, -0.41255858540534973, 0.6893559694290161, 0.033526014536619186, -0.2977294325828552, -1.1700760126113892, 1.5237687826156616, 1.2984881401062012, 0.6588833928108215, -0.8222662210464478, -0.14632517099380493, 0.7788861393928528, 0.6403340101242065, 0.8075235486030579, 0.5731524229049683, 1.3903805017471313, -0.23513318598270416, -0.5386273264884949, -1.160732388496399, -1.486362099647522, 0.13496126234531403, 1.354621410369873, 1.9504122734069824, 1.0814120769500732, 0.7843427658081055, 1.8615505695343018, 0.6727956533432007, -0.4322131276130676, 1.8005059957504272, 1.3338027000427246, -0.3184575140476227, 1.1182889938354492, 1.8907326459884644, 0.19988827407360077, -0.17826782166957855, -0.2625838816165924, 0.7513576745986938, 0.18278615176677704, -0.9977543950080872, 0.45807182788848877, 0.7924372553825378, -0.9643475413322449, 0.46591266989707947, 1.3539252281188965, -1.459295630455017, 0.37469375133514404, 0.7460726499557495, 0.26590028405189514, -1.0854178667068481, -1.5084643363952637, -0.4339471161365509, 0.9574882388114929, 1.254128336906433, -1.0384563207626343, 0.5251074433326721, -0.8383287787437439, 1.7227904796600342, -1.1731188297271729, 0.7272883057594299, -1.7194626331329346, 2.383774995803833, -1.1649107933044434, -0.20503655076026917, -0.5375787019729614, 1.2967820167541504, -1.6138769388198853, -0.8155969977378845, -0.1509992778301239, -0.5020706653594971, 0.8036778569221497, -1.1333380937576294, -0.7435185313224792, -0.7013434171676636, -0.42178988456726074, -1.5332636833190918, -1.9342981576919556, 0.3510490953922272, -0.6404718160629272, 0.019135747104883194, -0.7149955034255981, -0.7025302648544312, 0.7700265645980835, 0.9396787285804749, 1.0826958417892456, -0.6519155502319336, 0.2863307297229767, 0.10145679116249084, 0.09791278839111328, -0.8082833886146545, 1.441809892654419, -0.6460452675819397, 0.04811352491378784, 1.382570505142212, -0.6665758490562439, 0.7876792550086975, -0.15957334637641907, -0.10303135216236115, 0.27407389879226685, 1.1576530933380127, -0.21968594193458557, 0.4215473532676697, -0.32665783166885376, 2.3412764072418213, -0.5351880192756653, 1.0548261404037476, -0.4031357765197754, 0.6454427242279053, -0.6314883828163147, -1.2809436321258545, 0.009232764132320881, 1.2330046892166138, 0.6238497495651245, 1.5213422775268555, -0.4976206421852112, 0.7535606026649475, -0.38888975977897644, 2.5921998023986816, 0.08543383330106735, -2.0308878421783447, 0.6461803913116455, 1.217452883720398, 0.03121299482882023, 1.082465648651123, -1.8998514413833618, -2.7074427604675293, -0.7867730259895325, -0.6195639967918396, 1.8281400203704834, 0.4877544343471527, 0.1366790533065796, -0.7571792006492615, -1.0642281770706177, 1.9611531496047974, -0.4390997588634491, 0.8920031785964966, 1.8720558881759644, 0.24501417577266693, 0.7017510533332825, 0.9099079370498657, -0.7323962450027466, -0.6663155555725098, -0.3277064561843872, -1.439155101776123, -1.2797472476959229, -0.19622953236103058, 0.19903564453125, -0.5971476435661316, -1.0348221063613892, 0.42101818323135376, -0.548947811126709, -1.2540193796157837, -1.2696188688278198, 0.6492337584495544, 0.2340298593044281, -0.1553155928850174, -0.4344737231731415, -1.0962450504302979, -1.122981309890747, -1.865479826927185, -2.1207547187805176, -0.6719433665275574, -0.7559810280799866, -1.0449823141098022, -0.27992430329322815, 1.2101659774780273, -0.9885204434394836, 0.350207656621933, -0.2644238770008087, -1.0631657838821411, -0.7474985122680664, 0.3266002833843231, -0.49929699301719666, -1.4715039730072021, 0.5023646950721741, 0.4279913902282715, 1.0040385723114014, 1.708235263824463, -0.2667044997215271, -0.5910199284553528, 2.3618080615997314, 1.2076122760772705, -0.5487976670265198, 1.5311497449874878, 0.44362834095954895, -2.4579336643218994, 0.6496618390083313, 0.02449978142976761, 0.25982725620269775, 0.7348682284355164, -0.006029692944139242, -0.7884382605552673, 1.1371253728866577, -1.7366209030151367, 0.9614791870117188, -0.48808231949806213, -0.6568363904953003, -0.4720822274684906, 0.35971710085868835, 0.5307507514953613, 1.0079243183135986, 1.6095112562179565, 1.6016820669174194, 0.020138248801231384, 0.573236346244812, 0.37203314900398254, 0.22609540820121765, -0.754463255405426, -0.3379971385002136, 0.41659975051879883, 0.22890278697013855, 0.1943359375, 1.0423648357391357, -1.1257092952728271, -0.04083564877510071, 0.7159355282783508, 0.3418067395687103, -0.6778577566146851, 0.17783714830875397, -0.16209769248962402, -0.026765741407871246, -0.33800795674324036, 0.6160297393798828, -1.5755101442337036, -1.1856271028518677, 0.3281179666519165, -0.03522142022848129, 0.18906556069850922, 1.0375696420669556, 0.7793638706207275, -0.6498270630836487, -0.48095330595970154, 0.13058727979660034, 0.8354679346084595, 1.6123450994491577, -0.4939593970775604, 0.30456098914146423, 2.1306238174438477, 0.026654772460460663, 0.7856671810150146, 0.8128212690353394, 0.04477962106466293, -1.7439521551132202, -1.3104760646820068, -1.4218922853469849, -0.3201002776622772, 0.011662798002362251, 0.7528783082962036, -0.8849666118621826, 0.8982152342796326, -1.6744109392166138, -1.1447242498397827, 0.22346419095993042, -0.9369489550590515, -0.17544429004192352, 0.8354768753051758, -1.2516489028930664, 0.26434803009033203, 1.2949540615081787, -0.5443984270095825, 2.050001859664917, 0.06459449976682663, 1.448185920715332, -0.20686893165111542, 1.5211719274520874, -1.2341058254241943, -0.7871248126029968, -0.7268313765525818, -0.26047298312187195, -0.1815493106842041, 0.40858983993530273, 0.21912771463394165, -0.45708730816841125, -0.651996910572052, -0.32107922434806824, -0.5061670541763306, 0.8149546980857849, 0.6836134195327759, -1.2236212491989136, 0.9091914892196655, -0.6015433669090271, 0.8525826930999756, -0.6866192817687988, 1.1305443048477173, -0.3458130359649658, -0.673958420753479, -0.16243989765644073, 1.8315014839172363, -0.7265217900276184, -0.44100794196128845, -1.1563644409179688, -1.1074808835983276, -0.6043308973312378, 0.0144581263884902, -0.2186170518398285, -1.0052590370178223, 1.2696419954299927, 1.212203025817871, -0.3618496060371399, 1.1163915395736694, 0.4140532910823822, 0.12140734493732452, 0.836719274520874, -0.6638585925102234, -2.0399112701416016, -0.6206883192062378, -0.9584940075874329, -0.5020677447319031, 0.45930108428001404, -1.3068112134933472, 0.9302626848220825, 1.0709624290466309, 1.3384746313095093, 0.27829068899154663, -1.328766107559204, -0.2891634404659271, -2.518200635910034, -2.533468723297119, -0.022988365963101387, -0.5563327670097351, 2.0752601623535156, -0.8403553366661072, 0.9517558813095093, 0.20424777269363403, -0.8860291838645935, 1.0496968030929565, 0.5568186640739441, 0.13751773536205292, -0.15331187844276428, 1.2169883251190186, 1.7785981893539429, -0.709164023399353, -0.9840890765190125, -0.17089858651161194, 0.2695762813091278, 0.3193606436252594, -0.6905565857887268, 0.3500501811504364, -0.32124459743499756, -1.1872515678405762, -0.83109050989151, -1.1144185066223145, 1.2617039680480957, 0.6814237833023071, 2.0168917179107666, 0.481290727853775, 0.313506156206131, -0.5229234099388123, -0.26092126965522766, -2.14499831199646, 1.0247118473052979, 2.1447153091430664, -0.34384623169898987, 0.9131002426147461, -2.4607415199279785, -0.45995032787323, 0.06401844322681427, -0.4596543312072754, -0.5983195900917053, 0.844002366065979, -0.6386957168579102, -1.218558430671692, -0.1842191219329834, -1.7404849529266357, -0.43988513946533203, 0.978778600692749, 1.003504991531372, 1.839215874671936, -0.7032709121704102, -2.1381378173828125, 0.3657694160938263, -2.1648738384246826, 0.9794597625732422, 0.38047143816947937, -1.9277540445327759, 0.9197121858596802, -1.6067678928375244, -1.3559657335281372, -0.25936615467071533, 0.0861901044845581, -0.37659966945648193, 0.9756653904914856, -0.8411983847618103, -0.9621247053146362, -0.5808306932449341, 1.1524169445037842, -0.5585207939147949, -0.25051894783973694, 2.1708250045776367, -1.229917049407959, 0.3085583448410034, -0.4612124264240265, -1.0031081438064575, -3.3694987297058105, 0.7832650542259216, -0.34354400634765625, 0.34807446599006653, -1.7184555530548096, -0.8929248452186584, -0.7456709742546082, 0.1508672833442688, -0.7863383889198303, -0.350765198469162, 1.2518025636672974, -0.35988521575927734, -0.43994462490081787, 1.9879144430160522, -0.692777693271637, 0.4603208601474762, 0.6009213924407959, 0.8063201308250427, 0.979621946811676, 0.9170622229576111, -0.11666277050971985, 0.2106197327375412, 0.5228466987609863, -1.7686034440994263, -0.8117372393608093, -0.877277135848999, -0.01325446367263794, 1.1153861284255981, -0.4465695023536682, 1.315346598625183, 1.038090705871582, 2.2033896446228027, -0.907842755317688, 2.0937135219573975, -0.9239965677261353, -0.553339421749115, 0.09259668737649918, 1.0563279390335083, 0.8357012271881104, 0.39962950348854065, -0.5224289298057556, 1.8076858520507812, -0.13208438456058502, -1.0929938554763794, -2.4860122203826904, -0.038849566131830215, 0.8021038770675659, -0.18547378480434418, 0.534229576587677, -0.49810588359832764, 0.8963930010795593, -1.2691730260849, 0.042280785739421844, 0.9680984020233154, -1.7608284950256348, 1.0980932712554932, 0.8579375743865967, -0.9562888145446777, -0.04678618907928467, -0.6908267736434937, -0.38857290148735046, 0.04591083899140358, -0.3794901967048645, 1.5770217180252075, -0.042833227664232254, 1.353956699371338, -1.124684453010559, -0.17863909900188446, 0.20412735641002655, 0.9273927807807922, -0.32438376545906067, 0.6851024031639099, 1.0722298622131348, -1.000272512435913, 0.9701831936836243, -1.7244060039520264, 1.61734139919281, -0.9298601746559143, 0.41942062973976135, 2.0680532455444336, -1.2342560291290283, 2.678502321243286, 0.3004297614097595, -1.303833246231079, 0.7512189745903015, 0.3729371726512909, 0.17139992117881775, 0.5995815396308899, -0.19726276397705078, -0.11891679465770721, 0.973744809627533, 1.072774887084961, -1.0264922380447388, -1.1302908658981323, -0.2908953130245209, -1.6867245435714722, 1.5600812435150146, 1.8405765295028687, -0.7730143070220947, -0.2413354218006134, 2.3902435302734375, -0.5483986139297485, 0.643738329410553, 0.7495583891868591, -1.2053273916244507, 1.4264872074127197, -0.7236151099205017, 0.2793406844139099, -0.8662601113319397, 0.8459339737892151, 0.6116387844085693, -0.8089803457260132, -0.20030127465724945, -2.4035587310791016, 1.5633418560028076, -0.6294617652893066, 0.8042920231819153, 0.6676139235496521, -0.5181459784507751, 0.9539962410926819, 0.7256157398223877, -0.5767995715141296, -0.8011578917503357, -1.122711181640625, -0.7824243903160095, -0.5122944712638855, -0.8242338299751282, -1.0234198570251465, -1.3928337097167969, -0.4097520112991333, 0.8509801030158997, 0.8881950378417969, 0.5827952027320862, 0.785405158996582, 1.5136889219284058, 1.251064419746399, -1.9372137784957886, -1.2051048278808594, -1.0388710498809814, -0.1431705802679062, 1.1298640966415405, 0.587632417678833, 0.020525068044662476, 0.12478796392679214, 0.2550857663154602, -0.4017896056175232, -1.7806396484375, -0.08095061779022217, -1.759868860244751, 0.820906937122345, -0.15008316934108734, -1.8686583042144775, -0.6503500938415527, 0.6934462189674377, -1.1080999374389648, -0.1472785621881485, -2.2464029788970947, 0.40276598930358887, 0.1035822331905365, 0.3125080168247223, 0.19359846413135529, -0.40304437279701233, 0.7284680604934692, 1.4359182119369507, -1.437017560005188, 0.5239248275756836, -0.26981568336486816, 0.017309220507740974, 1.2778736352920532, -0.30529654026031494, -0.01296310406178236, 1.34257972240448, 0.8595607280731201, 0.24403470754623413, 1.9769524335861206, 0.5327519178390503, -0.8788067102432251, -0.2609003186225891, -0.1277228742837906, -0.38701093196868896, -0.10875839740037918, 0.7135027647018433, 0.037005677819252014, 0.7367677688598633, -1.073968529701233, -0.8155962824821472, 1.1982215642929077, -1.376950740814209, 1.0670830011367798, 0.507300615310669, 0.4764442443847656, 0.9100666046142578, -1.501094937324524, -0.11335238814353943, -1.2001420259475708, -0.7933986186981201, -2.7309763431549072, -0.014749551191926003, -0.04001638665795326, -0.11494863778352737, 1.458335041999817, 0.4836253225803375, 1.4084579944610596, -2.2690858840942383, 0.39256006479263306, -0.4266415536403656, 0.36491602659225464, 0.807181715965271, -1.5602378845214844, -0.055706802755594254, -0.712527871131897, 0.2629498243331909, 1.1325268745422363, 0.8828094601631165, 0.9763681888580322, 0.35255447030067444, -0.5103265047073364, 0.048219867050647736, 0.7788206934928894, -0.08426624536514282, 0.8460555672645569, 1.1856203079223633, -0.4465353190898895, 0.8045015335083008, 0.830817461013794, -0.4116531312465668, -0.19528378546237946, 1.0318245887756348, -0.6419956088066101, -1.068763256072998, -0.038084980100393295, -0.8322976231575012, 0.8178791999816895, 0.14809109270572662, 0.3688916862010956, 0.5232675075531006, -1.1358050107955933, 2.01452898979187, -0.5778390765190125, -0.2187574803829193, 0.35567042231559753, 0.7510744333267212, 0.2171924114227295, 0.4019394814968109, 0.9250243306159973, -0.24931922554969788, -0.3473069369792938, 0.8122217059135437, -0.6078834533691406, -0.8096639513969421, 1.7024855613708496, -0.9683796763420105, 1.086437702178955, 1.8661012649536133, 1.4962280988693237, 1.022226333618164, 1.5485637187957764, -0.08855976164340973, -0.88787841796875, -0.6835475564002991, -0.015076662413775921, -0.030384592711925507, -0.8306879997253418, -1.7835168838500977, -1.239241361618042, 0.9374039173126221, -0.8320447206497192, 0.9133552312850952, 0.35530006885528564, 1.5981814861297607, 0.6848441362380981, -0.238090381026268, -0.8003812432289124, 0.22974559664726257, -0.19079573452472687, -0.364820271730423, -0.658343493938446, -1.2190016508102417, -1.0552600622177124, -0.9370644688606262, 0.07260560989379883, 0.24500113725662231, -1.4732903242111206, -0.10355143249034882, -0.5564566254615784, 0.3039886951446533, 0.9035240411758423, 0.5980544090270996, -1.4176050424575806, -0.0560019314289093, -1.3687875270843506, -0.5567623376846313, -0.36739063262939453, 1.5230790376663208, 1.4708421230316162, -0.4366856515407562, -1.1750401258468628, 0.24909113347530365, -0.4163765013217926, -0.9129456877708435, -1.0231975317001343, 0.15973883867263794, 1.5596561431884766, 0.2012278288602829, 0.9135115742683411, -0.7519399523735046, 2.7958858013153076, 0.41675102710723877, 0.0033115341793745756, -1.398554801940918, 1.5899420976638794, -0.7806249856948853, -0.7495994567871094, 0.2814400792121887, -0.12884187698364258, 0.9388713836669922, 0.2919924259185791, 1.7478625774383545, -0.8145643472671509, 0.9247024655342102, -1.5529402494430542, 0.13943159580230713, 1.0945836305618286, 1.0349615812301636, 0.03250877186655998, 0.9967503547668457, 0.6327362060546875, 0.7831454873085022, 0.5098334550857544, 1.5633010864257812, 1.690338134765625, 1.8711999654769897, 1.2940467596054077, 0.49941954016685486, -0.5331865549087524, -0.26645612716674805, 0.3932536244392395, 0.45422324538230896, 0.4487703740596771, -0.43473342061042786, 1.8583357334136963, 0.35827821493148804, 0.1845020353794098, 1.472187876701355, 0.9056950807571411, -2.0051839351654053, 1.8527616262435913, -1.680544137954712, 0.659866988658905, -0.5326191782951355, 0.5515633225440979, 1.6462424993515015, 0.2259528934955597, 0.27357611060142517, -0.9556253552436829, 0.43514177203178406, -1.1005570888519287, 0.9130839705467224, -0.45959728956222534, 2.1901278495788574, -0.682377278804779, -0.22877585887908936, -0.08736804872751236, -1.1191902160644531, -0.4140253961086273, 0.2933676540851593, -0.016588633880019188, 0.4729222357273102, 1.0479241609573364, 0.32106152176856995, 1.162279486656189, 1.5198776721954346, 1.134521245956421, 0.013782661408185959, 1.5933806896209717, 0.11106725037097931, 0.5933053493499756, 0.0023419519420713186, -0.46283742785453796, 0.1497601568698883, 0.6901775598526001, -0.38219600915908813, 0.4878943860530853, 0.04518410563468933, 1.9969353675842285, -0.6955253481864929, 0.5120656490325928, -0.9795308709144592, 2.3521711826324463, 0.41813287138938904, -0.1308819055557251, -0.4394487142562866, 1.6976182460784912, 0.813541829586029, -1.232080101966858, 1.510047435760498, -0.4955300986766815, -1.451595664024353, 1.1304244995117188, -0.23605898022651672, -1.4099090099334717, 0.033967722207307816, -0.27385643124580383, 0.052069131284952164, 0.6194980144500732, 1.2993793487548828, 0.37411054968833923, 0.29060807824134827, -0.14929574728012085, 0.16823826730251312, 0.7370786666870117, -1.690212607383728, 0.30514323711395264, -0.0909213200211525, -1.1586445569992065, -0.7481539845466614, 1.1121810674667358, -0.4421563148498535, 1.0719655752182007, 0.17691805958747864, -1.2427209615707397, 0.10128959268331528, 0.19372011721134186, 0.24058400094509125, 0.9057638049125671, 0.11222076416015625, 0.9275448322296143, 0.1871725618839264, 1.0549991130828857, -0.04648401960730553, 1.6230204105377197, 0.9345911145210266, 0.6804553866386414, 2.2367258071899414, -0.6858885884284973, -1.308877944946289, -1.208367109298706, -1.4461109638214111, -0.6192750930786133, -0.40478718280792236, 0.45292869210243225, 0.40945965051651, -0.6087417602539062, 0.9832689762115479, 2.428079843521118, 1.1440294981002808, -0.101145900785923, 0.09917446225881577, 0.3814586102962494, 1.6723263263702393, -0.4240947961807251, 1.8199645280838013, -0.39397287368774414, -0.4608776867389679, 0.51866215467453, 0.7784189581871033, -1.5908970832824707, 1.9877948760986328, 1.7945916652679443, -0.8427640199661255, -0.776123583316803, 0.7065202593803406, 0.020995743572711945, -0.7148111462593079, -0.21817894279956818, 0.6545652151107788, -1.3288897275924683, -0.5552407503128052, 0.869994044303894, 0.17624177038669586, 0.10479936003684998, 1.1782784461975098, -0.8539279699325562, 0.18701297044754028, -0.059362635016441345, -2.4078524112701416, -1.2304182052612305, -0.026510445401072502, 0.8861871957778931, -0.7287083268165588, 1.380319356918335, 0.6684188842773438, -1.7624090909957886, 0.6292073726654053, -0.7828742265701294, -0.08022477477788925, 0.9383800625801086, -0.4210285246372223, -0.49148616194725037, -0.9306524395942688, 0.9656304121017456, 0.4625106155872345, -1.4208135604858398, 0.2507438659667969, -0.5702037215232849, -0.05394743010401726, -0.7306843400001526, -1.0346637964248657, 0.7631427049636841, 0.9210829138755798, -0.6593214273452759, 0.24809309840202332, 0.7311660647392273, 2.3288936614990234, -1.06203031539917, -0.5385692119598389, 0.02497357502579689, -0.43002068996429443, 1.0171878337860107, -0.548503041267395, 1.6083859205245972, 0.5863268971443176, 0.15758942067623138, -0.9828112125396729, 0.18478159606456757, 1.4150960445404053, 0.4230518639087677, 0.5434484481811523, -1.2116377353668213, 0.1757367104291916, 0.25499454140663147, 0.8070029616355896, -0.3077951669692993, 1.3164128065109253, 0.7719241976737976, 2.0046799182891846, -0.05154263228178024, -0.09019286930561066, 0.9585400819778442, -1.2275644540786743, 0.35432279109954834, -0.2604968845844269, 0.6541568636894226, -0.43890517950057983, -0.05930287018418312, -1.202606201171875, -1.2769453525543213, -0.3968345820903778, -1.267333745956421, -0.6902195811271667, 0.23923389613628387, 1.4039400815963745, -2.0282845497131348, -1.0275602340698242, 0.9761411547660828, -0.06743653863668442, 0.06586836278438568, -0.7352823615074158, 0.19450226426124573, -0.7134578824043274, 0.16818134486675262, -1.1888638734817505, -0.37875133752822876, -0.321153849363327, 0.4127616584300995, 0.3390391767024994, 1.7734715938568115, 1.655669927597046, -0.08070362359285355, -0.303115576505661, 0.3240552544593811, 1.0401465892791748, 0.5915576815605164, -1.4803826808929443, -0.12040789425373077, 0.16437974572181702, 0.7806977033615112, 1.228264331817627, 0.4453698992729187, -0.3023916184902191, -0.11587464064359665, 0.45107510685920715, -0.3600947856903076, -1.0252388715744019, 0.37429946660995483, -0.3791492283344269, 2.650177001953125, -1.6031533479690552, -0.47765374183654785, -0.5845633149147034, -0.21724168956279755, -0.5731971859931946, 0.37175968289375305, -0.4610559642314911, 2.174776315689087, 0.021914366632699966, 0.5857738256454468, -0.3441990613937378, 0.6814432144165039, 0.772460401058197, 0.007647526450455189, -0.25153985619544983, 0.84389328956604, -0.2621386647224426, -0.42434096336364746, -0.6080525517463684, 0.011438353918492794, 0.0011970907216891646, 0.672935426235199, -0.6712173819541931, -0.2299986481666565, 0.13960936665534973, 1.3544011116027832, -0.423284113407135, -0.9974878430366516, -0.9902269244194031, -0.1406998336315155, 0.8166614174842834, 1.0789191722869873, 1.7252999544143677, 1.1660224199295044, 0.5868375301361084, -0.11877616494894028, -0.34278497099876404, -0.08240477740764618, 0.8542949557304382, -0.9149259924888611, 0.5388334393501282, -0.7796450257301331, 1.15239417552948, -0.4312492907047272, 0.4804547131061554, -0.0037577631883323193, -0.23722761869430542, 0.1753881573677063, -0.3416663408279419, -0.4271427094936371, 1.1991220712661743, 0.8689031600952148, -0.6073640584945679, 0.11616495996713638, 1.9142365455627441, -1.1450425386428833, 1.60905921459198, 0.1974239945411682, -0.7603867650032043, -0.693874180316925, -1.0808472633361816, -0.06485684216022491, -0.010432514362037182, 1.2084461450576782, -0.9010456800460815, -1.3052830696105957, -0.12543383240699768, 1.2808754444122314, 0.6577393412590027, 0.46201997995376587, -0.5072460770606995, -0.9180205464363098, 0.0884491354227066, 0.6251678466796875, -1.8366930484771729, -0.45854899287223816, 0.49610286951065063, -0.8524967432022095, -0.19241304695606232, 0.47062209248542786, -0.7075293660163879, 0.01930052787065506, 0.7421151995658875, -2.1299924850463867, -1.8519654273986816, -0.789726972579956, -1.3833003044128418, -1.1265941858291626, 0.4917159378528595, -1.688096284866333, -1.05665123462677, -0.33759868144989014, -0.2788163125514984, -0.3783758282661438, -0.2296314239501953, -1.1831741333007812, -1.034787893295288, -0.8083778023719788, -0.4777121841907501, 0.8160690665245056, 0.8076881766319275, 0.5698519945144653, -0.4374706447124481, -0.7118549942970276, 2.338996648788452, -0.5139697194099426, -0.3140712380409241, -0.8430630564689636, -1.2868314981460571, 0.5520419478416443, 2.584942102432251, 0.4215601086616516, 2.558887481689453, -1.2483208179473877, -0.9826495051383972, 0.7373914122581482, 1.4831291437149048, 0.6203920245170593, 0.33499792218208313, -0.5796453952789307, -0.24162966012954712, -1.0534428358078003, 0.2707360088825226, -0.25277644395828247, 0.016658902168273926, -0.2014150619506836, 0.05029870569705963, 1.4853851795196533, 0.8810616731643677, 0.9759232997894287, 0.807918131351471, -1.3484669923782349, 1.1456364393234253, 0.31596988439559937, -0.4552096426486969, -0.18135374784469604, -0.3125359117984772, 0.744454026222229, -0.761397659778595, 1.7994297742843628, 0.6710255146026611, 1.5392361879348755, -0.8462389707565308, -1.374268651008606, -0.29935309290885925, -1.3537677526474, -0.9374998211860657, 0.966241180896759, 2.2905983924865723, 0.4570314586162567, 1.168905258178711, -0.22257985174655914, 1.2293546199798584, -0.0368775799870491, -0.1774366796016693, 1.5478016138076782, 1.0848923921585083, -0.38529422879219055, -0.5037281513214111, 0.9991070628166199, -0.715931236743927, 0.28394055366516113, 0.5939877033233643, 0.31532949209213257, 0.27048054337501526, 0.9632524251937866, -1.2189302444458008, -0.06929556280374527, 0.061022937297821045, 1.4769864082336426, -1.1677722930908203, 0.43188926577568054, 0.7582098245620728, 0.26907673478126526, -0.16138136386871338, 1.1750508546829224, 0.5351220369338989, 1.225508689880371, 0.9085782766342163, 0.16776901483535767, -0.009964917786419392, -0.9104782342910767, 0.3974350690841675, -0.5543625354766846, 1.281534194946289, 2.018014669418335, -0.44383805990219116, -0.7552081942558289, -1.7850168943405151, -0.07548937201499939, -0.5207358598709106, -1.048349142074585, 0.8424208760261536, -0.9976255297660828, 0.7335740327835083, 1.2276335954666138, -0.7577790021896362, 1.622873306274414, 1.292340874671936, -0.26265472173690796, -0.8315040469169617, -0.4278397262096405, -0.527760922908783, -1.798736572265625, -0.07677789032459259, 0.781619668006897, 0.13910506665706635, -1.7339553833007812, 0.7391383647918701, 1.4115384817123413, 0.38143935799598694, -0.051372598856687546, 0.867921769618988, -1.1712745428085327, 1.219971776008606, -0.9404910206794739, 0.6608859300613403, 0.822035551071167, 0.38992002606391907, -0.49170032143592834, -1.689696192741394, 0.05627693980932236, -0.2405131459236145, 0.9160073399543762, 0.7348390221595764, -0.6902630925178528, -0.9186923503875732, -0.6866548657417297, -0.10339432954788208, -0.32694968581199646, 1.2450132369995117, 0.6069359183311462, -0.0937698483467102, 0.38322561979293823, 1.3406338691711426, -0.43185070157051086, -1.897344708442688, -2.652250289916992, -0.43282368779182434, 0.932184100151062, 0.4349152445793152, -0.7768413424491882, -0.19172848761081696, 1.1136181354522705, -1.214506983757019, 2.1966660022735596, 0.41227126121520996, -0.7043505311012268, 0.09847358614206314, 1.0420149564743042, 1.154740810394287, 0.31027355790138245, -0.6142987608909607, 1.667606234550476, 0.014784318394958973, -1.3251757621765137, -0.8346104025840759, -0.2093493789434433, 0.2113834023475647, -0.15933676064014435, 1.438138484954834, -0.8965448141098022, 0.4655975103378296, -0.7802034020423889, -0.7512221336364746, 0.49976596236228943, 0.9063552021980286, -0.273310124874115, -0.8444989919662476, 0.06740748137235641, -1.1771124601364136, -2.319305896759033, 1.0881538391113281, 1.7532049417495728, -1.352665662765503, -1.717094898223877, 0.539482057094574, -0.4647720456123352, 0.15511354804039001, -0.933184802532196, 2.0511553287506104, -0.004049783106893301, 2.6462395191192627, 1.7450222969055176, 0.2888743579387665, 1.1111222505569458, 1.1171294450759888, -0.7966887354850769, 1.0229419469833374, -1.0742470026016235, -1.4498995542526245, -0.5231860280036926, 0.3438241481781006, 0.20375612378120422, -1.4713748693466187, 0.19777953624725342, -0.3222813904285431, 1.8926005363464355, 0.6903649568557739, 1.4352598190307617, 1.0864993333816528, -0.3989373445510864, -2.0487279891967773, -1.1373023986816406, 0.9046337604522705, 0.5279921293258667, -0.5005130171775818, 0.0378837063908577, -1.0129538774490356, 0.7843693494796753, 1.3280545473098755, -0.5138816833496094, -0.04832516983151436, -0.20733071863651276, 0.41877850890159607, 1.7955039739608765, -0.6994057297706604, -0.4367755055427551, 0.34429505467414856, -0.5315167307853699, -1.7878962755203247, 0.5759621858596802, -0.37107211351394653, -0.02148612029850483, -0.3246121108531952, 0.7541995644569397, -1.7302361726760864, -0.6404775977134705, -0.024382900446653366, 1.7320348024368286, 0.39241987466812134, -0.3704788386821747, 0.8811249136924744, 0.537391722202301, -0.48424550890922546, -1.1632671356201172, 0.3275741636753082, -1.6853573322296143, -1.058225393295288, 1.4543863534927368, -0.2975543737411499, -0.8741039633750916, -1.0332050323486328, -0.41522952914237976, 1.5585501194000244, 0.5210180282592773, 1.036444902420044, 0.2102498859167099, -1.1502959728240967, -0.25832024216651917, 0.2814403772354126, -0.5159256458282471, 1.522001028060913, -0.4228188693523407, 0.5675636529922485, 0.47951194643974304, -0.9628922939300537, 0.16651970148086548, 0.17922191321849823, -0.6149380207061768, 0.884855329990387, -0.8721303939819336, -1.3769567012786865, -1.8487751483917236, 0.30561649799346924, -0.42448726296424866, 0.6269863247871399, -0.6438542604446411, 3.0598578453063965, 0.8688741326332092, 0.7331935167312622, 1.7552188634872437, -0.24250611662864685, 1.9880743026733398, -1.1370364427566528, -2.2499585151672363, -0.5810695886611938, -0.7490391731262207, -2.1543679237365723, -0.15692853927612305, 0.09731115400791168, 0.2538682818412781, 1.5049229860305786, 1.313053011894226, -0.7395356297492981, -1.813647985458374, -0.2881675958633423, -0.4023030996322632, 0.7287482619285583, -0.49943476915359497, 0.7028453350067139, 0.34216001629829407, -1.3413481712341309, 2.5905730724334717, -0.14419972896575928, -0.47694188356399536, -0.2289033830165863, 0.3704476058483124, 1.024267315864563, 0.35092905163764954, -0.11602573096752167, -0.5128945112228394, 0.8613727688789368, 2.617750883102417, 0.8522545695304871, 0.3442921042442322, -0.21941426396369934, 1.6952100992202759, 0.7312605381011963, -0.7095723152160645, 1.5105708837509155, 0.9143079519271851, -1.2950124740600586, 0.9506115317344666, -1.3415539264678955, 0.9584245681762695, -1.834415316581726, -0.5945284366607666, -1.6709949970245361, -1.3442386388778687, -0.7921901941299438, 0.1253465712070465, -0.9972168207168579, 0.9316890239715576, -1.098996639251709, 0.8816671967506409, -2.0325005054473877, -0.35224223136901855, 0.1006089523434639, 1.5255436897277832, -1.6215003728866577, 0.5298449397087097, -1.3718810081481934, -0.6298099756240845, -0.65668123960495, 0.4244276285171509, -0.40122607350349426, -0.4890124797821045, 0.9571828246116638, -0.8436367511749268, -0.3680597245693207, 0.41704463958740234, -0.8712550401687622, -0.16223306953907013, -0.9481205940246582, -0.6534963846206665, -0.3585118353366852, -0.817564845085144, 0.3736099898815155, 0.9122175574302673, 0.6781302690505981, 0.055852312594652176, -1.3394618034362793, -0.30016884207725525, 0.15999306738376617, -0.6769115328788757, 0.7504494786262512, -2.2600386142730713, -1.3617544174194336, 1.5765711069107056, 0.1465187966823578, 1.8045871257781982, 1.3033205270767212, 0.18405571579933167, -1.9564915895462036, 0.1487964242696762, -0.9366428256034851, -0.950509250164032, -0.2993629574775696, -0.6517760157585144, 0.2768969237804413, -0.006574048660695553, -1.8791542053222656, -0.8827964067459106, -0.03510960936546326, 0.33106282353401184, -1.535096526145935, 1.5791680812835693, 1.562730073928833, 1.0339767932891846, -0.644834041595459, -0.7956817150115967, 0.4747835099697113, 0.6450149416923523, -2.4694929122924805, -1.2703917026519775, 1.4028544425964355, 0.39471593499183655, -0.2914227247238159, -0.47244831919670105, -0.6993737816810608, 0.4523862302303314, -1.090578317642212, 0.9411556720733643, -1.931666612625122, 0.1285097301006317, -1.0134315490722656, 0.7479439973831177, 0.20112790167331696, -0.8995627760887146, -0.2906798720359802, 0.1047126054763794, -0.5943686366081238, -1.727795124053955, 1.0557186603546143, 0.216787651181221, 0.23502039909362793, 1.8141969442367554, -1.5329066514968872, -0.3652367889881134, -1.5126714706420898, 0.7930607199668884, -1.0631688833236694, 0.9984860420227051, 1.037604570388794, -0.04009038954973221, -1.5908479690551758, 0.30711954832077026, 1.1175891160964966, -1.345697283744812, 1.0572247505187988, -1.5537861585617065, -0.9000288248062134, 1.180068850517273, -1.0849788188934326, 0.6662015318870544, -0.7253139615058899, 1.3528261184692383, -0.1364641636610031, 1.1062331199645996, -0.2775273025035858, -0.7301269769668579, -0.9058868288993835, 0.3395512104034424, 0.954081654548645, -0.042208630591630936, 0.05911993607878685, -0.04415971040725708, 0.6573874354362488, -1.8288997411727905, 0.515746533870697, -2.1813249588012695, -0.7443293333053589, 0.4601408839225769, 1.2225193977355957, -2.047572374343872, 1.09447181224823, 0.42030036449432373, -0.6366481781005859, -2.1695642471313477, 1.1429638862609863, 0.8955739736557007, 1.6797635555267334, -0.5037193298339844, -1.5121967792510986, -0.14455023407936096, -0.7709440588951111, 1.3850905895233154, 0.06768468767404556, -2.205592393875122, -0.9360390901565552, 1.6600853204727173, -0.551665186882019, -0.3103943169116974, -0.6468674540519714, -0.22681531310081482, -0.3377947509288788, 0.6926270723342896, -0.5544835925102234, 1.3808448314666748, -0.366502046585083, -0.08894097805023193, -0.662988007068634, -0.291555255651474, 0.10108345001935959, 1.0123193264007568, -0.815822958946228, -0.18586060404777527, -0.7641056776046753, -0.3749435842037201, -0.8628830313682556, -0.8098255395889282, -0.21431559324264526, -1.1151552200317383, 0.14144384860992432, -0.3268890678882599, 0.8507034778594971, -0.18483993411064148, -1.1319291591644287, -0.03408394008874893, 1.5095572471618652, -0.11943084001541138, 1.4642930030822754, 0.8833335041999817, 1.1377960443496704, 0.7793198823928833, 0.07684005051851273, -1.4407286643981934, 0.5401078462600708, -0.13687263429164886, -0.4707719087600708, -0.6834523677825928, 0.8514192700386047, -0.8422428369522095, -1.0746608972549438, -0.9010616540908813, -0.6362974643707275, -1.087014079093933, -0.028106562793254852, -0.1531383991241455, 1.0988678932189941, -0.03311381861567497, -0.892038881778717, -1.3073756694793701, 0.14662222564220428, 0.8670119643211365, 3.2090775966644287, -0.10487986356019974, -0.12251695245504379, -0.10804381966590881, -1.4534186124801636, -0.5115614533424377, 0.9907002449035645, 0.7906493544578552, -0.34170281887054443, -1.8583146333694458, 0.6896669268608093, 0.8880993127822876, 1.2050648927688599, -0.5951094031333923, -0.3993092179298401, -0.30013880133628845, -0.014673005789518356, -0.17559614777565002, -0.7265163660049438, -0.5217191576957703, 0.37568187713623047, 0.5935606956481934, 0.20674307644367218, 1.4827877283096313, 0.9587778449058533, -0.3417870104312897, -1.0058722496032715, -1.0774322748184204, -2.2288825511932373, 0.8113047480583191, 0.13623955845832825, -0.30091696977615356, -0.2654571533203125, -0.23642085492610931, 2.775019645690918, -0.048772864043712616, 0.9577808976173401, -0.12049184739589691, -0.008940046653151512, -1.624023199081421, -0.5534864068031311, 0.8154556751251221, -0.03924920782446861, 1.350463628768921, -0.04840381070971489, -0.7114460468292236, -0.7299661040306091, -1.4113084077835083, 0.34884995222091675, -1.3412030935287476, 0.3424357771873474, 0.19632267951965332, -0.1660011112689972, -0.3333166837692261, 1.0084987878799438, 0.9129180312156677, 0.5135545134544373, -0.8764104843139648, -1.4057948589324951, -0.6906246542930603, 0.3960992097854614, -0.2924385964870453, 0.3751489818096161, -1.1075292825698853, 0.37509971857070923, 0.6156877279281616, -0.9164139032363892, -0.06423450261354446, -0.33351314067840576, 0.5679208636283875, 0.5063413977622986, 1.8924111127853394, -0.7531763911247253, 1.0589748620986938, -0.010384922847151756, 0.41770482063293457, 0.16313597559928894, -0.26724591851234436, 0.1958005577325821, 0.8279790282249451, -0.7302268147468567, -1.8403681516647339, 0.1881687343120575, -1.4522165060043335, 1.4667081832885742, 0.9349684119224548, 0.25753054022789, 0.9158172607421875, -0.5319824814796448, 0.6224501132965088, 0.12310407310724258, -0.17781615257263184, 2.9330546855926514, 0.4627670347690582, -0.6264359354972839, -1.3771589994430542, -1.6635388135910034, -0.43344026803970337, 2.270435094833374, 2.0011656284332275, -2.1169581413269043, 0.3513871729373932, -0.9149423837661743, -0.28648310899734497, 1.87372624874115, 0.07205427438020706, 0.0007797196158207953, -0.14003179967403412, 0.9105306267738342, -1.0649497509002686, 0.45754849910736084, 0.15436618030071259, -0.744165301322937, -1.4790199995040894, 0.5692160725593567, -1.146249532699585, -1.904903769493103, 0.9906712174415588, -0.9967307448387146, -2.3042960166931152, -1.8701173067092896, 1.6571006774902344, -0.5442659258842468, 0.3686337471008301, -0.17834435403347015, -0.7094868421554565, 0.24787208437919617, 0.7445037364959717, 1.5205289125442505, 0.4149245321750641, 2.0801644325256348, 0.1493149846792221, -0.4159306585788727, 1.2188113927841187, 0.8605815768241882, 0.8988009691238403, -0.4320070743560791, -0.011584565043449402, 0.1905190795660019, 0.45351630449295044, -0.2722189724445343, 0.4377744197845459, 0.6674123406410217, -0.8114345669746399, -1.1677125692367554, -0.2668311595916748, -1.2189315557479858, 0.14258776605129242, -0.31570670008659363, 1.423683524131775, 1.0125263929367065, -0.7147458791732788, 0.3446122407913208, 0.8802022337913513, -0.7164220213890076, -1.3230516910552979, 1.0481867790222168, -1.7053356170654297, 0.600459098815918, 1.8295810222625732, -0.340763121843338, -0.51121985912323, 0.23932333290576935, 0.9544809460639954, 0.16341786086559296, -0.30999821424484253, 0.15972714126110077, 0.8302736878395081, -1.421786904335022, -0.09449474513530731, 0.07646692544221878, 0.15851546823978424, -0.38410186767578125, -1.4129188060760498, -1.0182603597640991, 0.4253365993499756, 0.98757004737854, -1.3338558673858643, -2.0718472003936768, -1.8923321962356567, 2.419602632522583, -0.24423770606517792, -0.2663561701774597, -0.6755290031433105, -1.124692440032959, 1.8602604866027832, 0.6256695985794067, 0.28849464654922485, 0.8962987065315247, 0.8840014338493347, 0.4107360541820526, -1.6067783832550049, 1.4667541980743408, 1.4608654975891113, -1.6388529539108276, 0.9854339957237244, 0.01134670153260231, 0.3140886127948761, 1.081308126449585, 0.1636349856853485, -1.8501884937286377, -0.6727942824363708, -1.8205945491790771, -0.16954289376735687, -0.974631667137146, -0.5593003630638123, -0.2645290195941925, 0.2448410987854004, 0.4654839038848877, 0.34682390093803406, -0.1821630895137787, -0.12917080521583557, 0.7775542140007019, 0.3030397295951843, -0.8394461274147034, 0.4900873601436615, 0.9002130031585693, -1.4860044717788696, 0.9374179840087891, -0.5453174114227295, 0.485347181558609, 0.6994611024856567, -0.28342336416244507, -0.11592065542936325, 0.22056539356708527, 1.1435766220092773, 0.042469993233680725, -0.12866179645061493, -0.3201303780078888, 1.3020575046539307, 0.05820586159825325, -1.411534309387207, -0.5787767171859741, -1.1051533222198486, 1.3300304412841797, -0.41862916946411133, -0.43831324577331543, 1.0452755689620972, 0.7565826773643494, 1.3442822694778442, -0.005769374314695597, -1.643362045288086, -0.7528584599494934, -0.8185959458351135, 0.02291426621377468, -0.7729488015174866, -0.039141006767749786, 0.30586403608322144, -0.2691587805747986, -0.21813122928142548, 0.3616786003112793, -1.017099380493164, 0.7204318046569824, -0.10523297637701035, 1.8706086874008179, 0.8992230892181396, -0.23518913984298706, 1.1221051216125488, -1.2755296230316162, 1.968849539756775, 1.1931818723678589, -0.9534568786621094, 0.6892605423927307, -0.03748741000890732, -1.3567429780960083, -0.930943489074707, -0.4104326069355011, 1.6298463344573975, -0.3964575529098511, -0.8092941045761108, -0.8157675862312317, -0.1790880262851715, -0.7333499789237976, -0.8155755400657654, 1.1422392129898071, 0.16099725663661957, 1.1248847246170044, 0.09503551572561264, 0.6680463552474976, 0.22494570910930634, -0.8516902327537537, 0.4524131119251251, -0.7356429100036621, 1.461809754371643, 0.056686531752347946, -0.6240271329879761, -0.3087240159511566, 0.18612052500247955, 0.026730623096227646, 1.3893702030181885, -1.459441065788269, 0.7733556032180786, 1.281721591949463, 1.922197699546814, 1.5146862268447876, -0.9400398135185242, 1.7596426010131836, 0.4703059196472168, -1.1514455080032349, -0.6767635941505432, 0.9793415665626526, 0.7851172089576721, 0.9229853749275208, -1.3558963537216187, -0.4045674800872803, -0.6936088800430298, 0.38842713832855225, -0.9864745140075684, 0.24743980169296265, 1.3209456205368042, 0.5116807222366333, 0.20717298984527588, 0.423617959022522, 0.8553113341331482, -0.7523386478424072, -0.7315530180931091, -0.34988582134246826, 0.14683414995670319, 0.337516725063324, -0.5604003667831421, -0.3334929347038269, 2.0713958740234375, 0.26833969354629517, -0.4909171462059021, 0.0867118388414383, -0.19213901460170746, -0.020139964297413826, 1.3420833349227905, 0.8771296143531799, 0.9378947615623474, 0.023886969313025475, 1.5275574922561646, -0.08036366105079651, -0.9117053151130676, -1.1944957971572876, 0.9197462201118469, 0.28947049379348755, -0.8790956139564514, 1.3807705640792847, -0.6990379691123962, -1.2263665199279785, -1.9690755605697632, 2.3512303829193115, 0.35396817326545715, -0.10606183111667633, -2.408507823944092, -1.2640177011489868, -0.4252816140651703, 0.24079595506191254, -0.24080689251422882, -0.6830735802650452, 0.28334593772888184, -0.7549530863761902, -0.022665904834866524, 0.04516039043664932, -0.0492362417280674, 0.688543975353241, -0.6404033303260803, -0.8518562912940979, 0.5739071369171143, -1.0256696939468384, 0.5530444383621216, 1.2585986852645874, 0.23174597322940826, -1.7316545248031616, -0.300735741853714, 0.8933703303337097, -0.2885432839393616, -0.7255284190177917, 0.46565955877304077, -0.831680178642273, -0.8464822769165039, -0.38647857308387756, -0.1513155996799469, 0.8186390399932861, -0.25432348251342773, -0.47535333037376404, -0.780207633972168, 0.778557300567627, 0.0986286848783493, -0.754118800163269, 0.9054679274559021, -1.1227487325668335, 0.2190994918346405, -0.09212681651115417, 0.5755492448806763, -1.0247280597686768, 0.19418835639953613, 1.5901795625686646, -0.7810332179069519, 0.18075843155384064, 0.5682910680770874, -1.3145383596420288, -0.037264980375766754, -1.1747896671295166, -0.5241218209266663, 0.8552600145339966, 0.7151663303375244, 0.854972243309021, 0.6970289349555969, -0.7869400978088379, 0.685922384262085, -0.28879889845848083, 0.16147172451019287, -0.18717071413993835, 0.7061312794685364, 0.12637053430080414, -0.2261367291212082, -0.40762385725975037, -0.6097135543823242, -1.347667932510376, 0.8310710787773132, 0.23480524122714996, 0.23941050469875336, -0.15233780443668365, 1.35030198097229, 0.3163374066352844, 0.17296810448169708, -1.597967267036438, -0.8826010227203369, -0.7285105586051941, 1.0816147327423096, 0.689936101436615, 0.1465923935174942, -0.2598642110824585, 1.2287657260894775, 0.4021441638469696, 0.29235512018203735, -1.4843645095825195, -1.288714051246643, 0.8229716420173645, -1.8816033601760864, -0.24393519759178162, 1.8318346738815308, -0.5303875803947449, -0.8198762536048889, -0.8232145309448242, 0.5506033301353455, -1.1416276693344116, 1.8735969066619873, 1.3694992065429688, -1.257182240486145, -1.6220338344573975, -0.5663302540779114, 0.6658836007118225, 0.25084203481674194, 0.7626033425331116, -0.9630534052848816, -0.5952125191688538, -0.22646142542362213, 0.8945121765136719, -0.07112658768892288, 0.19750215113162994, -0.08805552124977112, 0.46580448746681213, 0.745457112789154, -0.6745474338531494, -0.8672813177108765, -2.0061123371124268, 2.204427480697632, -0.336713045835495, -0.07664714008569717, 0.6008157134056091, 0.7986276745796204, -1.3824840784072876, -0.686985969543457, 0.31536293029785156, -1.2173928022384644, -0.23235148191452026, -0.11819366365671158, -0.7065277099609375, 0.8570377826690674, -0.6065717935562134, -2.5028295516967773, -0.5181497931480408, -0.3955882489681244, -0.007207863032817841, -1.8654048442840576, -1.2592604160308838, 0.44495517015457153, 1.5878770351409912, 1.1026350259780884, 0.12240499258041382, -0.6282588839530945, -0.6169579029083252, 0.8369725942611694, -0.21276021003723145, -1.037753939628601, -0.5319015383720398, -1.4479600191116333, -0.7402247190475464, -1.9904698133468628, -0.8090559244155884, -2.649178981781006, 0.6452047228813171, 1.6631805896759033, -0.6097846031188965, -0.5548334121704102, 1.2073006629943848, -0.726753830909729, -0.25774624943733215, 0.7335841059684753, -0.6472665667533875, 0.4566407799720764, -0.506052553653717, -0.07941094785928726, 0.226389080286026, 1.392283320426941, -0.1649594008922577, -0.8620851635932922, 1.041149377822876, -0.18962401151657104, 2.0505990982055664, -0.3528830111026764, 0.9141533374786377, 0.5920729637145996, 0.7283052206039429, 0.5198004245758057, 0.40039631724357605, -0.16163653135299683, 0.3812867999076843, 0.2818247973918915, -0.259854257106781, -0.17636136710643768, 1.2899055480957031, -1.809929609298706, 0.04499081149697304, -0.5114196538925171, 1.6922872066497803, -1.009257197380066, 2.5517168045043945, 0.27151748538017273, -0.45277684926986694, 0.7783017754554749, 0.5624421238899231, -1.7339200973510742, 0.1151348203420639, 0.5969645380973816, 1.4009592533111572, 1.26650869846344, 0.5665708780288696, 1.4182316064834595, 0.8785027265548706, 1.713185429573059, 0.5062318444252014, -0.5651611685752869, -0.09456146508455276, 0.2765144407749176, 0.2960791289806366, 1.2084519863128662, -2.0801830291748047, 0.8232543468475342, -0.5484461784362793, 0.34564146399497986, 1.220015525817871, -0.43524736166000366, -1.7363234758377075, -1.441352128982544, 1.4536579847335815, 1.2042756080627441, -0.9513401389122009, -2.2949159145355225, -0.8339797258377075, 2.1567959785461426, 1.0796829462051392, -0.815654456615448, 0.7114473581314087, -0.24212278425693512, 0.9910399317741394, 0.551307737827301, 0.6295360326766968, 0.1759207546710968, 1.6339221000671387, 1.2599411010742188, -0.6335592269897461, -0.8656108379364014, 0.2853967845439911, -0.5404288172721863, -0.06902796775102615, -0.900188148021698, 0.16361606121063232, -0.5697844624519348, -0.17199715971946716, 1.9494951963424683, -1.5725066661834717, -0.11967476457357407, 0.6768812537193298, -2.550161600112915, 1.3886760473251343, 2.071373224258423, -0.4157007336616516, 1.7397890090942383, -1.5081530809402466, 0.9417456984519958, 1.1770522594451904, -0.8855880498886108, -0.897435188293457, -0.4500139355659485, -1.2818336486816406, -0.09055130183696747, 1.568543553352356, 0.6288840770721436, -0.13983508944511414, -0.8341673016548157, -1.0642942190170288, -0.4966285824775696, -0.8965734243392944, 0.2338687777519226, 0.13322870433330536, 1.7534879446029663, -1.5643774271011353, 0.2642883360385895, 0.5893656611442566, -0.6958070397377014, -0.9822604656219482, 0.9073857069015503, 0.13120052218437195, 0.3665435016155243, 0.8943644165992737, 0.990159809589386, 1.0685539245605469, 0.88179612159729, 0.11932405829429626, -1.6899914741516113, -0.6570671200752258, -1.4132448434829712, 1.7862261533737183, -0.18690960109233856, 0.45671215653419495, 0.5091333985328674, 0.12447594106197357, -0.7764351963996887, -0.9075336456298828, 1.6591986417770386, -0.9774589538574219, -1.437660813331604, -1.0792182683944702, -1.0316091775894165, 0.4912571609020233, -0.9918735027313232, 0.37739869952201843, -0.9471526145935059, 0.4156656265258789, -0.7409192323684692, -2.0307180881500244, 0.7459039092063904, -0.23346112668514252, -1.7168304920196533, -1.5648266077041626, 1.1608803272247314, -1.7281652688980103, 0.6240199208259583, 0.19563332200050354, 0.9567276835441589, 0.9916862845420837, -0.7535449862480164, 1.3851630687713623, -1.087693452835083, -0.6877674460411072, -0.034093379974365234, -1.2961610555648804, 1.018326997756958, 2.094313621520996, 0.22312399744987488, 0.04033441096544266, -0.11042066663503647, 1.209588646888733, 0.8461918830871582, 1.0998334884643555, -2.8670525550842285, 0.43270260095596313, 2.3624584674835205, -0.9460180401802063, 1.6827791929244995, 0.4652342200279236, -0.9479421973228455, -0.527360200881958, -0.17596317827701569, 1.684232473373413, -0.005598508287221193, -1.2838374376296997, 0.16975225508213043, 0.8452434539794922, 0.28028908371925354, 0.920665442943573, 1.9825818538665771, -1.0555790662765503, -0.7873882055282593, -0.31694626808166504, -0.09308940172195435, -0.3923634886741638, -1.9273265600204468, -0.9188506603240967, 1.127413034439087, 1.4187533855438232, -0.30123433470726013, -1.6901737451553345, 0.9281692504882812, -1.123509168624878, -1.0524919033050537, -0.4467827379703522, 0.523188054561615, -0.3387533724308014, -1.7577482461929321, -0.6524283289909363, 0.8076578974723816, 0.4444909691810608, 0.12085723131895065, 0.794389545917511, -0.2691969871520996, 1.6320013999938965, 1.4558833837509155, 0.8513883352279663, 1.305290937423706, 0.8631715178489685, -0.5379660725593567, 0.5391438603401184, -0.18788452446460724, -1.6509469747543335, 0.6196591854095459, -1.1270289421081543, 0.40504685044288635, 0.21921862661838531, -1.154799461364746, 1.519834041595459, -1.8380980491638184, 1.218536376953125, -0.1873958259820938, 1.0788236856460571, 0.14058129489421844, -0.9915911555290222, -1.5487273931503296, -1.3351367712020874, -1.668448805809021, -1.84798002243042, 0.02599930204451084, 0.7676464319229126, -0.1027197390794754, -1.1638461351394653, -0.17423255741596222, -0.9778326153755188, 0.07384445518255234, 0.2161293476819992, -2.4143142700195312, 0.6280608177185059, 0.604034423828125, -0.06171112880110741, -0.27243953943252563, 2.0528199672698975, -0.6635909676551819, 0.4586471915245056, -1.6872135400772095, 0.03186811879277229, -0.24884779751300812, -1.2434697151184082, 1.6212447881698608, 0.15123429894447327, 1.4580625295639038, 0.8593719601631165, 0.4116728901863098, -0.3829646706581116, 0.20710650086402893, -0.845027506351471, 0.2616454064846039, -0.790607750415802, -0.35345759987831116, -0.4279586672782898, 1.68895423412323, 1.2128044366836548, -0.08517079800367355, 1.0406969785690308, -0.5241701006889343, -0.5131880044937134, 0.4295358955860138, 1.8150509595870972, -1.150861382484436, -0.30584630370140076, 0.8076725602149963, 0.7956660985946655, 0.2235465794801712, -0.05132210999727249, 0.7380313277244568, 1.5020791292190552, 0.8205595016479492, -0.056694693863391876, -1.9874215126037598, 0.9982309937477112, 0.003224122803658247, 0.07818755507469177, -1.0705227851867676, -1.0210168361663818, 1.7321865558624268, 0.5420076847076416, 0.3053724765777588, 1.1364459991455078, 0.6844477653503418, 0.2691081464290619, 0.8210340738296509, 0.27511492371559143, -0.38933637738227844, -0.20226091146469116, 2.094301462173462, -0.38392743468284607, 0.5313023328781128, 2.5650343894958496, -0.06663789600133896, -0.08388976007699966, 0.5069190263748169, -0.9045271277427673, -0.13790902495384216, 1.3361674547195435, 1.065782904624939, 0.9382249712944031, -0.5762946009635925, 0.26595842838287354, -0.515076756477356, 1.8256999254226685, 0.9204495549201965, 0.047616202384233475, 0.7502005696296692, -1.6819013357162476, -0.036870624870061874, -0.05854296684265137, 0.6686491370201111, -0.4365732967853546, -2.3380324840545654, 1.8583958148956299, -1.7244455814361572, -0.2750453054904938, 0.4532167315483093, 1.2953895330429077, 0.058747299015522, -0.8122621774673462, -0.17149198055267334, 0.9732901453971863, -0.9835246801376343, 0.1294081211090088, -1.1072022914886475, -0.1295740306377411, 1.005579948425293, -1.3748044967651367, 1.197999119758606, -2.2044677734375, -1.1458145380020142, -1.4537255764007568, -0.2384955883026123, -1.6215006113052368, -0.3137211501598358, -0.7217759490013123, -0.19409379363059998, -0.07234596461057663, -0.7745715975761414, 0.2601335644721985, 1.2001798152923584, -1.078745722770691, 1.2962092161178589, -0.18015773594379425, -1.9301296472549438, 0.28462305665016174, 0.7962566614151001, -0.26019519567489624, 0.30697566270828247, -0.7991265654563904, 0.6080788373947144, -0.8028495907783508, -0.057207878679037094, -0.2434438019990921, 0.9149488806724548, -2.118633508682251, -1.2563103437423706, 1.8411842584609985, 0.8629192113876343, -1.979547142982483, 0.4109382629394531, 0.054416440427303314, 0.24019105732440948, -0.3381706476211548, -1.1024436950683594, 0.2338137924671173, -0.4720325171947479, -1.3284554481506348, 1.9493451118469238, 0.7995834350585938, -0.010960266925394535, -0.13873475790023804, -1.9486329555511475, -0.7052865028381348, -0.9286441802978516, 0.5382292866706848, -1.931458592414856, 0.17587143182754517, 1.2172441482543945, 0.9002020955085754, 1.1507850885391235, 0.027491796761751175, -1.4959322214126587, -0.18092377483844757, -1.6709321737289429, 0.3768741488456726, -1.8265146017074585, 0.48899734020233154, -0.5580688714981079, 0.37294480204582214, -0.6878536939620972, -1.4576401710510254, -0.6108043789863586, -0.6761788725852966, -1.9144877195358276, 0.9340372085571289, -0.4341937303543091, 1.4776188135147095, 0.7693286538124084, 2.331334352493286, -0.46709370613098145, 0.5347719192504883, 1.8560413122177124, -0.11687781661748886, 0.6693155169487, -0.06095214933156967, -0.1428632140159607, -1.3090907335281372, 0.03258459270000458, 1.4419121742248535, -0.6330651044845581, -0.03063541278243065, 1.5843851566314697, -0.467591792345047, -0.03230065852403641, 0.7200000286102295, 0.5184157490730286, -0.48354241251945496, 1.3702332973480225, 0.18823130428791046, -1.2953920364379883, -0.13154013454914093, 0.08959710597991943, -0.44053706526756287, 0.20576980710029602, -1.0061860084533691, 1.1124646663665771, -0.7451666593551636, -0.9709926247596741, -0.005489187315106392, 0.8581770658493042, 0.8032210469245911, 0.905379056930542, 1.720672845840454, 0.3225809633731842, 0.5499839782714844, -0.6421884298324585, 0.22866190969944, -0.5370370149612427, 0.5335260629653931, -1.0596036911010742, -2.2213568687438965, 0.7067712545394897, -0.21388542652130127, -0.4895268678665161, 0.5013054013252258, -0.9222452044487, 0.15941572189331055, -0.6489352583885193, 0.4903559982776642, -0.2804304361343384, 0.941003680229187, -0.11338216066360474, -0.8615462183952332, 0.13828350603580475, 0.013346405699849129, 0.33505237102508545, -0.24309676885604858, -0.6505504250526428, -0.33003056049346924, 1.3748613595962524, -1.033202886581421, 0.05953902378678322, -0.2076457291841507, -0.988913357257843, -1.0607333183288574, 0.7062268257141113, -0.2287754863500595, -0.030369358137249947, 0.7900131344795227, -0.22298280894756317, 1.508110761642456, 0.599722146987915, -0.33899757266044617, 0.1548950970172882, -0.2794382572174072, 0.8708680272102356, 0.7626234889030457, 0.6961768865585327, 0.44085901975631714, -0.15868736803531647, 1.6983613967895508, -0.055956143885850906, -0.45469725131988525, 1.2942347526550293, 0.1953326016664505, -1.775277853012085, 1.508985161781311, -0.47618457674980164, 1.1132714748382568, 2.190094470977783, 0.3530663549900055, 0.4473947584629059, 0.7191661596298218, -1.9299876689910889, 0.9975112676620483, -1.377753734588623, 1.15412437915802, -1.092998743057251, -0.8713886141777039, 0.22347310185432434, 0.2528358995914459, 0.21688957512378693, 0.4699849486351013, 0.3267545700073242, -0.09539508819580078, -0.18269990384578705, 0.3382812440395355, -0.6900221109390259, 0.047023314982652664, 0.5487117171287537, -1.9950600862503052, -0.9487320184707642, -0.2869058847427368, -0.902455747127533, -1.5298161506652832, -1.2186866998672485, 1.1458920240402222, 0.20151416957378387, -0.4259151816368103, -0.1506912112236023, -2.106266498565674, -0.6301612257957458, 1.2424033880233765, -0.025852564722299576, -0.540851891040802, -0.3480299413204193, -0.7818117141723633, -0.4885202944278717, 0.7180350422859192, 1.8486416339874268, -2.431525230407715, -0.4701055586338043, 0.4380730092525482, 0.7702670693397522, -0.14850954711437225, 0.24941392242908478, 0.539443850517273, 0.058982912451028824, 0.28753769397735596, -0.6253249645233154, 1.5168472528457642, -0.610085129737854, -1.8375158309936523, 1.1845413446426392, 1.3882135152816772, 0.1371254324913025, -0.84199458360672, -1.6440988779067993, -0.06394191086292267, -0.201401948928833, 0.2995571792125702, -1.3531256914138794, 0.8332006335258484, -0.1304839849472046, 0.5836395621299744, -0.38578328490257263, -1.6579194068908691, -0.2272949367761612, 0.6312239170074463, -0.1581975668668747, -0.1996922492980957, 0.46645262837409973, 0.5603392124176025, 0.9167394638061523, -1.983751893043518, -0.015853818506002426, 0.033756233751773834, -1.4661213159561157, -0.32681238651275635, -0.5929558873176575, 0.8652521371841431, 0.4256573021411896, -0.2335444986820221, -0.7175306677818298, -2.244840621948242, 0.5524802803993225, 0.8857057690620422, -1.3390332460403442, -0.40026965737342834, 0.7468986511230469, -0.3904872536659241, 0.7475174069404602, -0.4455646276473999, 1.0798193216323853, 2.6205930709838867, 0.6864228844642639, 1.5354714393615723, -0.1950068324804306, -1.4005751609802246, 0.4377126097679138, -0.05925627797842026, 1.8140860795974731, 0.9514070153236389, -1.2033350467681885, -0.5142884254455566, -0.8533352017402649, -0.5986783504486084, -0.2986593544483185, -0.7190134525299072, -1.4356969594955444, 0.6340908408164978, -0.5407924652099609, 1.3264530897140503, 0.29634353518486023, 0.17156629264354706, 0.8127211332321167, -0.636906087398529, -1.346675992012024, 0.6521760821342468, -1.3508291244506836, 2.1090853214263916, -0.8325138688087463, -0.9411794543266296, -0.8664024472236633, 0.3974232077598572, 0.33468785881996155, 0.23106975853443146, -1.2114311456680298, -1.2591102123260498, -0.010216501541435719, 0.9779312610626221, 0.0748591348528862, -1.8819596767425537, 1.9783128499984741, 0.3803824186325073, 0.04183865338563919, 0.284446656703949, -2.161471128463745, -0.5391823053359985, 0.3041086494922638, -0.3503345549106598, 1.171104907989502, -0.8915714621543884, 1.7047383785247803, -1.7130810022354126, 1.0918960571289062, 1.9015947580337524, -0.2993949353694916, 0.2431759536266327, -0.552335798740387, -0.7325940728187561, 0.5038686990737915, -0.18185997009277344, -0.7621927261352539, -0.8477222323417664, 0.6641071438789368, 2.3913745880126953, 0.4669826030731201, 0.7512773275375366, -0.3845667541027069, -0.06630031019449234, 0.6945987939834595, -0.7470712065696716, 0.2076980471611023, -0.12689319252967834, -1.1077786684036255, 1.5005162954330444, 0.5292592644691467, -1.5582870244979858, -0.22035345435142517, -0.8878109455108643, -0.23165416717529297, -0.48117324709892273, -0.8784040212631226, 0.039074741303920746, 0.03500647097826004, -1.3603416681289673, -0.3714776337146759, -0.040006835013628006, 0.5846149921417236, 0.5806360840797424, 0.27785420417785645, 0.734195351600647, -0.37356483936309814, -0.395193487405777, -1.2448596954345703, -0.4259602427482605, -0.9261072874069214, 0.33485373854637146, 1.0248674154281616, -0.6913897395133972, -0.5325083136558533, -2.0061066150665283, 0.5374981760978699, 0.4246957302093506, -0.39820799231529236, 0.4305093586444855, -0.06963914632797241, -1.9186826944351196, -1.1858599185943604, 1.3959892988204956, 0.31790241599082947, 1.0485270023345947, -0.8889532089233398, -0.1494847685098648, 0.011521455831825733, -0.3648742437362671, -0.14563268423080444, 1.1415576934814453, 2.0192317962646484, -1.1988294124603271, -0.08969400823116302, 1.01350998878479, -0.5187065005302429, 0.8009016513824463, -0.7448427081108093, 1.9110214710235596, 0.3227183520793915, -0.5433307886123657, -2.0223824977874756, 0.7815577387809753, 1.8362489938735962, -0.47440794110298157, -0.38593149185180664, 0.36727604269981384, 0.344801127910614, 0.5554131865501404, 0.35217124223709106, 0.7673863768577576, 0.7450182437896729, 1.4870532751083374, -0.051454752683639526, 0.2527291476726532, 0.7950483560562134, 1.2641831636428833, -0.0403965562582016, -0.19124414026737213, -0.4378063976764679, -0.009368259459733963, 0.25679612159729004, -0.003075298387557268, -1.1646342277526855, -0.46010419726371765, 0.18153296411037445, 0.18499712646007538, 0.7205038070678711, -0.2832542359828949, 0.09373100101947784, 1.657375454902649, 1.129982829093933, 0.6332632303237915, 0.23538991808891296, 0.08460769802331924, 1.6273547410964966, 1.4943406581878662, 0.4516901969909668, 0.681415319442749, -2.738783597946167, -0.04374435544013977, -0.13210587203502655, -0.20210233330726624, -0.148199200630188, -0.20676261186599731, -0.4151966869831085, -0.5613080859184265, -1.5219647884368896, -0.6867799758911133, -1.207437515258789, 0.27414625883102417, 1.5931971073150635, 0.8239222168922424, 0.17494884133338928, 0.37811675667762756, -0.5250972509384155, 1.1867752075195312, 0.44894057512283325, 0.6020882725715637, 0.08270980417728424, 0.3816434144973755, -1.0023962259292603, -0.22075708210468292, -0.6525165438652039, -2.485137939453125, 0.614276111125946, 0.9891844391822815, -1.6560537815093994, 0.17567120492458344, 0.8857656121253967, 0.022398322820663452, -0.24084077775478363, 1.4238216876983643, 0.8160249590873718, 0.2655353844165802, -0.23162956535816193, 2.05483078956604, 0.4368106722831726, 1.1093194484710693, 1.684390902519226, -0.11415659636259079, 0.37000924348831177, 0.2512214779853821, 1.1637510061264038, -0.876596987247467, -0.6737048625946045, -0.652714192867279, -0.753913164138794, -0.10021805018186569, -2.3608896732330322, 2.2464849948883057, -1.3241872787475586, -0.1972821205854416, 0.29198724031448364, -1.2132630348205566, 0.7370628118515015, 0.9276220798492432, 0.6291629076004028, -0.23619088530540466, -0.02293848805129528, -2.780918836593628, -0.8419364094734192, -0.6721378564834595, 0.13255943357944489, -1.203613042831421, 1.333530068397522, -2.03551983833313, 0.27788910269737244, 0.3914108872413635, -0.669601321220398, -0.08302459120750427, 0.06917848438024521, -0.263455331325531, 0.7421362996101379, 0.2899683713912964, -0.4380805194377899, -1.3862485885620117, -0.7712535858154297, -0.3168620467185974, -1.6128871440887451, -0.9478936195373535, -0.37378421425819397, -0.8723973631858826, 0.744394838809967, -0.17409075796604156, -0.9177995324134827, -2.1325347423553467, -0.4131704568862915, -0.4764634966850281, 0.5031798481941223, 0.27043330669403076, -0.04328599572181702, 1.035592794418335, 1.341705560684204, -0.11890244483947754, -0.07687754184007645, 0.5947466492652893, 0.5723721385002136, 2.663830518722534, -1.1070212125778198, 1.026766061782837, 0.2865336239337921, -0.10950178653001785, 2.380542039871216, -0.41917189955711365, 0.6831162571907043, 1.7866971492767334, -0.022699790075421333, 0.7491136193275452, -1.1757766008377075, 0.2672518789768219, -0.22947388887405396, 0.5409282445907593, 0.6939815282821655, 1.8563321828842163}; +static float axpy_Y_dram [16384] __attribute__((section(".data"))) = {-1.3046586513519287, 1.4507033824920654, 0.24427996575832367, -0.27988964319229126, 0.13080105185508728, 0.9897212386131287, 0.26025304198265076, 2.7997419834136963, 1.0818803310394287, 0.9812026619911194, 0.2707505226135254, 0.24990861117839813, -1.5812535285949707, 1.459407091140747, -0.2828080952167511, -0.005939028225839138, 2.64807391166687, -1.2152334451675415, -0.27039632201194763, -0.752345085144043, -1.9628762006759644, -0.7799535393714905, 1.3053598403930664, -1.19623863697052, 0.04948476701974869, 1.060243844985962, 0.7925424575805664, 0.4193824231624603, -0.2554340958595276, 0.631379246711731, -0.12032739818096161, -3.9121832847595215, 0.6039804816246033, 2.5269126892089844, 0.2891322374343872, -0.32962509989738464, 0.7888669371604919, 0.508623480796814, -0.7438071966171265, 0.32529276609420776, 0.21549654006958008, -1.3953423500061035, -0.9015535116195679, 1.260398507118225, 0.9797837138175964, -0.15988801419734955, -1.501569390296936, 0.5753917694091797, 0.40218260884284973, 0.680004358291626, -0.2228332906961441, 0.05721769854426384, 1.257693886756897, 0.04202239587903023, 0.8552138805389404, -1.6662797927856445, 0.12209436297416687, -0.4810634255409241, 0.6728562116622925, -1.236962914466858, -0.07593362778425217, -0.14078956842422485, -1.4311490058898926, -0.18495774269104004, 0.8867563605308533, -0.29879140853881836, -0.5001145601272583, 1.2341684103012085, 0.29003456234931946, 0.5025383830070496, -0.29458707571029663, 1.3728606700897217, -0.9574563503265381, 0.2567926347255707, 0.21248166263103485, 1.7713278532028198, -0.062249474227428436, 1.503443717956543, -0.6107755899429321, -1.880210041999817, 0.15732310712337494, 0.010878953151404858, 0.5172543525695801, 1.0021523237228394, 1.7204879522323608, -0.8014861345291138, 0.7292404174804688, -1.2093164920806885, -0.11279178410768509, -0.12805528938770294, -0.23522600531578064, 0.319780170917511, 0.6084484457969666, -0.4609980881214142, 0.40383872389793396, 1.4538699388504028, 0.16701926290988922, 1.1059075593948364, -0.6889957189559937, 0.22573328018188477, -0.3714214563369751, 2.8207132816314697, -0.08482622355222702, -0.20837418735027313, 1.7986183166503906, 2.7002756595611572, 0.7384520769119263, 0.26596349477767944, 0.667968213558197, -0.7547044157981873, 1.0194534063339233, 0.16678744554519653, -0.20146481692790985, -0.05644375458359718, -0.6490781903266907, -0.237937331199646, -0.8169330954551697, 1.298348307609558, 0.8412277102470398, -0.8797728419303894, -1.730401635169983, 0.2518666684627533, 0.3475038707256317, 1.0800846815109253, -1.9508588314056396, -0.010487566702067852, 0.8177810311317444, 0.19798626005649567, 0.9566313624382019, -0.6350823044776917, 0.5434618592262268, 0.5291541814804077, 0.2794392704963684, 0.4544614851474762, -0.3876997232437134, 1.4177502393722534, -0.5781033039093018, 0.4136441946029663, -0.1206916868686676, -0.6290946006774902, 0.3915053606033325, -2.007110595703125, -0.4053952097892761, -1.122340440750122, -0.4892158806324005, 0.776770830154419, 0.5238416194915771, 1.5474406480789185, -0.32735341787338257, 0.17540472745895386, -0.7206088900566101, -1.2161283493041992, -0.13443462550640106, -1.9483815431594849, 1.1907774209976196, 0.5778099298477173, -0.6292712688446045, -1.8719921112060547, -0.8533044457435608, -1.8409264087677002, -1.8782709836959839, -0.5614420771598816, 1.0375573635101318, 1.166880488395691, 0.6964668035507202, 0.40323734283447266, 0.010644453577697277, 1.6259480714797974, 0.09104235470294952, -0.4907911419868469, 1.3572924137115479, 1.153324842453003, -0.4400479197502136, -0.5386212468147278, -0.08256223052740097, -1.183887243270874, -2.133148193359375, 1.7795989513397217, 1.6640939712524414, -0.5876670479774475, 1.378942608833313, 0.011772708036005497, -0.7205244898796082, 0.8751362562179565, 0.329300194978714, -0.07683443278074265, -1.086125373840332, -0.8939959406852722, -0.29706764221191406, 0.3815048635005951, -0.5044566988945007, 0.6646755933761597, 0.22238093614578247, 1.2756435871124268, -1.4538068771362305, -0.6289234757423401, -0.602325975894928, 1.4938900470733643, -0.9342547059059143, -1.8864609003067017, 0.3252260982990265, -1.1353306770324707, 0.21732360124588013, 0.9412229061126709, 0.1598060578107834, 1.3064740896224976, 0.6046754121780396, -0.3512266278266907, 0.1580752283334732, -0.2101304531097412, -1.0327658653259277, -1.681771993637085, -0.6743054389953613, -0.6471498012542725, 0.4679722487926483, 0.5767708420753479, -0.5030954480171204, -1.7015576362609863, 2.4714863300323486, -0.08661904186010361, 0.36388081312179565, -0.029387962073087692, 0.00817455630749464, -0.30516794323921204, 0.6339081525802612, 0.18278087675571442, 0.45510950684547424, 0.5665608644485474, 1.5399689674377441, -0.5992820858955383, -0.2958123981952667, 0.24613642692565918, 0.6187294721603394, 0.33197376132011414, 1.0692565441131592, -1.3324910402297974, 0.48959237337112427, 0.4576452970504761, 1.0760219097137451, -0.4555814564228058, 1.035882830619812, 0.4635593593120575, 1.091817021369934, 0.5075836181640625, -0.7341267466545105, 0.15846975147724152, -0.238127663731575, 1.4244141578674316, -0.4335969090461731, 0.5426681041717529, 1.8807353973388672, -0.001059782924130559, -0.4529305100440979, 0.25380295515060425, -1.0844064950942993, -0.16526812314987183, -0.7693505883216858, 0.7944958806037903, -0.1316644549369812, 0.44368696212768555, 0.09684249758720398, -0.14090146124362946, 0.8729015588760376, 0.6496527194976807, -0.9324080944061279, -0.012991619296371937, 0.7158141136169434, 0.7582191824913025, 1.674124836921692, 0.5441913604736328, 2.330477237701416, 0.02989143505692482, -0.636602520942688, -0.8229746222496033, -2.2453789710998535, 1.0187805891036987, 0.637028157711029, 0.9151227474212646, -1.006482720375061, 1.0157517194747925, -0.38936811685562134, 0.33508217334747314, -0.6082870364189148, -0.18234838545322418, 1.1082736253738403, -0.05457199364900589, 0.8439196348190308, -1.2839586734771729, -0.935492217540741, 0.9190904498100281, 1.1361888647079468, 1.9202191829681396, 1.0445201396942139, 0.6805078983306885, -0.06770967692136765, 0.42559048533439636, 1.8681201934814453, -0.7825446724891663, -0.3753860890865326, -0.24905522167682648, 0.18731844425201416, -1.2517534494400024, -2.7686190605163574, 0.9186437726020813, 0.2581877112388611, 0.579437792301178, -1.659554362297058, -0.43731486797332764, -1.4602290391921997, -0.3830370008945465, -0.7662826776504517, -0.6976858973503113, -1.8539657592773438, 0.43681061267852783, -0.37106433510780334, -1.1720880270004272, 0.10693153738975525, 0.5302504301071167, -0.667519211769104, -0.17678500711917877, 0.32774245738983154, -0.7376916408538818, 0.8049237132072449, -0.6542702317237854, 0.13875018060207367, 0.6753641366958618, -0.6020073890686035, 0.39809608459472656, 0.6330115795135498, 0.6013759970664978, -0.5854831337928772, 0.25348961353302, 1.190448522567749, 0.623799204826355, -0.3573349416255951, -0.20235136151313782, -0.23608341813087463, 0.6205408573150635, -2.4090969562530518, -1.0863476991653442, -1.6541858911514282, 0.20609086751937866, 0.09979747235774994, 0.742448627948761, 0.21360981464385986, 1.987547755241394, 0.23870255053043365, -0.8480212688446045, -0.17841368913650513, -1.4312450885772705, 0.34628865122795105, -0.40463149547576904, -0.6671148538589478, -1.923720359802246, -1.4224551916122437, 0.03599223867058754, -0.10034719854593277, 2.011566638946533, -1.3348053693771362, 0.3162751793861389, -0.5086963176727295, -0.9606382846832275, 2.0284743309020996, -0.43665093183517456, -0.17906934022903442, 0.22404329478740692, 1.5714350938796997, 1.0406004190444946, 0.6872478723526001, 0.03640188276767731, -0.13288892805576324, -0.12045915424823761, -0.2542456388473511, 0.4451410472393036, 0.0005290870903991163, -0.5509600639343262, -1.4267605543136597, -0.014758991077542305, -0.35794493556022644, 0.32812219858169556, -0.8550605773925781, 0.21175603568553925, 1.0113179683685303, 0.42635688185691833, -0.12649883329868317, -2.2683780193328857, 1.5826592445373535, 0.4911666512489319, 1.040094017982483, -0.5160954594612122, 0.8116937875747681, 0.3749651312828064, 0.22384704649448395, 1.3391739130020142, 1.0057607889175415, -1.545810580253601, 1.2546799182891846, 3.0660042762756348, -0.28801509737968445, 1.3394947052001953, 1.2670992612838745, -0.23420919477939606, 0.3011074960231781, -2.4638924598693848, -0.06897260248661041, -0.7377135157585144, 0.7225001454353333, 1.9646975994110107, -0.23649413883686066, -0.1706112027168274, 0.6163316369056702, -0.26770836114883423, -1.7102938890457153, -0.9636721014976501, -0.23509581387043, -0.6346080899238586, -0.962644100189209, 0.41979020833969116, -0.9997031688690186, 0.4108242988586426, 0.8600877523422241, -1.4285424947738647, 0.8557441830635071, 0.7185693383216858, 1.3682702779769897, 0.3925681710243225, -0.5306551456451416, -1.2837398052215576, -0.2661794424057007, -0.2374679297208786, -1.664079189300537, 0.07760095596313477, -0.5601007342338562, -0.08185724169015884, 0.04398095980286598, 1.9412330389022827, 0.7789140343666077, -0.40259411931037903, -0.05212096497416496, -0.03676185756921768, -1.0146822929382324, -1.016826868057251, -0.791703462600708, -1.67879056930542, 1.6547619104385376, -0.10918651521205902, 0.30223819613456726, 0.7851754426956177, -0.9047738313674927, 0.7441718578338623, 0.40695634484291077, -0.8583745360374451, -1.2239270210266113, -2.099071502685547, 0.9074001908302307, -0.43341705203056335, 0.7662373185157776, 0.8147382736206055, -0.18383076786994934, 0.7877869606018066, 1.095198154449463, 1.234156847000122, -0.29067978262901306, 1.858472228050232, 0.9548314213752747, 0.9657578468322754, -0.4010951817035675, 0.26182830333709717, 2.1988894939422607, -1.4747294187545776, -0.9333288669586182, 0.5567031502723694, 0.05982119217514992, 0.7573878169059753, 0.4670591950416565, -1.5186328887939453, -0.49045753479003906, 0.3300169110298157, 0.29016876220703125, -0.8521882891654968, 0.160671666264534, -0.5665712952613831, -0.8765566945075989, -0.21724791824817657, 0.5522458553314209, -0.5695482492446899, 0.5906784534454346, -0.3612735867500305, 0.9898018836975098, 0.15837809443473816, -1.5128203630447388, 0.7876787781715393, 0.07618260383605957, 1.038846492767334, -0.1317533701658249, 0.32039132714271545, 0.5379406213760376, -0.8216034173965454, -2.084514617919922, 1.0655919313430786, -0.5764473676681519, 1.7796975374221802, 0.5395705699920654, -0.3291202485561371, -0.024425141513347626, 0.2745550870895386, 1.2877718210220337, -0.7734994888305664, -0.8897309899330139, 2.4250855445861816, -1.1457871198654175, 1.2680788040161133, 0.27538612484931946, 2.2440707683563232, 0.5156107544898987, 0.8208649754524231, 0.902502179145813, -1.0883241891860962, -0.01702646166086197, 0.9753521084785461, -0.2784930169582367, 0.8099731802940369, 0.1190190240740776, 0.05521570146083832, -0.5253241062164307, 0.43599051237106323, 1.0813751220703125, 1.7777644395828247, -0.5466489195823669, -1.4295318126678467, 0.33359137177467346, -0.3456627428531647, 0.4787299931049347, -0.40649643540382385, -0.3044435977935791, -0.6316342949867249, 1.169443964958191, -0.12955066561698914, -1.7264448404312134, 2.135037660598755, 2.357022523880005, 0.44654205441474915, -1.0911445617675781, -0.055311419069767, -2.0378358364105225, 0.09481053054332733, 1.2662850618362427, -2.010100841522217, -1.1083357334136963, 0.0518486388027668, 0.2709353566169739, -0.16320951282978058, -0.030345706269145012, -0.30573517084121704, -0.8283917903900146, 0.42207738757133484, 1.464890956878662, -0.36265966296195984, 0.5387789607048035, 1.1787538528442383, 0.48261377215385437, 0.18560296297073364, -1.5972353219985962, 0.4317144453525543, -0.6533365249633789, 0.8122573494911194, 0.2925291955471039, -0.4729432761669159, -1.1899516582489014, -1.0201808214187622, 0.8307679295539856, 0.915500819683075, 1.0881435871124268, -1.1810250282287598, -1.0661336183547974, 0.7778351902961731, -1.6927061080932617, 0.835306704044342, 1.100214958190918, 0.7841358780860901, -1.0522983074188232, -0.8353984355926514, -1.3282067775726318, -0.16439206898212433, 0.32153427600860596, 0.6824885606765747, 0.24890904128551483, 0.02100907824933529, 0.5816425681114197, -0.5557401180267334, -0.45208123326301575, -0.1499725878238678, 0.8092933297157288, 0.5514435768127441, -0.16237635910511017, 0.46116235852241516, -0.9620375037193298, -1.0346430540084839, -0.8669313192367554, -0.03897300362586975, -0.12912973761558533, -0.2564948797225952, 0.9839723706245422, 0.2317689061164856, -0.4751053750514984, -0.8871399760246277, 0.9670895338058472, 0.30383792519569397, -0.5973309278488159, 0.41086670756340027, 0.2842828035354614, 0.732323944568634, 0.7015424370765686, -0.5639681220054626, 1.7514299154281616, -0.15211883187294006, -1.089211106300354, -0.5164806842803955, 0.6802801489830017, -1.273200511932373, -1.0649609565734863, 1.4496800899505615, -1.4340516328811646, 1.1592146158218384, -0.7731466293334961, 1.272306203842163, -0.3923969566822052, -0.140667125582695, -0.47084155678749084, -0.7792714238166809, 0.6729049682617188, -0.06457013636827469, 0.09072761982679367, -1.513971209526062, -1.5745311975479126, 1.1078623533248901, 0.01583578810095787, 1.5712605714797974, -1.223505973815918, -0.6677567958831787, -0.22846144437789917, -0.01106249913573265, -0.35648292303085327, -0.9585416316986084, 1.4823193550109863, -0.0102509381249547, -0.9072616696357727, -1.303543210029602, -1.0285513401031494, -1.079121708869934, 0.4060207009315491, 0.2600885331630707, 0.7325735092163086, 0.20307864248752594, -0.9144693613052368, -2.3953826427459717, -1.5663951635360718, 0.3023854196071625, 0.1001671776175499, -0.5825690627098083, -0.18621961772441864, -0.4905887544155121, 0.5818184018135071, -0.15969081223011017, -0.8450137376785278, -0.6333736181259155, -1.4329365491867065, -0.4092918336391449, -0.49686068296432495, -0.7045661807060242, 0.10038123279809952, 1.3346611261367798, -0.3481118679046631, 0.5773225426673889, -1.254859447479248, -0.7662203311920166, -1.684614658355713, 0.807702898979187, 1.2010918855667114, 0.3539280295372009, -0.2671698033809662, 0.37198901176452637, -0.5087712407112122, -2.555086851119995, -0.9519170522689819, -0.22534331679344177, -0.6874731779098511, 1.8952445983886719, 2.0404508113861084, 0.20750568807125092, -0.5816615223884583, -0.8381348848342896, 0.931266188621521, 2.3120977878570557, -0.06939026713371277, -0.16991770267486572, -0.28199082612991333, 0.2546122670173645, -0.38565748929977417, -1.3129234313964844, -0.7620145082473755, -0.15710803866386414, -1.7858093976974487, 0.985482931137085, -1.3645119667053223, -1.7312994003295898, -1.160460114479065, 1.0972238779067993, 0.8792272210121155, -0.5663394927978516, -0.4963913559913635, -1.1763041019439697, 1.6686753034591675, -0.8492873311042786, 0.02429470233619213, 1.647212266921997, 0.9799559116363525, -0.9480441212654114, 1.3707575798034668, -0.09055932611227036, 1.0686030387878418, -0.564554750919342, 1.7300444841384888, 0.31831011176109314, -1.216063380241394, 0.14877082407474518, 2.5665667057037354, 0.5250276327133179, 2.130091428756714, 1.9350430965423584, -0.1232396736741066, -1.6750215291976929, -1.4725717306137085, -0.5811826586723328, 0.36472412943840027, 0.07676995545625687, 0.16606591641902924, 0.8874421119689941, 0.1084735095500946, -0.07619103789329529, -0.40122541785240173, 0.2688271999359131, -1.1993120908737183, -1.6618160009384155, 1.4509897232055664, -0.33957400918006897, -1.6361005306243896, 0.5548206567764282, 2.6632049083709717, -0.2441670298576355, 1.1718566417694092, -0.3482344150543213, -1.4949512481689453, 0.9929715991020203, 0.030364559963345528, 1.3200898170471191, -1.32210373878479, 0.6674696803092957, 0.5909454226493835, -1.2327039241790771, 0.6146141290664673, -1.2873250246047974, -0.7572727203369141, -1.5615862607955933, 0.6008574366569519, -1.3106837272644043, -0.5232867002487183, -1.0321465730667114, 0.8514344096183777, -0.47253450751304626, -0.15873269736766815, 1.6858713626861572, -0.6036465764045715, 0.5762452483177185, -0.4073806405067444, 0.110654816031456, 0.7341816425323486, -0.3965451121330261, -0.7271662354469299, 0.51008141040802, -0.2563871443271637, 0.5042846202850342, -1.6270148754119873, -0.7430934309959412, -0.85621178150177, -0.7886927127838135, -0.24463854730129242, -0.15118679404258728, 1.256852626800537, 1.002708077430725, -0.751757800579071, -0.44067490100860596, 1.2139300107955933, -2.875753164291382, -0.9857417345046997, 0.832857608795166, -0.6614843606948853, 1.046247124671936, 0.28692489862442017, -0.4249379336833954, -0.46529120206832886, 2.447742223739624, 0.7531779408454895, 1.45774507522583, -0.02479812130331993, 0.5839856863021851, 0.4595630168914795, -0.6206485629081726, -0.29119420051574707, -0.462353378534317, 0.13948607444763184, -1.0271687507629395, 1.2115676403045654, 0.3924987316131592, -2.575882911682129, 0.8888468742370605, -0.3798424303531647, 0.47404998540878296, -1.7815005779266357, -1.4920016527175903, 1.134150743484497, -1.047631859779358, 1.3218562602996826, 0.47908419370651245, -0.261776864528656, -0.6030348539352417, 0.5401794910430908, 0.5598765015602112, -0.06427069753408432, 1.3153014183044434, -0.28614693880081177, -0.19743676483631134, 0.0011419766815379262, -0.7507864236831665, 0.7663580775260925, 0.9213473200798035, -0.15386110544204712, 0.8458670377731323, -0.20608040690422058, 0.5103346705436707, 2.1660385131835938, 1.2000799179077148, 1.2003155946731567, 1.064083456993103, -0.24798017740249634, -0.06355244666337967, 0.6741690039634705, 0.9225830435752869, 0.8904999494552612, 0.27962005138397217, 0.14215683937072754, 2.047839403152466, -1.1031817197799683, -1.8477404117584229, 0.25219663977622986, 0.5441582202911377, -0.11664307862520218, 0.8169258832931519, 0.07803666591644287, 1.1853387355804443, -1.563123345375061, 0.8235005140304565, 0.699645459651947, -0.2739996910095215, -0.649753987789154, 0.3339695334434509, 0.6070361733436584, -1.2381759881973267, -1.8098002672195435, -1.0426753759384155, -0.49666789174079895, 1.1042855978012085, -0.28629598021507263, 1.1872057914733887, -1.548396110534668, -1.0748999118804932, -1.318832278251648, 0.25598642230033875, -1.6089767217636108, 0.11880530416965485, 1.7134044170379639, -1.9737489223480225, 1.7090034484863281, 0.014746163971722126, -0.028018174692988396, 0.7729841470718384, -0.16630803048610687, -0.3082164525985718, -1.3598295450210571, 0.7484915852546692, -0.020066307857632637, 1.1464874744415283, 0.2593289017677307, 0.5561820864677429, -0.42343366146087646, -0.7130321264266968, -0.15960584580898285, 2.047469139099121, 1.7842962741851807, -0.1500924527645111, -0.5729426145553589, -1.5497281551361084, -0.9490254521369934, -0.6718958616256714, 1.6634629964828491, 1.0943793058395386, -0.20335201919078827, 0.24930346012115479, -1.0565357208251953, 1.761036992073059, 1.6969059705734253, -1.3833608627319336, -0.9623389840126038, 0.7332934737205505, -1.5554397106170654, -0.5061531066894531, 0.8648771643638611, 0.696260392665863, 1.304234504699707, -1.799821138381958, 2.3756792545318604, -0.6498905420303345, 0.3199048936367035, -1.4698498249053955, 0.5847093462944031, -1.159850835800171, -0.7021216750144958, -1.6163984537124634, 1.1773993968963623, -0.33206772804260254, -0.4710956811904907, 0.3076260983943939, 1.50766921043396, 0.2656412720680237, -0.9846153855323792, -0.43968701362609863, 0.24974483251571655, 1.3714436292648315, 0.011947983875870705, 0.21989481151103973, 1.3582602739334106, 0.18479764461517334, 0.11225549876689911, 0.487280011177063, -1.8237143754959106, -0.20599345862865448, -0.3458836078643799, -0.19538514316082, -4.590487003326416, -2.0522897243499756, 0.32260194420814514, 0.37994635105133057, -0.8459410667419434, -0.027350332587957382, -0.105218805372715, 0.9033235907554626, 0.7069961428642273, -1.4173370599746704, -1.0193253755569458, 0.8716959357261658, 0.21388539671897888, 1.5898735523223877, -0.08420645445585251, 1.7137596607208252, -0.6511648893356323, 1.0987123250961304, 0.38846316933631897, -2.241964817047119, -0.9849853515625, 0.5033459663391113, -1.877898931503296, -0.09880439192056656, -0.7266132831573486, 0.8347182869911194, -0.8041361570358276, -0.3806835114955902, -0.0120514752343297, 0.13004733622074127, 1.5650300979614258, -0.9664760828018188, 0.16665737330913544, 1.5542923212051392, -0.026734543964266777, 0.0569528192281723, 0.9543485641479492, -1.5862916707992554, -1.3902620077133179, -1.2890939712524414, -0.44249624013900757, 0.22539444267749786, 0.6514113545417786, 0.2526547610759735, -0.38624462485313416, 0.6183772087097168, 0.46923014521598816, 0.39548906683921814, 0.7532169818878174, -0.7838207483291626, 1.082488775253296, -0.3692897856235504, -0.0846959576010704, 0.18322138488292694, 0.29738742113113403, 0.12281671166419983, -1.065079927444458, -0.0818561241030693, 0.5466769933700562, 0.9761568307876587, -1.1271271705627441, -0.5635595321655273, 1.9902280569076538, -1.2461110353469849, -0.572148323059082, 0.3713187873363495, -0.14302805066108704, 1.260285496711731, -0.308820515871048, -0.22995419800281525, 0.8583492636680603, -1.537030577659607, -1.2683606147766113, -0.9647015929222107, -1.7631279230117798, -0.6365145444869995, -0.4509296417236328, 1.1802514791488647, 0.044512808322906494, -0.027889186516404152, -0.5378810167312622, -0.35102200508117676, 1.4256056547164917, -0.9954700469970703, 0.5747546553611755, -0.4056012034416199, 0.5297638177871704, 2.301440715789795, 1.0028607845306396, -0.13206739723682404, 1.201464295387268, -0.8039288520812988, -0.18027454614639282, 0.5994168519973755, 0.6733683347702026, 0.24267470836639404, 0.8966361284255981, -1.4408336877822876, 0.8006253242492676, 0.5767998099327087, -0.012014731764793396, 0.4058736562728882, 0.10847731679677963, 0.484870046377182, 1.0501197576522827, -0.6837360858917236, -0.5548007488250732, -0.7267906069755554, -0.4585682153701782, 1.6783833503723145, -2.2557921409606934, -0.8522458672523499, -0.6435146927833557, -0.20969831943511963, 1.1025543212890625, -0.1733873039484024, -0.4653708338737488, 0.4414534568786621, 0.6998939514160156, -0.5254987478256226, 0.6385402083396912, 0.10993203520774841, -0.17751605808734894, -0.8374624848365784, -0.5139830708503723, -0.5715621709823608, -0.17139151692390442, 0.29010912775993347, 0.07599475234746933, 0.45246168971061707, -0.7084513306617737, 1.0194917917251587, -0.09768582135438919, -0.5591790080070496, 1.6106548309326172, 1.56406831741333, -0.3981296718120575, 0.3738940954208374, 0.438385546207428, 0.34225642681121826, -0.4658235013484955, 1.470977783203125, 0.33540236949920654, 0.2557631731033325, -3.1068077087402344, -0.09887733310461044, 0.2541777193546295, 1.220206379890442, 0.19442462921142578, 0.3978477418422699, 1.0854442119598389, 2.2304768562316895, -1.0525119304656982, -0.4110996127128601, -0.6825019717216492, -0.6428825855255127, -0.48665568232536316, -2.0378172397613525, 0.4522855281829834, 0.07762130349874496, 0.7037158608436584, -1.5849863290786743, -0.4051603376865387, -0.3160574734210968, -0.5489075779914856, 0.2491654008626938, -0.5246623158454895, 0.3743203580379486, 0.41794902086257935, 0.3183284401893616, 1.2588160037994385, 0.1869850903749466, 0.9540350437164307, -2.658742904663086, 0.6647977232933044, -0.09665559232234955, -0.07421164959669113, 0.9925838708877563, 1.5309867858886719, -1.2082571983337402, 0.04005281254649162, -1.312328815460205, -0.8354765176773071, 0.15306589007377625, -0.6748557090759277, -0.5820913314819336, -0.8385991454124451, -0.6930100917816162, 0.17461663484573364, 0.251962810754776, 1.207927942276001, 0.865795373916626, -0.11512261629104614, -0.2068532407283783, -0.30375269055366516, 0.7153390645980835, 0.102569580078125, 0.29510873556137085, -1.6200566291809082, -0.2799949645996094, -2.2940165996551514, -1.8437029123306274, -0.11671214550733566, -1.4409173727035522, -0.20028278231620789, -1.6468303203582764, -0.5535934567451477, 0.916714608669281, -0.49961593747138977, -1.370246171951294, -0.5892370343208313, 0.5851083397865295, 0.15231788158416748, 0.1524266004562378, 1.1538183689117432, 0.1434231698513031, -0.4668002426624298, 0.6694236993789673, 0.8029570579528809, -1.128645420074463, -0.5415638089179993, 0.7148733735084534, -0.21786054968833923, 0.25494393706321716, 1.7888623476028442, 0.837852954864502, -0.8623061180114746, -1.9807080030441284, -0.5683214664459229, -0.7880021333694458, -0.20363865792751312, -0.8288527131080627, 0.8197945356369019, -0.8912142515182495, -1.5004454851150513, -1.0436478853225708, -0.13629910349845886, 1.5387922525405884, 1.6148947477340698, -0.46592357754707336, -1.0835857391357422, 2.4127721786499023, 0.29831284284591675, -0.5864914059638977, 0.026118503883481026, 0.8253267407417297, 0.5728235840797424, 0.2168377786874771, 2.0342705249786377, -0.8952576518058777, -1.0570136308670044, 0.7002004981040955, 0.11120284348726273, 1.2526781558990479, -0.11705522984266281, 0.2431677281856537, -1.903295874595642, -1.5120699405670166, 0.8551264405250549, -1.1362826824188232, 0.04684753715991974, 0.7452582716941833, -1.1002061367034912, -0.6902682185173035, 1.4649009704589844, 1.0322400331497192, 0.07994987070560455, -1.9760518074035645, 0.23384903371334076, -0.09299273788928986, -0.37670794129371643, 0.6242139935493469, 0.12479114532470703, 1.3663537502288818, -2.245995044708252, -0.6988351941108704, -1.1289371252059937, -0.5075103044509888, 0.32241788506507874, 1.6312764883041382, 0.3923393487930298, 0.09763448685407639, -1.0984749794006348, -0.6188521385192871, -0.9596922993659973, -0.9977754950523376, -0.6907290816307068, 0.3962167203426361, -2.5347654819488525, -1.3945866823196411, -1.849588394165039, 0.5696204900741577, -0.06455918401479721, 1.3876205682754517, -0.8802580237388611, -1.400011420249939, -1.4569069147109985, 2.2219786643981934, -1.0853896141052246, 0.6074466705322266, -0.354131817817688, -0.8613611459732056, 0.6020967960357666, 0.42113202810287476, -1.50015389919281, 0.5675557255744934, -1.3787809610366821, 1.3422988653182983, 0.06369331479072571, -0.571025550365448, 0.920621931552887, 0.9102606177330017, -0.5799019932746887, -1.3493554592132568, -0.18462340533733368, -0.3938900828361511, 0.5079939961433411, -0.04151388630270958, 0.638681948184967, -0.9251974821090698, 0.4793422818183899, 0.07934211194515228, 0.45806410908699036, 0.3873237371444702, 2.1038315296173096, -0.009075237438082695, 0.4696924686431885, 1.1768519878387451, -1.0309484004974365, -1.4824835062026978, 0.548785924911499, -1.6630266904830933, 0.2332160919904709, 0.32850784063339233, -2.2924160957336426, -0.9336865544319153, -1.1462935209274292, -1.4328354597091675, -1.3781925439834595, 0.11795748770236969, -1.0284250974655151, -0.3721398711204529, -0.933484673500061, 1.1596593856811523, -0.5431807041168213, -0.3126688599586487, -0.8101866841316223, 0.294687420129776, 1.3017981052398682, -0.37575218081474304, -1.2258555889129639, -0.8496612310409546, 1.6667790412902832, 0.48977890610694885, 0.041659194976091385, 0.5937827229499817, -1.2999275922775269, 1.1711041927337646, -0.06464914232492447, 0.18754738569259644, -1.6257176399230957, 0.2559446096420288, 0.8953971862792969, 0.05210793763399124, -0.8828252553939819, 0.315426230430603, -0.9624536633491516, -1.2980780601501465, -0.7440680265426636, 0.6479417681694031, 0.496842622756958, -0.4313477873802185, 0.46270114183425903, -0.20873035490512848, -0.6469730734825134, -0.8206639885902405, 1.0195780992507935, -1.0815421342849731, -0.8723288774490356, -0.34397581219673157, -1.925599455833435, 0.8844987154006958, 0.5208379626274109, 1.1756534576416016, -0.1712675839662552, -0.751429557800293, -0.5991390943527222, 0.8129574060440063, 0.36697280406951904, 0.8742092251777649, 1.074175477027893, -0.8034271001815796, 0.09661232680082321, -1.69002366065979, -0.4344105124473572, -0.05072885751724243, 0.40158307552337646, 0.5678419470787048, -1.4790481328964233, 0.2828561067581177, 0.021297337487339973, -0.7806777954101562, -0.5144739151000977, -1.3540741205215454, 0.6754942536354065, 0.4868510067462921, -2.3492724895477295, 0.5340497493743896, -0.12332668155431747, 0.6731573939323425, 1.6698524951934814, 0.857586681842804, -0.5468665361404419, 0.4866846799850464, 0.00014711850963067263, 0.2719130516052246, -1.0565098524093628, -0.8529942631721497, 1.1526010036468506, -0.04655726999044418, 2.109917163848877, 0.4556778371334076, 0.49670082330703735, 1.5331758260726929, -1.1680102348327637, 0.5544835329055786, -1.3787705898284912, 0.9757505059242249, -1.7401586771011353, 0.7986098527908325, -0.909892737865448, 0.45625391602516174, -1.5176916122436523, -0.18365027010440826, -0.3773248493671417, 0.4244149625301361, 0.32260099053382874, 2.0206387042999268, -0.38424134254455566, -0.5387206077575684, -0.6788306832313538, -0.12234275043010712, -1.8472939729690552, -2.0308585166931152, 1.3706034421920776, -0.18113401532173157, -1.0697435140609741, -0.431270033121109, -1.6597670316696167, 0.08197832852602005, 1.3998132944107056, -0.21864621341228485, 0.0045160348527133465, 0.6952841281890869, 0.5464415550231934, 0.6999191641807556, 0.17490430176258087, 0.7414620518684387, -1.8896892070770264, -1.5591075420379639, -1.0657451152801514, -0.8074997067451477, -0.36167681217193604, -0.3593403995037079, 0.2769935429096222, -0.01611383631825447, -0.9155992269515991, 0.6275180578231812, 0.263513445854187, 0.09994900226593018, -0.34637004137039185, -0.5890353322029114, -0.6560580134391785, 2.6462934017181396, 0.7388167381286621, 0.2995735704898834, 0.024438541382551193, 0.15639980137348175, -1.094023585319519, -0.5380859375, -0.8731626868247986, 2.109699010848999, 1.9825154542922974, 0.8860426545143127, -0.8782669305801392, -0.3164410889148712, -0.15212349593639374, -0.38878607749938965, 0.18721233308315277, 1.556901454925537, -1.4734578132629395, 0.9381241798400879, -0.7352778911590576, -0.4158262312412262, -0.08686912059783936, -2.931133508682251, -0.8536374568939209, 0.8168794512748718, 0.8988110423088074, -0.44353434443473816, -0.5678110718727112, -0.046365950256586075, 0.6694868803024292, -1.9049299955368042, -0.6935964226722717, 1.212466835975647, 1.0641697645187378, 2.0564608573913574, -0.9841756820678711, -0.46724194288253784, 1.0322092771530151, -1.8811311721801758, 1.1396642923355103, -0.3073957562446594, 2.727267026901245, 1.2159736156463623, 2.0867393016815186, -1.4766894578933716, 1.4007166624069214, -0.20915640890598297, -0.26001620292663574, -0.5185415148735046, 0.5442595481872559, -0.5174069404602051, 0.8647102117538452, -0.9373543858528137, 1.7574429512023926, -0.015779802575707436, 1.7610975503921509, 0.2407299429178238, -0.002906594192609191, 0.5428099036216736, -1.0575995445251465, 0.18425503373146057, -1.177641749382019, -1.6001747846603394, 0.20000305771827698, -1.6345371007919312, -0.7048511505126953, 0.06381834298372269, -0.8093963265419006, 0.3397621810436249, 1.0286633968353271, -0.7605062127113342, 1.2919825315475464, -1.8201450109481812, 0.5490398406982422, -0.8941095471382141, 0.9235695004463196, -0.3105100393295288, -1.2937109470367432, 1.5256905555725098, 0.6655983328819275, 0.20014114677906036, -0.4495220184326172, 0.7199190855026245, 1.2921704053878784, -0.5020086169242859, -0.18156147003173828, 0.16221146285533905, -0.07935092598199844, 0.8212664723396301, 0.8057575225830078, -1.4514063596725464, -0.7965359687805176, -0.20400112867355347, -0.5777905583381653, 0.3474275469779968, 0.37239035964012146, 0.1721561700105667, -0.1632196307182312, -0.5057582259178162, 0.7820546627044678, 0.1994936168193817, 1.331580400466919, 0.08013531565666199, 1.822954773902893, -0.025256365537643433, -1.1643788814544678, 1.7291061878204346, 0.5388310551643372, -0.22000829875469208, -0.1680569350719452, -0.11118766665458679, -0.5418046116828918, 0.24958378076553345, 0.7157243490219116, -0.5782224535942078, -1.572266936302185, -0.551821768283844, -1.8417093753814697, -0.12602464854717255, -0.8910450339317322, 1.0962769985198975, -2.8087170124053955, 0.3037346303462982, -0.4451170861721039, 0.21369147300720215, 2.5273027420043945, -1.0286284685134888, -0.17048496007919312, 0.6936991214752197, 0.21829773485660553, -0.691300094127655, -0.6162018775939941, 1.5119497776031494, -0.3293887972831726, 1.3043930530548096, 1.80573308467865, 1.3686344623565674, 1.2875713109970093, -0.9265219569206238, 0.480010062456131, -1.8672938346862793, 0.27545538544654846, -0.7154293656349182, -0.24770328402519226, 0.6137721538543701, -0.8564212918281555, -0.9197810292243958, 0.009736130945384502, -0.706872284412384, 0.9721733331680298, 2.4683926105499268, 0.707801878452301, -0.6197855472564697, -0.2951693534851074, -0.37423384189605713, 0.5883585214614868, 0.050453681498765945, 0.4274648427963257, 0.8665466904640198, 1.6647382974624634, -0.728370189666748, -0.6024280786514282, -0.498090535402298, -1.8326926231384277, 0.25111711025238037, -0.8122819662094116, -1.339927077293396, -0.7348865270614624, -2.2014527320861816, 0.49722763895988464, 0.6321797370910645, 1.2606812715530396, 1.3702387809753418, 0.2651441991329193, 0.7761843800544739, -0.28343671560287476, 0.971897304058075, 0.6995351314544678, 0.12715063989162445, -0.277471661567688, -0.24592724442481995, -0.014568896032869816, -0.4445440173149109, -1.2251771688461304, 1.4113430976867676, -1.6407495737075806, 1.089362621307373, 0.47033408284187317, 0.10113731771707535, 0.05076458305120468, -2.8691132068634033, -1.2832789421081543, 0.7762129902839661, -0.8713890910148621, 0.9613738059997559, -0.4938099682331085, 0.7369964718818665, 0.4459758698940277, 0.10165993124246597, 1.0770108699798584, 1.2858725786209106, 1.3870720863342285, -0.38760748505592346, 1.982582449913025, 1.035927653312683, 1.0755326747894287, 0.6685170531272888, 0.748969554901123, -0.07083883881568909, 0.2360350340604782, 0.41759076714515686, -1.2019407749176025, 0.3494662046432495, -0.7013761401176453, 1.3096542358398438, 0.7398746013641357, 0.9783487915992737, 2.378195285797119, 0.03726096823811531, 0.16814927756786346, -0.7663987874984741, 0.6361956000328064, 0.9661579728126526, -0.6520741581916809, 0.45356664061546326, 0.10760162025690079, 0.8783052563667297, 0.20047496259212494, -2.1272132396698, 0.3601607382297516, 0.5910879969596863, 1.3446553945541382, -1.8329788446426392, 0.21474595367908478, 0.354678750038147, -1.2770016193389893, 0.43043336272239685, 0.3203308880329132, -0.4785045385360718, 2.0618362426757812, -1.030090093612671, 1.5139665603637695, -0.18385489284992218, 0.15413309633731842, 0.9683400392532349, 1.630165934562683, -1.7739818096160889, 0.6701565980911255, 1.747613549232483, 0.34258347749710083, -0.714775800704956, 1.1940655708312988, 0.12249141931533813, -0.6182563900947571, -1.7479054927825928, 0.8872619271278381, 0.7754055261611938, -0.8094313740730286, -0.10782051831483841, -0.5862298607826233, -0.04602089524269104, -0.6864772439002991, 0.5413038730621338, -0.4631672501564026, -0.36821430921554565, 0.21249578893184662, 0.7566601634025574, -0.029577672481536865, -1.0926743745803833, -0.5430036187171936, -1.1715236902236938, 0.6926686763763428, 0.69503253698349, 0.6469876766204834, 0.35002651810646057, 0.07626458257436752, 0.9148107171058655, -1.0532796382904053, -0.12680327892303467, -0.7464393377304077, -1.6353942155838013, -0.08274376392364502, -0.6947917938232422, -0.6508398056030273, -0.4138224422931671, -2.441429376602173, 0.09710897505283356, -1.8939095735549927, 0.3900659382343292, 1.6603678464889526, -1.5552778244018555, -3.998054027557373, 2.023125171661377, -0.5130648016929626, -0.17653533816337585, -0.8272227048873901, 1.451035737991333, -0.21874922513961792, -1.0809919834136963, 1.5550289154052734, -0.25855112075805664, -0.6372632384300232, 1.0887423753738403, 1.2913599014282227, -0.9482532739639282, 0.29304733872413635, -0.714370608329773, 1.2648520469665527, -0.058902814984321594, -0.22503049671649933, 0.1426437795162201, -0.6339381337165833, 1.2763491868972778, -1.1405303478240967, -0.7900812029838562, 1.9852488040924072, -0.34093111753463745, 1.085434079170227, -0.28366610407829285, 0.6737245321273804, -0.8192980289459229, -0.2942860424518585, -1.4600107669830322, 0.1787390261888504, -0.7526247501373291, -0.0828852578997612, -0.4655258357524872, 1.9762603044509888, 0.8498344421386719, -0.7445648908615112, -0.12001845240592957, -0.47648924589157104, 1.0660429000854492, -2.0142264366149902, -0.18791674077510834, -0.4165700376033783, 1.2636713981628418, 0.3502460718154907, -0.6062918901443481, 0.009230954572558403, 0.9100539088249207, -0.8087419271469116, -2.902350425720215, 1.5908713340759277, 0.263751357793808, -0.8622299432754517, -1.7842168807983398, -0.766037106513977, -0.26949623227119446, 0.5797804594039917, -0.9362694621086121, -0.11413083970546722, -0.06613673269748688, 1.1392501592636108, -1.3898167610168457, -0.47791218757629395, 0.837235689163208, -0.6166300773620605, 1.072928786277771, 0.8570981621742249, -0.7258101105690002, 0.4925771951675415, -2.0616376399993896, 1.1139341592788696, -2.2288506031036377, 0.527233362197876, 0.04626358300447464, 1.2502495050430298, -0.7554172873497009, -0.6101598143577576, 0.974663257598877, 1.486930012702942, 1.2990320920944214, 0.040358372032642365, -1.0391656160354614, -1.4332884550094604, -0.947075605392456, -0.036465272307395935, -0.5256494283676147, -1.1324069499969482, -0.32359936833381653, -1.5786864757537842, -3.0911824703216553, 1.2746281623840332, -1.8627254962921143, 0.63337641954422, 0.07023980468511581, -2.4392077922821045, 0.2339894026517868, 0.007178131490945816, -1.0942715406417847, 1.6717418432235718, 0.24318328499794006, -0.9710583686828613, 0.21072518825531006, -0.962588369846344, -0.5953028798103333, -0.4077383279800415, 0.1970832645893097, 0.25722265243530273, -0.10990449786186218, 1.5708112716674805, 1.1971161365509033, 1.4833401441574097, 0.26632216572761536, -0.7293677926063538, 0.6964896321296692, -0.3051535487174988, -0.6257216930389404, 1.1571403741836548, -1.784092664718628, -0.016743935644626617, 1.8829607963562012, -1.0777479410171509, -0.01741647906601429, 0.03002655878663063, 1.462139368057251, 0.9534266591072083, -0.08988336473703384, -0.31561365723609924, 0.5555655360221863, -0.19125472009181976, 0.8124786019325256, 0.9625385403633118, 1.5282152891159058, -0.4128662347793579, 0.046785108745098114, 0.6848540902137756, -0.19775347411632538, 0.11499357968568802, -1.3381017446517944, 0.4362533986568451, -0.4231959581375122, -1.6579768657684326, 0.06345265358686447, -1.1445856094360352, -1.4358961582183838, 0.4135274291038513, 1.04120671749115, -0.796722412109375, 0.04689735919237137, 0.38915207982063293, -2.9413349628448486, -0.7820103764533997, -1.1629738807678223, 1.6622471809387207, 0.8566768169403076, 0.30833616852760315, -0.9161991477012634, -0.3787177503108978, 0.9357376098632812, -0.7475185394287109, 0.7824693322181702, 0.003676710184663534, -3.471090793609619, 0.5243147611618042, 0.8867140412330627, -0.09403220564126968, 0.029454445466399193, 1.3845603466033936, -0.6705686450004578, -0.9307769536972046, -2.0063440799713135, -1.2921218872070312, 2.9328248500823975, 0.10152851045131683, -1.2452646493911743, -0.37744906544685364, -0.5471696853637695, 0.3068505525588989, -0.38757795095443726, 0.2894342541694641, 0.5191616415977478, 0.271595299243927, -0.7484486103057861, -0.5720158219337463, -1.2794253826141357, -1.4419597387313843, -0.16103707253932953, 0.614740252494812, 0.0941794142127037, -0.11613242328166962, -0.46774807572364807, 0.056562043726444244, -0.24389930069446564, -0.2653386890888214, 0.57817542552948, 1.5326802730560303, -0.41340112686157227, -0.09778989851474762, -0.38733088970184326, -2.0732831954956055, -0.060373540967702866, 0.6688396334648132, -0.999975323677063, 1.5973395109176636, 0.13255150616168976, 0.723700225353241, 0.32739537954330444, 0.05441658943891525, 0.8353530168533325, 2.1999850273132324, -0.34281671047210693, 0.39671987295150757, -1.074466347694397, 0.525077223777771, -2.3176286220550537, -1.184938907623291, -0.8023471832275391, -2.4268341064453125, 0.058102428913116455, -0.7275170087814331, 0.29153257608413696, -0.641418993473053, -1.1412608623504639, 0.12686188519001007, 1.162293553352356, 0.8372468948364258, 1.945101261138916, -1.6741358041763306, 0.897189199924469, 0.8113526105880737, 0.06730195134878159, -1.5446416139602661, 1.047647476196289, 0.2042987048625946, -1.2098443508148193, -1.3993148803710938, -0.3852578401565552, 0.4576152563095093, 0.3338770270347595, 0.49928057193756104, -0.3912898302078247, -0.5031735301017761, 0.14580929279327393, 0.6710518598556519, 0.7027654051780701, 1.4305002689361572, 0.40470102429389954, 0.8148404955863953, 1.777341365814209, 0.05844365805387497, 0.34945937991142273, 0.6478948593139648, -0.23784993588924408, 2.507223129272461, -1.6278084516525269, 0.7813572287559509, 0.5010554790496826, -0.6968939900398254, 0.37924250960350037, 0.28491172194480896, -0.49437546730041504, 0.9528293609619141, 0.7305256724357605, -0.5194083452224731, 0.07070906460285187, 0.39147230982780457, -0.6986151337623596, 0.5181744694709778, 0.43155303597450256, 0.6241584420204163, 0.08127716928720474, 0.46845969557762146, 1.3731201887130737, -1.1651962995529175, 1.9231210947036743, -1.0123865604400635, -0.048478737473487854, 0.16494745016098022, 1.289872646331787, 1.5655131340026855, -0.30129966139793396, 0.4831186830997467, -0.9037102460861206, 0.5622580647468567, 0.4129142165184021, 0.004854550119489431, -0.18934518098831177, 0.26142430305480957, -0.9469127655029297, -0.06076105311512947, 0.22887414693832397, -0.23197555541992188, -1.4894559383392334, 1.902294397354126, 0.07757832854986191, 0.26866909861564636, -1.7162322998046875, -0.8523396849632263, 0.47781726717948914, -0.8610950112342834, 0.701199471950531, 0.805077850818634, -0.40579020977020264, 0.14820493757724762, -1.1229990720748901, -0.9876353144645691, -0.7730194330215454, -0.2378755509853363, -0.2798811197280884, 1.5218738317489624, -0.040778934955596924, -0.3839319348335266, -0.12251555174589157, 0.13935260474681854, 0.17994898557662964, 1.0148634910583496, 0.1791084110736847, -1.8437541723251343, 0.5174273252487183, -1.5765458345413208, 0.4396111071109772, 0.7626886367797852, -1.3001595735549927, 1.5147892236709595, -0.07980863004922867, -0.27655068039894104, -1.7603082656860352, 0.3448992967605591, -0.09962600469589233, 0.03288005292415619, -1.4311087131500244, 0.05246606841683388, -0.2960844039916992, 0.4981054663658142, 0.6093829274177551, 0.4313366115093231, -0.9067281484603882, 0.3585943877696991, -0.7071452140808105, 0.276475191116333, -0.5704779624938965, 0.5037441849708557, -0.7836368083953857, -0.9835630655288696, 0.9161815047264099, -0.47798988223075867, 0.0592048205435276, 1.5667123794555664, -0.38457638025283813, 1.3375921249389648, -0.7408930063247681, -0.19731241464614868, -1.1960015296936035, -0.720990002155304, 0.33814358711242676, 0.204308420419693, 0.13755087554454803, -1.6405048370361328, 1.4759504795074463, 0.7505005598068237, -0.4617243707180023, -0.7219800353050232, -0.9008011221885681, -0.31660085916519165, 0.8879610300064087, 1.5157650709152222, -0.9314014911651611, 0.63405841588974, 0.6910656094551086, -0.06752120703458786, -0.2687106728553772, 0.23633253574371338, -0.4342567026615143, -2.288442850112915, 0.8416970372200012, -1.095505714416504, 0.1654529571533203, -0.7532713413238525, -0.7135399580001831, 0.04845932871103287, 0.4132402837276459, -2.463977336883545, 0.6711259484291077, 1.318495273590088, -0.881970226764679, 0.07392556220293045, -0.35695281624794006, 0.19195862114429474, -0.6512332558631897, -0.07651834934949875, 0.10010179877281189, 0.7864280939102173, -1.5341521501541138, 0.2944765090942383, -0.7794378399848938, 1.9408456087112427, 0.8897120356559753, 0.6778686046600342, -1.3969405889511108, -0.20565731823444366, -1.635765552520752, 1.106754183769226, -0.3951222896575928, -0.9029801487922668, -1.2786834239959717, 0.07468026131391525, 0.9923638701438904, -0.20604684948921204, 0.7552335858345032, -1.2754794359207153, 0.4049713909626007, 1.6793982982635498, -1.56851327419281, -0.6353299021720886, -0.7701172828674316, 0.03481011092662811, -0.30966466665267944, 0.12200456857681274, -0.12183453142642975, 0.9360938668251038, -0.28488489985466003, 0.49184247851371765, -0.055869098752737045, 0.055592212826013565, -0.9204084873199463, -0.7992153763771057, 0.8648690581321716, -0.16751627624034882, -0.6418649554252625, 0.4652084410190582, -0.7201831936836243, -0.9159481525421143, -2.164360761642456, 1.0849844217300415, 0.14486560225486755, -0.6467115879058838, -0.2609425485134125, -1.2663637399673462, 0.22252269089221954, 0.8565756678581238, 0.7062792778015137, 0.20438247919082642, 0.8293062448501587, 1.9937564134597778, 0.11981486529111862, -0.9498307704925537, 0.31708821654319763, -0.8381533622741699, 1.2762898206710815, -1.2353757619857788, 0.9173740148544312, 0.5752884745597839, 0.6949938535690308, -0.30989572405815125, -1.290257215499878, 2.0191612243652344, 0.2946039140224457, -0.35170868039131165, 1.0265260934829712, 0.27685999870300293, 0.9340248703956604, 0.15542013943195343, -2.582575559616089, -0.01109567005187273, -0.1745014190673828, -0.9684417843818665, 0.16206851601600647, -1.280907392501831, 1.9352785348892212, 1.2814692258834839, -0.5330049991607666, -0.016870787367224693, 1.0382022857666016, 0.19107796251773834, -0.7981200218200684, 0.9025207161903381, -0.22288425266742706, -0.04029054567217827, -0.6736413240432739, 0.4137524366378784, 0.6006852984428406, -0.8455685377120972, 0.8972930908203125, 0.2729991674423218, 0.2621544599533081, 1.1686339378356934, -0.08491386473178864, -1.0159764289855957, -0.7722033262252808, -1.312103271484375, -0.9530466794967651, -0.29641565680503845, 0.29024553298950195, -0.18599823117256165, 1.3990927934646606, 0.4404827356338501, -1.2364288568496704, -0.058605168014764786, 0.2756870687007904, -0.2794310450553894, 0.38196900486946106, -0.3055078387260437, -1.3894410133361816, -0.45998477935791016, -0.37165531516075134, -0.5930581092834473, 1.2106187343597412, -1.1746768951416016, 1.4031821489334106, 0.6243889331817627, 0.9359350800514221, 0.5523372292518616, -0.8497380614280701, 1.2344845533370972, 0.7553689479827881, 0.4761522114276886, 0.9142923951148987, -0.8294253349304199, -1.075396180152893, 1.033841848373413, -2.3138487339019775, -0.4259592890739441, -3.156113862991333, -1.3029263019561768, -0.08322697877883911, -0.3564068078994751, 0.2080879658460617, 0.773048996925354, 0.2366049885749817, -0.21861380338668823, -1.028066635131836, 0.5579478144645691, 0.47715848684310913, -0.41052761673927307, 0.6778514385223389, 0.4540995955467224, 0.33754855394363403, -0.5675963163375854, -0.4732793867588043, 0.08745620399713516, 0.3311269283294678, -1.2564632892608643, -0.13677990436553955, -0.6553648114204407, 1.6416919231414795, 0.1494726836681366, 0.4257732927799225, -0.4916135370731354, 0.7008883357048035, -0.04509367048740387, -0.6430181264877319, -1.344353199005127, 0.3011758327484131, 1.1283737421035767, 1.5180364847183228, 1.5994826555252075, -0.1881544589996338, 1.6732783317565918, -0.7025530934333801, 1.040703296661377, -0.3304201662540436, -0.7000874876976013, -0.07467641681432724, 0.4143388867378235, -1.235886812210083, 0.24992364645004272, 0.16909529268741608, -0.3564453721046448, -1.2731062173843384, 0.3968508839607239, 0.683853268623352, -0.15688155591487885, 0.16895300149917603, 0.14423541724681854, -0.21257565915584564, -2.492915630340576, -0.894170880317688, -1.3957103490829468, -0.28484222292900085, 1.0433104038238525, 1.9658666849136353, 0.12835432589054108, 0.22902359068393707, -0.7132292985916138, -0.9946264624595642, -1.406418800354004, 0.3574610650539398, -0.5949527025222778, -2.319809913635254, 0.004948955960571766, 0.035961151123046875, -0.6113625168800354, -0.772457480430603, 0.3429936468601227, 1.2367095947265625, 0.17353296279907227, -0.6777698397636414, 0.5839324593544006, -0.4831695556640625, -2.1868982315063477, 0.3268420696258545, 1.3016225099563599, 0.8534039258956909, -1.370783805847168, -0.30838337540626526, 0.07707633823156357, 0.08372320234775543, 0.7111889123916626, 1.1514387130737305, -0.8982006311416626, 1.4856114387512207, -0.057489749044179916, 0.6573148965835571, 0.6456133723258972, -0.22561204433441162, -0.631327748298645, -0.07398411631584167, -1.071518063545227, -0.1892244517803192, -0.2399311363697052, 1.1265747547149658, -1.557091474533081, 0.9568769335746765, 0.4872204065322876, 0.03030942752957344, -0.5477505922317505, -2.4377150535583496, 0.8837866187095642, 1.0023964643478394, 0.19672852754592896, 0.5407274961471558, -0.25880637764930725, -0.3163694143295288, 1.1272581815719604, 0.21706122159957886, 0.11549913138151169, 0.8547080159187317, 1.4606181383132935, -1.737932801246643, 0.5392752289772034, -1.1008182764053345, -1.1037598848342896, 0.565951943397522, -1.1270244121551514, 0.57087242603302, 0.25609683990478516, -1.8457388877868652, -1.2142490148544312, 0.41009843349456787, -1.524382472038269, 0.9435756206512451, 1.7287225723266602, 2.5883774757385254, 0.363118976354599, 1.068264126777649, 0.05575446039438248, -0.6753637790679932, 1.8103506565093994, -0.6400551795959473, 0.9026628136634827, 1.1282541751861572, 0.05005946382880211, -0.7265985608100891, 0.01396683044731617, -0.7662106156349182, -0.37083086371421814, -0.12467162311077118, -0.07268175482749939, 0.4430188834667206, 0.8138623237609863, 1.025251865386963, -0.815832257270813, 0.12052557617425919, -0.8962115049362183, 0.3119344711303711, 1.3270413875579834, -0.36807680130004883, -0.26297029852867126, 0.03326883539557457, 2.140266180038452, -0.2669850289821625, -0.49908149242401123, 0.09976989030838013, -0.22912274301052094, 0.02349935658276081, -0.34359946846961975, 0.6460663080215454, -0.5314115881919861, 0.32597920298576355, 0.39301565289497375, 0.7324246764183044, 1.7232409715652466, 0.20876912772655487, 0.06905889511108398, -0.5193957090377808, 0.5756301283836365, 0.40250667929649353, -0.26745906472206116, 0.15608380734920502, 0.14911898970603943, -0.8352922797203064, -0.3907231092453003, 1.3453987836837769, 0.444119930267334, 0.09839116036891937, 0.0665694922208786, -0.9332987666130066, -0.8738178610801697, 1.7452038526535034, 0.2254467010498047, 1.700562834739685, -1.9086933135986328, 0.6047287583351135, 0.7816503643989563, -0.49625736474990845, 0.2127920538187027, 0.6486033797264099, -0.1449652910232544, -1.3462854623794556, 2.1437063217163086, 0.6675548553466797, -0.03937323018908501, 0.3805524408817291, 0.03847084566950798, -1.4129009246826172, 1.0656813383102417, 0.3740655183792114, 0.10849422961473465, 1.4928977489471436, 0.5727428197860718, 0.6292255520820618, -0.8248661756515503, -0.19937555491924286, 1.341478943824768, 1.0742695331573486, -0.35646799206733704, -0.5568943023681641, -1.398620843887329, -0.1396031528711319, 0.005794581025838852, 1.143354058265686, 0.5001943111419678, 0.404729962348938, -0.40286409854888916, -0.1530342549085617, -0.6084135174751282, -0.7227716445922852, 0.38614901900291443, 0.47849592566490173, -1.009769320487976, -0.12171280384063721, -0.898140549659729, 0.15862195193767548, 1.6295812129974365, 1.4098445177078247, -0.19575533270835876, -0.32751184701919556, -0.29604288935661316, -0.09356331825256348, -2.421372413635254, 1.699105978012085, 1.9395681619644165, -0.24887752532958984, -0.30567196011543274, -1.4089380502700806, 0.40336304903030396, -0.2237953245639801, -0.03312608227133751, -0.2329561859369278, 0.520954430103302, 1.2448242902755737, 0.06660091876983643, 0.8562445044517517, 1.6146551370620728, 0.4508427679538727, -1.1635984182357788, 0.955100953578949, -0.8750120997428894, 1.596035122871399, 0.5829316973686218, 0.340108186006546, 1.1829795837402344, -1.0449689626693726, 0.9176048040390015, -0.9616485238075256, -1.4004367589950562, 1.764365315437317, -1.7664988040924072, 1.7379493713378906, -0.29172906279563904, -2.031428813934326, -1.6200438737869263, -1.4132646322250366, 0.8896070122718811, -0.7359488010406494, 0.3742523491382599, 0.3763996660709381, 0.7087901830673218, 0.1633767932653427, -1.609818696975708, 1.0416879653930664, -0.8853222131729126, 1.6485652923583984, 1.8642809391021729, -0.6273664236068726, -0.7046224474906921, -0.5896680355072021, 0.29133546352386475, 0.9632337093353271, 0.9911549091339111, -1.5730438232421875, 0.1792367398738861, -0.3050815165042877, -0.520516574382782, 0.9254773855209351, 0.8819019794464111, -0.6601197123527527, 0.2964232563972473, -0.0072369882836937904, -0.7690070867538452, -0.5555424690246582, -0.510775625705719, 0.5724525451660156, 0.1515364646911621, 0.3714618682861328, 0.07393547892570496, -0.3172909617424011, 1.520578384399414, 1.0092368125915527, -1.0199087858200073, 0.8688280582427979, 0.46342113614082336, 0.3743467628955841, 0.32715946435928345, 0.48555120825767517, 0.05827674642205238, -1.1422057151794434, -1.3010869026184082, 0.8243455290794373, 0.4112011194229126, -0.6027381420135498, 0.9340900182723999, 0.4136751890182495, 0.018548600375652313, -1.125657081604004, -1.1236919164657593, -0.12557882070541382, -0.7260885238647461, -0.6309914588928223, -1.6711879968643188, 1.7265112400054932, -0.17704232037067413, -0.1485455334186554, 1.07841956615448, -0.34292107820510864, -0.44269174337387085, 0.13200101256370544, 2.4772870540618896, -0.8549392819404602, -0.29493018984794617, -0.20573510229587555, 1.074533224105835, 0.6784349083900452, 0.4235535264015198, 0.2137279510498047, -0.5341789126396179, 0.12538385391235352, -0.6766306161880493, -1.126915693283081, -0.7096394896507263, -0.043296076357364655, 1.5706595182418823, 1.7135306596755981, -1.1514381170272827, 0.07035467773675919, 0.2599762976169586, -2.9272074699401855, -2.0555758476257324, -1.6970537900924683, 0.3028546869754791, -1.1067464351654053, 0.10211431980133057, 0.7427659034729004, -0.5417191386222839, -1.3884267807006836, 0.2923746407032013, -1.9919217824935913, 1.1907700300216675, 1.5445131063461304, -0.1087469682097435, -0.04928972199559212, -0.7452480792999268, 1.1027320623397827, -0.3832239806652069, 0.3053002953529358, -0.18099917471408844, -0.7663444876670837, -1.0171501636505127, -1.3864532709121704, -1.5563161373138428, 2.632498264312744, 1.279879093170166, -0.8789420127868652, 1.7886683940887451, 1.3555200099945068, 0.5554810762405396, 2.2841525077819824, -0.5292304754257202, -0.23091153800487518, -2.35090970993042, -1.0214730501174927, 0.34008538722991943, -0.5750671029090881, 1.5072275400161743, 0.44654667377471924, -1.1911898851394653, -0.9061200618743896, -0.29044678807258606, -0.2193550169467926, -1.6546058654785156, 0.40042611956596375, 0.37806159257888794, -2.3154351711273193, 0.8653251528739929, 0.7721788287162781, 2.1442339420318604, 0.37142693996429443, 0.4743138253688812, -0.12231887876987457, -1.3499677181243896, -0.5633811950683594, -1.4836158752441406, -1.7335668802261353, 0.02987426146864891, 0.6052398681640625, 0.9355973601341248, 0.05653614178299904, 0.9466585516929626, -1.1599072217941284, -0.3370160162448883, -2.999497652053833, 0.9912322163581848, 0.28246384859085083, 0.7999697923660278, 1.3495007753372192, 0.7142066359519958, 0.7585850954055786, 0.9778765439987183, -0.2592851221561432, 1.0751088857650757, 1.3401166200637817, 0.9068341851234436, 0.20108510553836823, -0.307180792093277, -0.44775688648223877, 0.3243775963783264, -0.20371830463409424, 2.3764145374298096, 1.4627320766448975, 0.5582623481750488, 0.30482029914855957, 0.07148563861846924, 0.2828209698200226, 0.2195020616054535, -1.080514669418335, 1.567628264427185, -1.1146091222763062, -0.13609346747398376, 1.1649373769760132, 2.553994655609131, -1.6005074977874756, 0.17278514802455902, 1.3297847509384155, -1.9216110706329346, -1.6094467639923096, 0.3158654272556305, 0.9812542796134949, -0.7258325219154358, -0.30060631036758423, 1.2699159383773804, -0.47490328550338745, 1.7040141820907593, 0.5323610305786133, -0.9013150930404663, -1.202078938484192, -0.3037419319152832, 0.6899484992027283, 0.6979171633720398, 0.6108406186103821, 0.929100513458252, -1.5111064910888672, -0.9269773364067078, -0.31609046459198, -0.6082794070243835, 1.6808185577392578, -0.6164183616638184, 0.3417963981628418, 0.05064019933342934, -1.0599197149276733, 0.8301148414611816, -0.7604886293411255, 0.9772552847862244, 1.057621717453003, -0.5288651585578918, 1.3030047416687012, 1.2806105613708496, -0.09392209351062775, -1.1356117725372314, -0.8538877964019775, 0.13696622848510742, 0.7703046798706055, -0.6258004903793335, -0.34848085045814514, 0.21510683000087738, -0.20996110141277313, 1.186909556388855, 0.38421493768692017, 0.6532696485519409, -1.4258407354354858, 0.180404931306839, 1.323346734046936, 1.4176493883132935, -1.1381398439407349, 0.5980101227760315, -0.14056886732578278, 0.9073736667633057, -0.022530728951096535, 1.6894994974136353, -1.4290990829467773, 1.3043893575668335, 0.5682852268218994, 0.2595924139022827, -1.5594737529754639, 0.7544563412666321, -0.27844470739364624, -0.7545061111450195, 0.5878472924232483, 0.8842568397521973, -0.5676981806755066, -0.005870757158845663, 0.5302826166152954, 0.33110591769218445, 1.5449533462524414, -1.0274391174316406, 0.10409041494131088, -0.3442842364311218, -2.127370595932007, -0.20612497627735138, -0.7300701141357422, 0.4085293412208557, -0.3217071294784546, 2.2402806282043457, 0.6217926740646362, 0.6003987789154053, -0.43789711594581604, 0.4294690191745758, 0.20106975734233856, 0.223713681101799, -0.6229336857795715, 0.19380009174346924, -0.3046821355819702, -0.3314218521118164, -0.3753143548965454, 1.7189605236053467, -0.9436120986938477, 0.5515018105506897, 1.1624071598052979, -0.0049962615594267845, -0.3489050567150116, 1.326980471611023, 2.3793890476226807, 1.3307422399520874, -1.4421361684799194, -2.0427005290985107, 1.0452556610107422, -0.5674296617507935, 0.8690115809440613, -0.052833620458841324, -1.146348237991333, 0.33483657240867615, 1.1180000305175781, 0.03796771541237831, -0.6680354475975037, -0.49713683128356934, 1.4182978868484497, -0.40856921672821045, -0.09484592825174332, -0.5659502744674683, -0.39931946992874146, 0.7110710144042969, 0.5847283601760864, 0.7724155783653259, 0.7260907888412476, 0.519797146320343, 0.27226296067237854, 0.28531938791275024, 0.030896354466676712, -1.1591544151306152, 0.3692969083786011, -0.3761252462863922, -0.17815087735652924, 0.05414319038391113, -0.5605198740959167, -1.24971342086792, -0.08799071609973907, 1.1736353635787964, 1.4036145210266113, -0.9100841283798218, 0.20392531156539917, -0.3900788426399231, -1.040031909942627, 1.793296217918396, -0.4968201220035553, 1.2133480310440063, -0.7249506115913391, 1.428924560546875, -0.8677463531494141, 0.6289736032485962, 0.5403394103050232, -0.18321096897125244, 0.3177703619003296, -0.31241536140441895, 0.9469932317733765, -0.47317883372306824, -0.7515965104103088, 0.050585102289915085, 0.5537548661231995, 1.2939358949661255, 0.14369091391563416, -1.5884510278701782, -2.278761625289917, -0.21611475944519043, -1.3361858129501343, 0.3002919852733612, -1.0012396574020386, 0.1654496192932129, -0.6117708086967468, -0.2963080108165741, -0.7682610154151917, 0.031824707984924316, 2.0486397743225098, 0.9918745160102844, 0.7257811427116394, 0.4334951341152191, 0.7255995273590088, 0.8267057538032532, -0.2805884778499603, -2.202744722366333, -0.40495431423187256, -1.3584905862808228, 0.455695241689682, 0.9635915160179138, -0.22077611088752747, -0.5389374494552612, 0.9449805617332458, -1.0271354913711548, 0.8353933691978455, 0.8161764740943909, 0.28902360796928406, 2.0918145179748535, -0.15743610262870789, -1.153382420539856, -0.8637852668762207, -0.06222081184387207, -0.38883301615715027, 0.0548880472779274, 1.7339541912078857, -0.09989669919013977, 1.0303404331207275, -0.03150368854403496, -1.287832260131836, 0.7037310004234314, -1.0867266654968262, -2.2035090923309326, -0.0553983598947525, -0.354233980178833, 0.5175957083702087, -0.6499145030975342, 1.389086127281189, 0.33646512031555176, -0.6188824772834778, -0.5145343542098999, -0.10696594417095184, -1.1011911630630493, -1.0683985948562622, 0.6412246823310852, -2.5719168186187744, -0.24761110544204712, 0.0949007198214531, 1.3145904541015625, -0.030386457219719887, 1.4157923460006714, -0.2198486477136612, 0.4616614282131195, -1.5313299894332886, 0.8869839310646057, 2.2907187938690186, -1.362478494644165, -0.1358109563589096, 1.4714304208755493, -1.000968337059021, -0.8489669561386108, 0.6209599375724792, 1.2641050815582275, 2.0910329818725586, 1.0359622240066528, -0.7659702301025391, -3.146968126296997, -0.11010034382343292, -0.4061264395713806, -0.11365551501512527, -0.16866876184940338, -0.29030948877334595, 0.32457172870635986, -0.45241105556488037, -1.9197760820388794, -2.3919742107391357, -0.0714210495352745, 0.8735888600349426, 0.1830553561449051, 0.7137080430984497, 0.6692495346069336, -0.3727685809135437, 1.0270695686340332, 0.13492292165756226, 0.362822026014328, -1.8162555694580078, 0.7701453566551208, -0.25478917360305786, 0.21179889142513275, -0.6283082962036133, 0.18514607846736908, -0.6951020956039429, -0.876880407333374, 0.8276094198226929, -0.5554007291793823, 0.7274584174156189, -0.14625860750675201, -0.6506984829902649, -0.6295846700668335, -0.1370062679052353, 1.076379418373108, -0.5984588861465454, -0.22602982819080353, -0.8615702986717224, -0.5284953713417053, -0.17165926098823547, -0.2606440782546997, -0.6219329237937927, -1.9045653343200684, -0.56513911485672, 1.7856415510177612, 1.7783666849136353, 0.32565921545028687, -0.2076062262058258, -0.8312292695045471, 0.5206255912780762, -1.3882203102111816, 1.2441877126693726, -1.4234447479248047, -0.21007320284843445, 1.0818349123001099, 0.19232425093650818, 0.1896989643573761, -1.0622572898864746, -0.3175298571586609, -0.31986474990844727, 0.4456314742565155, -0.2798035442829132, -0.6304230690002441, -0.48256024718284607, -0.48731186985969543, 1.2575442790985107, 0.4421702027320862, 0.3719751834869385, 0.30047690868377686, -0.353816419839859, 1.9874646663665771, -0.6612873673439026, -0.12505827844142914, -0.5354999899864197, -0.44779813289642334, -0.7387919425964355, -0.321063369512558, 0.11121055483818054, -0.3405435383319855, -2.1466574668884277, -0.3715527653694153, -1.1998873949050903, -2.1285171508789062, 0.5517445206642151, 1.327660322189331, -0.02082051709294319, 0.7650822997093201, 0.549383282661438, 0.3420928716659546, 1.5155948400497437, 0.875817596912384, -0.5912439823150635, 0.18955163657665253, 1.383750081062317, 0.19131599366664886, -1.6261752843856812, -0.3755827248096466, 1.4137531518936157, 0.23204746842384338, -0.6348072290420532, 0.6189244985580444, 0.03788076341152191, -0.4504098892211914, 1.6413369178771973, 0.23149637877941132, 1.2690017223358154, 0.6044208407402039, 0.6909181475639343, 0.9648349285125732, 0.07265444099903107, 0.9485762119293213, 0.3641236126422882, 1.3402752876281738, -0.04642432555556297, 0.2258729487657547, 0.33262935280799866, 1.144452452659607, -0.33960238099098206, 0.47867417335510254, -1.0416595935821533, 2.6894853115081787, 1.892002820968628, -2.138218641281128, 0.684510350227356, -0.3385114371776581, 1.3188129663467407, 1.397482991218567, 1.1113394498825073, 1.1005500555038452, -1.840046763420105, -1.479722261428833, -1.127943515777588, 0.12297747284173965, 0.4569695293903351, -0.7031753063201904, 0.07439291477203369, -0.4460746645927429, -0.6745057106018066, -0.5105838775634766, 0.2614111304283142, 0.7281267642974854, 1.0788023471832275, -0.5820669531822205, -0.21631741523742676, 0.3304058015346527, -2.414609670639038, 0.7872201204299927, 1.6982747316360474, 1.6590620279312134, 0.020264342427253723, 0.8252577185630798, 1.5857677459716797, 0.17004401981830597, -0.18706434965133667, -0.5528823733329773, -0.1407652199268341, 1.016749382019043, -0.35214802622795105, 0.23654374480247498, 1.2773067951202393, 1.4554834365844727, 1.1699339151382446, 0.2553104758262634, -0.5256568193435669, 0.26960289478302, -1.4684579372406006, -1.2048325538635254, 0.04781961441040039, -1.2265260219573975, 0.7592394948005676, -1.448310375213623, 0.5460448861122131, 1.5912017822265625, -0.679959237575531, 0.6266254782676697, 1.3898814916610718, 0.9850682616233826, -1.0662367343902588, 0.5514669418334961, 0.4527720510959625, 0.9185455441474915, -0.11093636602163315, 0.520811140537262, -0.4809909164905548, 0.35717228055000305, -0.9717248678207397, 0.12933941185474396, -0.8071879148483276, -1.342943549156189, -0.030580852180719376, -0.07295364886522293, -0.9935034513473511, 2.3083465099334717, 1.5115270614624023, -0.4852856993675232, 0.566486120223999, 1.5033971071243286, -1.3567607402801514, 1.242922067642212, 0.499788761138916, 1.4692494869232178, -1.0130358934402466, 1.0916335582733154, 0.5959411263465881, -1.6049705743789673, 0.4158494472503662, -1.506030559539795, -0.9021199941635132, 1.6673178672790527, 0.8179354667663574, -1.1876211166381836, -0.47864246368408203, -0.3625198304653168, -0.5894962549209595, 2.6145179271698, 0.28924834728240967, -1.9147082567214966, 1.1621441841125488, 1.2209571599960327, 1.7092307806015015, -0.5161237716674805, -0.643708348274231, 0.3022844195365906, -0.869703471660614, 0.6164374947547913, -0.1188177689909935, -0.6079846024513245, 0.5210007429122925, 1.1317760944366455, 0.23186424374580383, -1.2346110343933105, 0.7161638736724854, 1.0166326761245728, -0.8221805691719055, 0.4497777819633484, -0.33048713207244873, 0.08146976679563522, -1.2808659076690674, 1.6252719163894653, -0.4305987060070038, -0.2584664225578308, 0.4994138479232788, -0.4641507565975189, 0.19216971099376678, 0.45818784832954407, -0.4081117510795593, 0.015834592282772064, -1.0375356674194336, -1.3645164966583252, 1.4841474294662476, -1.5980843305587769, -2.1401286125183105, 0.5306950211524963, -0.24214763939380646, 1.016170859336853, -0.5717471241950989, -0.02156290039420128, -0.6620282530784607, -0.5541792511940002, -0.8486120104789734, 0.3095180094242096, -0.003983576316386461, 0.7292234897613525, 0.5726829767227173, -1.8483693599700928, -0.27344629168510437, 1.1270760297775269, 0.6555792093276978, -0.2283034473657608, -0.6895661950111389, -0.3272278904914856, -0.6448890566825867, -0.5458526015281677, 1.0745952129364014, 1.099128007888794, 1.3366882801055908, -1.8906060457229614, 1.2980618476867676, 0.0956711694598198, -0.9063877463340759, 1.8806086778640747, 1.2756251096725464, 0.41377848386764526, 1.1190346479415894, 0.6274622678756714, 0.11711331456899643, 0.7762994170188904, -0.6272255182266235, -0.08949674665927887, 1.1012110710144043, 1.5499686002731323, -2.203631639480591, 1.1826785802841187, -0.8012160062789917, 1.4100066423416138, -0.8988500833511353, -1.112284541130066, 1.0817608833312988, 0.37283146381378174, 1.5200921297073364, 0.7787330746650696, -0.534437894821167, 0.11781030148267746, 0.61129230260849, 2.020538091659546, -1.1122437715530396, 0.5594651103019714, 0.31144803762435913, 0.8732238411903381, -0.8516311645507812, 0.04170665889978409, 0.0007353340042755008, -1.1855781078338623, -0.07371266186237335, 1.3488045930862427, 0.8391597270965576, 2.4725539684295654, -0.1731770634651184, -0.20258492231369019, 0.2548491656780243, 0.19189497828483582, 0.2284976840019226, -0.09038357436656952, 0.6890167593955994, 0.3031340539455414, -0.8504211902618408, 0.19107656180858612, -0.6336938142776489, 1.1240897178649902, -0.3001275658607483, -0.9085395336151123, 0.8398007750511169, -0.2533584535121918, -0.23675817251205444, 0.25613585114479065, -0.2907804250717163, -0.699446439743042, -1.4628747701644897, 1.7579998970031738, -0.6700426340103149, -0.7859804034233093, -0.8457337617874146, -0.9251894354820251, -0.15801319479942322, -0.9414053559303284, -0.4196624457836151, -0.31062552332878113, 1.5069104433059692, 0.45725348591804504, 0.14524567127227783, 0.14787952601909637, 2.09035587310791, 0.5905818343162537, 2.0014994144439697, 1.4353729486465454, 1.1528164148330688, -1.679700493812561, -0.5859742164611816, 0.959129810333252, 0.19639690220355988, 0.777737557888031, 1.1766563653945923, -0.5089000463485718, 0.23785774409770966, 0.3452397286891937, -0.5203543305397034, -1.366464376449585, 1.4000362157821655, -1.146928310394287, 0.35318803787231445, -1.8881052732467651, -1.3403421640396118, -0.9959776997566223, 1.7337647676467896, -1.2821606397628784, 0.9049714803695679, -2.0727174282073975, 0.12795567512512207, 1.2074477672576904, -0.5009143948554993, 0.6996838450431824, -0.6321936845779419, 1.3513449430465698, -0.7260553240776062, -0.5651126503944397, 1.2327604293823242, 1.5203503370285034, -1.3340469598770142, 0.38898399472236633, -1.6127861738204956, 1.2763746976852417, 0.08681591600179672, -0.10081157088279724, -1.2654191255569458, 2.381112813949585, -0.28685447573661804, 0.8733746409416199, 0.8646400570869446, 0.03565717115998268, -0.3208296298980713, 0.8731513023376465, 1.034743070602417, -1.0455812215805054, -0.4293237626552582, -0.3755571246147156, 0.5813748240470886, 0.3528899848461151, -0.1139826625585556, -0.2806778848171234, 0.7083384990692139, -1.1540634632110596, -0.0009463636670261621, 0.12927863001823425, -0.8677639365196228, -0.7943181991577148, -1.0942268371582031, 0.37219393253326416, -1.4491989612579346, -0.21620111167430878, 0.12988360226154327, -0.07238801568746567, 0.9193471074104309, 1.2477517127990723, 0.3455127775669098, 0.5191921591758728, 0.07065993547439575, -0.28562653064727783, -0.3064766526222229, 0.14202946424484253, -1.6654636859893799, -0.4710024297237396, -0.8074659705162048, 0.8687295913696289, -1.119359016418457, -0.7704816460609436, -1.766688585281372, 1.2080926895141602, -0.5367185473442078, 1.184997797012329, 1.7109687328338623, 0.8095717430114746, 0.833816647529602, -0.44654032588005066, -1.2514866590499878, -0.9584818482398987, 2.727353572845459, 1.673003077507019, 0.2793853282928467, -0.6616628766059875, 0.6378042101860046, 1.544403314590454, 3.029741048812866, 0.05970419570803642, 0.1301439255475998, 0.32491007447242737, 0.17995890974998474, 0.7231228947639465, 0.48810988664627075, -1.4427456855773926, -0.08185803890228271, 0.6249904632568359, -1.7876393795013428, 0.40165644884109497, 0.5900740027427673, 0.2636870741844177, -1.0758097171783447, 1.2209948301315308, -0.2864321172237396, 1.1096656322479248, 1.0519676208496094, -1.3993555307388306, 1.2376600503921509, 0.2552854120731354, 0.018029291182756424, 1.629110336303711, -0.5509090423583984, 0.23597313463687897, -0.15257984399795532, -0.6868479251861572, 1.259951114654541, -0.4219570755958557, 1.0781902074813843, 0.42022719979286194, -0.21359087526798248, -1.2672009468078613, 0.8131350874900818, -1.1096892356872559, 0.35966283082962036, 1.433436632156372, 1.5564501285552979, -0.260280579328537, 0.09981096535921097, 1.3410383462905884, -0.3074662983417511, 1.3650227785110474, 0.5019757747650146, -0.8316367268562317, 0.6496087908744812, 0.016675079241394997, 0.9767147302627563, 0.848698079586029, 1.5787019729614258, -0.4776698648929596, 0.21794651448726654, 1.2254124879837036, -2.2004106044769287, 0.16803166270256042, -0.0651867687702179, 0.8563709855079651, -0.08354237675666809, -0.3566986918449402, -0.488839715719223, 1.4765127897262573, 0.9624696373939514, -0.6823764443397522, -1.4514496326446533, 0.030573135241866112, -0.2303534746170044, 0.007762017194181681, -0.9098928570747375, -1.223310947418213, -0.2529079020023346, -0.13513490557670593, 1.5767443180084229, -1.2211054563522339, -0.6301870346069336, 0.643730640411377, 1.301835298538208, 1.389333724975586, -0.3313741385936737, 0.7300616502761841, -0.1389826536178589, -0.5079913139343262, 0.700678288936615, 0.39405784010887146, -0.10843867063522339, 0.25164613127708435, 0.3624071478843689, -1.537325382232666, 0.19460828602313995, 1.7813777923583984, -0.6149979829788208, 0.47680479288101196, 0.18896351754665375, 0.4519369602203369, -0.040916558355093, -0.1325177699327469, 0.7187647223472595, -0.9107131361961365, -1.206024169921875, -0.4869290590286255, -0.286356121301651, 0.2689705491065979, 1.5032217502593994, 0.48865771293640137, 1.1512746810913086, -0.5254520773887634, 0.5507976412773132, 0.3217735290527344, -0.7832846641540527, 1.828341007232666, -0.7670162320137024, -1.5319793224334717, -1.0495561361312866, -1.569818139076233, -0.7489733099937439, -0.9210065007209778, 0.23507927358150482, -0.04664190858602524, 1.3613861799240112, 0.27287447452545166, -0.5386437773704529, 1.4989731311798096, -0.4090301990509033, -0.5814006924629211, 0.28677016496658325, 0.26321059465408325, -0.7170469760894775, -0.8778800964355469, 0.3274218440055847, 1.373245358467102, 2.3293285369873047, 1.6961102485656738, 0.8616948127746582, 0.35291776061058044, -0.7723076939582825, -0.9305091500282288, -0.30427682399749756, 0.651983380317688, -0.9847509860992432, 0.08246032148599625, -0.03519850969314575, -0.1722860187292099, 0.617239773273468, -1.9146513938903809, -0.9924284815788269, 1.4250383377075195, -0.6706786155700684, 0.36550697684288025, -2.1429617404937744, 0.3860916793346405, 0.7313840985298157, -0.1888868510723114, 0.09346159547567368, -0.17522680759429932, -0.4126574695110321, 0.9494252800941467, -0.2647416293621063, -0.2715027630329132, 0.9644917249679565, -0.8829264640808105, 0.3742744028568268, -1.2468020915985107, -0.8675701022148132, -0.5924285650253296, 1.196743130683899, 1.0149785280227661, 0.20018285512924194, 0.019332746043801308, 0.2152603417634964, 1.2962294816970825, -1.1864097118377686, 1.6337387561798096, -0.3011060655117035, -0.7531145811080933, -0.968429446220398, -0.4502239227294922, -0.4134889841079712, 2.3412179946899414, -0.2114247828722, 0.7047622799873352, 0.08048244565725327, 2.1614701747894287, -0.33635085821151733, -1.7306649684906006, 0.6951910257339478, -0.33693158626556396, 0.26714402437210083, -0.8856847286224365, -1.1742043495178223, -0.13747279345989227, -1.6447948217391968, 0.31041043996810913, 0.10410336405038834, 0.05797611549496651, -0.913089394569397, -1.843082070350647, 1.7380002737045288, -0.6116507649421692, -1.0312117338180542, -1.164237141609192, -0.18557608127593994, 0.6528753638267517, -1.0020185708999634, 0.8250850439071655, 1.8028807640075684, -0.4435095489025116, 1.4097992181777954, 0.3674382269382477, 1.2206790447235107, -0.6751130223274231, 1.2014089822769165, -0.4889996349811554, 0.12248944491147995, -1.7495460510253906, 0.9079000353813171, 1.28357994556427, -0.6703369617462158, -0.168792724609375, -0.957914412021637, 0.04783708229660988, -1.2182658910751343, -0.6299733519554138, -1.2653292417526245, -1.7634660005569458, 0.5670754313468933, 0.5413642525672913, 1.1650261878967285, 0.47512510418891907, -1.7869006395339966, 0.10479854792356491, -0.1616317629814148, -0.8410555720329285, -2.5556185245513916, 0.09360677748918533, 1.572411060333252, -1.090598702430725, 1.012551188468933, -1.3575583696365356, 0.6057603359222412, 1.0535147190093994, 0.33631566166877747, -2.1497223377227783, 0.6578114628791809, -0.672498345375061, -1.284934639930725, 1.445921540260315, 0.4772080183029175, -0.522803544998169, 0.15833178162574768, 0.08376762270927429, -0.44562262296676636, 0.5361801385879517, -0.4491329491138458, 0.14387738704681396, -0.17476733028888702, -0.487588495016098, 0.2912241518497467, 0.43989551067352295, 0.08569467067718506, -0.9725594520568848, 0.7026351094245911, -0.8632873892784119, -1.5713186264038086, 0.566351056098938, 0.08647678792476654, 0.8255103230476379, 0.3623337149620056, -1.1215295791625977, -0.09208498150110245, -0.10561761260032654, 0.9588532447814941, 0.8935558795928955, 0.8742579221725464, -1.70919930934906, -0.27419647574424744, -0.8631234765052795, -0.35551926493644714, -0.7726259231567383, 0.34694671630859375, -0.35705459117889404, -0.6094578504562378, 0.3604901432991028, -0.8189350366592407, 1.0897679328918457, 1.2362394332885742, 1.5625998973846436, -0.9732222557067871, 1.073033094406128, -2.0888078212738037, -1.776645302772522, 0.26934435963630676, 0.846649706363678, 0.7629689574241638, -0.8620204329490662, 0.35708707571029663, 2.049574136734009, 0.7242829203605652, -0.8240464329719543, 0.41192659735679626, 0.888694703578949, -0.02332393266260624, 0.6371617317199707, -1.6996170282363892, 1.3360755443572998, 1.637290120124817, 0.9134156107902527, 1.0053625106811523, 0.9126914143562317, -0.8799669146537781, 0.24198104441165924, -0.8614497780799866, -0.3905848264694214, 1.07422935962677, 1.6080670356750488, 1.0533933639526367, -0.7705222368240356, 0.7094416618347168, -1.5888625383377075, -1.4684405326843262, -0.40729209780693054, 0.9839588403701782, 0.5693156719207764, -0.8539152145385742, -0.15265436470508575, -0.37823012471199036, -0.15914839506149292, 0.5079148411750793, -0.2598266005516052, 1.5108228921890259, -1.1680594682693481, 0.8790904879570007, 0.11148877441883087, -1.2227469682693481, -0.128007709980011, 0.6001428365707397, -0.09158524125814438, -2.069615125656128, 0.5833582282066345, 1.267600417137146, 2.0658082962036133, -0.11145811527967453, -1.2408998012542725, 0.10076841711997986, 0.6087343692779541, 1.09490966796875, -0.673897922039032, 1.162103533744812, 1.1201660633087158, -0.12988951802253723, 1.417938470840454, 1.2473702430725098, -0.329673171043396, 0.6027786731719971, 0.6083667278289795, 0.3874492049217224, 1.4482362270355225, 0.3965644836425781, 1.1368763446807861, -0.12280632555484772, -0.5655546188354492, 0.5939374566078186, -0.5810553431510925, 0.13565942645072937, 0.2790122628211975, 0.9428159594535828, 0.7064530253410339, 0.32440972328186035, -0.9335923194885254, 0.40353885293006897, 1.8694450855255127, -0.6092559099197388, -0.2966637909412384, -0.5615630149841309, 1.9505434036254883, -1.4308140277862549, 0.704777181148529, -0.805021345615387, -0.2847177982330322, -1.333768367767334, -0.4886853098869324, 0.21181568503379822, -1.142264485359192, 1.654895544052124, -0.6788818836212158, -0.056120678782463074, -0.9519021511077881, -0.3056485950946808, -1.1685702800750732, 0.602799117565155, 1.1512500047683716, 0.640975832939148, 0.6010251045227051, 0.770562469959259, -1.3449543714523315, -0.14952203631401062, -0.6274268627166748, -1.2207450866699219, -1.0787698030471802, 0.23258234560489655, 1.5594797134399414, 0.20760878920555115, -0.44810751080513, 0.16858543455600739, 0.021370286121964455, -1.895845890045166, 0.37009167671203613, -1.0297030210494995, 0.013987215235829353, -0.20832201838493347, -1.1174812316894531, 0.06889024376869202, -0.2810751497745514, 0.5194681286811829, 1.3786721229553223, -0.17203770577907562, -1.3166433572769165, 0.3851548433303833, 1.748408317565918, 0.3513159155845642, -0.6817286014556885, 0.7365488409996033, 0.24380216002464294, -0.9862716197967529, 0.2487122118473053, -1.1114195585250854, 1.3589295148849487, 1.9481453895568848, 0.8826954960823059, -0.41410088539123535, 1.9963549375534058, -0.9570953249931335, -0.42006900906562805, -0.11259719729423523, -0.4845541715621948, 2.7983431816101074, 0.016620665788650513, -0.17249180376529694, 1.1391501426696777, -1.6210745573043823, 1.0163072347640991, 0.7766100764274597, 0.0791023001074791, -1.7153024673461914, -0.2933856248855591, 0.6503859758377075, -1.4389655590057373, 2.060023307800293, 0.6876176595687866, 0.801620602607727, 1.6606708765029907, 0.5986519455909729, 0.06454716622829437, 0.4068673253059387, 1.198309063911438, 0.8425881266593933, 2.489187479019165, -0.705376148223877, -0.5904154181480408, -0.845643162727356, 0.17975527048110962, 1.7207307815551758, -2.4562387466430664, 0.8740478157997131, -1.5552356243133545, 0.5296518802642822, 1.9209096431732178, 1.1728729009628296, 0.2526807487010956, -0.9018266201019287, 0.00654492387548089, 0.06732720881700516, 1.2659269571304321, -0.09894300997257233, 0.3834511339664459, -0.26922106742858887, 2.0387682914733887, -0.2597101926803589, 0.8990184664726257, 0.6749572157859802, -2.5332202911376953, 0.014078903943300247, 0.35047200322151184, 1.4390219449996948, 1.4096029996871948, -0.7166991829872131, -0.32402053475379944, -1.2245477437973022, 0.4962514042854309, 0.05150294303894043, 0.030159123241901398, -1.0215247869491577, 0.3972974717617035, 2.11041259765625, -0.6873834729194641, 0.188016876578331, 1.8497037887573242, 1.9564666748046875, 0.14332260191440582, 1.2913219928741455, -2.368044137954712, -0.21271774172782898, -0.22048501670360565, -0.4463672339916229, 0.6916132569313049, -0.786582350730896, 0.7336676120758057, -0.9864481687545776, -0.321627140045166, 1.1640173196792603, -1.751227617263794, -0.8454899787902832, -1.0561188459396362, -0.34796056151390076, 0.5309250950813293, -0.19031640887260437, -1.093779444694519, 0.42377254366874695, 0.6813453435897827, -0.3132498562335968, 0.38133832812309265, 0.017398791387677193, -0.18926583230495453, 0.8837577700614929, 0.31470242142677307, 0.07695857435464859, 1.0070838928222656, -1.796402931213379, 0.4703086018562317, 1.6279244422912598, -0.6284152269363403, 1.2524827718734741, -1.4721323251724243, -1.5557303428649902, -1.8423857688903809, -0.37688249349594116, 0.49845558404922485, 1.983006238937378, -1.518824577331543, -0.8592283129692078, -2.342580795288086, 0.15056145191192627, -2.1083407402038574, 0.5865169167518616, 1.3264585733413696, -0.713590681552887, -0.05216061696410179, 0.45491787791252136, 0.027164380997419357, 1.8309104442596436, -0.9262564778327942, 0.06738078594207764, -0.7121058702468872, 0.6065229773521423, 0.07830817997455597, -2.0813941955566406, -1.6946024894714355, -2.275239944458008, -1.342057228088379, -1.3838560581207275, 1.1488666534423828, 0.8545892834663391, -1.484869360923767, -0.9323726296424866, 0.18546609580516815, -1.1273759603500366, -1.0101439952850342, -0.8605561852455139, 0.8868582248687744, -1.6113309860229492, 0.7588376998901367, -0.8309174180030823, 0.20615164935588837, 1.7723965644836426, 1.1890195608139038, -0.7537585496902466, 1.338218331336975, -2.3625190258026123, 0.7342426776885986, -0.4507429599761963, 0.7600820064544678, 0.48231199383735657, -0.8042573928833008, 0.08191467821598053, 0.5383085012435913, -0.44108492136001587, 0.7126982808113098, -0.031444329768419266, -1.5129265785217285, 0.17063944041728973, 0.9212676882743835, -1.4670345783233643, 0.21006937325000763, -0.5719926953315735, 1.7619388103485107, 1.05191969871521, -1.0641577243804932, -0.7166780233383179, 0.6115356683731079, 0.4192769229412079, -0.9969730973243713, 0.40422534942626953, -0.33555272221565247, 0.9581996202468872, 0.020971976220607758, 0.5832300782203674, -0.415225088596344, 1.186097502708435, 0.33656421303749084, -0.39247778058052063, 0.9897266626358032, 0.8289650678634644, 0.782512903213501, 0.48329073190689087, -1.0121911764144897, -0.0057675763964653015, 1.0544906854629517, 1.6775274276733398, -0.7211561799049377, -1.5340851545333862, 1.7130175828933716, 0.7570656538009644, -1.6742795705795288, 0.7931667566299438, -1.0437464714050293, 0.30269724130630493, 0.6550590991973877, -0.4130929708480835, 1.4872623682022095, 0.7571020126342773, -0.8687357902526855, -0.26309528946876526, 0.07829493284225464, 0.7729811668395996, -0.3802672028541565, -1.4262596368789673, 2.0378270149230957, -0.8870314955711365, -1.4321249723434448, 0.29264307022094727, 1.1621016263961792, -2.3424088954925537, -0.19118525087833405, -2.9786102771759033, -0.6091228127479553, 0.36590781807899475, 0.7184649109840393, -0.03888370841741562, -0.339468389749527, 0.6520390510559082, 0.44288983941078186, 2.074463129043579, 0.26870521903038025, -1.0010695457458496, -0.8122458457946777, 0.37879613041877747, -0.05771910771727562, 0.2708364427089691, -0.47598037123680115, 0.10655930638313293, -0.7906923294067383, 0.2682773172855377, -0.5824294090270996, -0.3425712585449219, 0.4904223680496216, 0.8608396649360657, 1.9262688159942627, 2.175070285797119, -0.33343422412872314, -0.027208523824810982, 0.5761779546737671, -0.9383825659751892, 0.059306949377059937, 0.28959769010543823, 0.3259313404560089, -0.2546791434288025, -1.736990213394165, 0.8739844560623169, 0.35042521357536316, -1.2228503227233887, -1.0335654020309448, 1.3095381259918213, 1.5261269807815552, 1.2873287200927734, -0.4964136481285095, 0.4535132646560669, -0.8845956921577454, 0.5330414772033691, -1.028131127357483, 0.013734540902078152, 0.4757523238658905, 0.2560475766658783, -0.8012654781341553, 1.3635927438735962, -1.3162853717803955, -1.1026761531829834, 1.4986034631729126, 0.16425561904907227, 0.3945198357105255, 0.35554611682891846, -0.6127477884292603, 0.4545556306838989, -0.9209896922111511, 0.5361883640289307, 0.08232584595680237, 0.382882684469223, 2.327554941177368, -0.010405395179986954, -0.6846074461936951, -0.9958642721176147, 0.7031370401382446, 1.0047142505645752, 0.41802287101745605, -0.29768499732017517, 0.8439900875091553, -1.4260004758834839, -0.3592985272407532, -1.0083805322647095, -0.4395804703235626, -1.4977518320083618, 0.699643075466156, 1.4098457098007202, -1.2821251153945923, 2.523390769958496, 1.3808592557907104, 0.4819633364677429, -0.29324379563331604, -0.3666221797466278, -1.6757498979568481, 0.24197183549404144, 1.6150507926940918, 0.5056332349777222, -1.0323160886764526, -1.7665987014770508, 1.7736616134643555, -0.10083745419979095, -0.5232158899307251, 1.231918454170227, -0.2146487534046173, 0.05003475397825241, -0.3746960759162903, 1.239977240562439, -1.5976204872131348, 1.781083345413208, 0.2850421369075775, 0.6721288561820984, -2.120046615600586, -1.1528537273406982, 1.6495779752731323, -0.19973303377628326, 1.8148390054702759, 0.11305200308561325, 0.34337347745895386, 0.8500035405158997, 1.2401702404022217, -0.5002222657203674, 0.12319151312112808, 0.392764687538147, -1.1553555727005005, 2.6690292358398438, 0.7319360375404358, -0.16469773650169373, -0.28563353419303894, -0.7670474648475647, 0.09201379865407944, 0.7716286182403564, 0.21540986001491547, -2.2924983501434326, 0.5908000469207764, -0.5916226506233215, 1.2976385354995728, -0.9899399280548096, 1.1813818216323853, 0.6325834393501282, -1.2601033449172974, 0.06993033736944199, -1.5954326391220093, 0.44721341133117676, -0.8179047107696533, -1.8223992586135864, -0.8991442322731018, -1.7650656700134277, 0.9437695741653442, 1.427775263786316, -0.433249831199646, 0.7530497908592224, 1.072245717048645, -0.7696130871772766, -1.8347231149673462, 0.5975261926651001, -0.6698148250579834, -0.35425570607185364, -1.5088540315628052, -0.5814441442489624, -0.07794450223445892, 1.0486669540405273, 0.9023522734642029, 0.06459927558898926, -1.3785544633865356, 0.1584475040435791, -0.25688305497169495, -0.205380380153656, 0.430086225271225, -0.7109291553497314, 2.198328971862793, -1.302374005317688, 1.5678704977035522, 0.25607728958129883, -0.9905024766921997, -1.3436685800552368, -2.2863447666168213, 1.5493669509887695, -0.026831746101379395, -1.3781763315200806, -1.0800411701202393, -0.6906135678291321, -2.0905299186706543, -0.7840245962142944, -0.5049647688865662, -0.07923953235149384, 0.6787891387939453, -1.177565097808838, 1.1031514406204224, -0.4189116358757019, -1.1247063875198364, -0.44805029034614563, 1.3827183246612549, 0.520071268081665, -1.791865348815918, -0.1792815923690796, 0.34823155403137207, -2.4197473526000977, 1.3452728986740112, -0.4713214635848999, -0.8298953771591187, 0.7436122894287109, 0.7489900588989258, 0.36264434456825256, -0.2788967490196228, -0.5373151302337646, 1.9757752418518066, -1.204214096069336, 0.04684390500187874, 0.21664008498191833, -1.118579626083374, 0.22904829680919647, 1.693085789680481, 0.6747234463691711, 1.096131443977356, 0.0612434446811676, -1.4375594854354858, 0.7315827012062073, 0.24064306914806366, -0.23263487219810486, -0.660836398601532, 0.4298458695411682, 0.14293961226940155, 1.3202297687530518, 1.073301911354065, 1.044585108757019, 0.9046758413314819, -0.28297683596611023, -0.05907810479402542, 1.3760863542556763, 0.9947105050086975, -1.7908954620361328, -0.26278191804885864, 1.9571315050125122, 0.014575403183698654, -0.39708253741264343, -0.21065644919872284, 0.9406061172485352, 0.3463860750198364, -1.3773480653762817, -0.8786326050758362, -0.32441475987434387, 0.4048285186290741, 0.13537254929542542, 0.34850648045539856, 0.009358896873891354, 1.5290929079055786, -0.6087920665740967, -0.9658787846565247, 1.561859130859375, -0.5780924558639526, 0.9002923369407654, 0.8357697129249573, -0.8898476958274841, 1.84823739528656, -0.2374185174703598, 1.4275890588760376, 0.5780420303344727, -0.7864971160888672, 0.9287136793136597, 0.8949424028396606, 2.1403090953826904, 0.3708432912826538, 2.6662228107452393, 0.012229169718921185, -0.04081447049975395, -0.4256044924259186, -0.13872015476226807, 0.38069602847099304, -2.5222058296203613, -0.48859313130378723, -0.18401898443698883, -0.6608206629753113, -0.21129001677036285, -0.4153091609477997, 0.18005040287971497, -1.7125189304351807, 1.7045494318008423, -0.791492223739624, -0.7603641748428345, -0.4932900667190552, -0.20312096178531647, 1.0479912757873535, -1.2930530309677124, -0.1354377120733261, -0.4925606846809387, 0.5769426226615906, 1.7010812759399414, -1.0764412879943848, -0.8474054932594299, -1.7703940868377686, -0.1436629295349121, 0.10453882813453674, 1.1810603141784668, -1.599687099456787, -0.6800570487976074, 1.1789262294769287, -0.6207326054573059, 0.864082396030426, 0.17877492308616638, -1.0889214277267456, 1.3666260242462158, 0.352821409702301, 1.34895920753479, 2.329718589782715, -0.5662677884101868, -0.9774950742721558, 0.2428782433271408, 1.1055316925048828, -0.23482199013233185, -0.6903132796287537, 0.2430606186389923, 0.7935561537742615, -0.07186898589134216, 0.36716723442077637, -0.23790784180164337, -0.0759616568684578, 1.6126717329025269, -0.6760479211807251, 1.001194953918457, -0.3989945948123932, 0.5511864423751831, 0.019575277343392372, 0.47206270694732666, 0.9452595710754395, 1.2754086256027222, 0.0034288030583411455, -0.22168663144111633, -1.0884402990341187, 0.5020402073860168, 1.2135214805603027, -0.879305899143219, -0.8282855749130249, -0.9717016816139221, -0.4275839030742645, -0.43473002314567566, -0.5686168670654297, -0.1667710244655609, 0.036065828055143356, -0.30333977937698364, -0.25809183716773987, -0.5146399736404419, 0.6577754616737366, -1.5788800716400146, -0.1725904792547226, -0.15823465585708618, -0.5321685075759888, -0.22363997995853424, 1.5508955717086792, 0.9531225562095642, 0.6965094208717346, -1.7084131240844727, -0.7116242051124573, -1.014029860496521, -0.6465194225311279, 0.34315329790115356, -2.976154088973999, -1.7719162702560425, -0.06691120564937592, -1.101776361465454, 0.8224944472312927, 0.5051708221435547, 1.0762686729431152, 0.10641185194253922, 1.065561056137085, 1.070621132850647, -0.02366645261645317, -0.6823679208755493, 0.8695215582847595, 0.3341759443283081, -0.7102451920509338, -0.6033115983009338, -1.0400099754333496, -0.7504693865776062, 0.9208924770355225, 0.04467852786183357, 0.47634798288345337, 1.386339783668518, -1.9168835878372192, -1.438802719116211, -0.40675514936447144, -0.8252754211425781, -0.7639911770820618, 0.7572080492973328, 0.8566206097602844, -0.13968829810619354, -0.790935754776001, 0.2668215334415436, 1.546424150466919, -2.138634443283081, -1.358293890953064, -0.12429757416248322, -0.5260211229324341, 1.2327423095703125, -1.4786394834518433, 0.6963680386543274, -0.755002498626709, -0.8537697196006775, 0.5354032516479492, -1.632914662361145, -0.21580250561237335, -0.8139194846153259, 1.5986599922180176, -0.6763617396354675, 0.8563793897628784, 0.7035563588142395, -1.269421100616455, 1.703324556350708, -0.42878666520118713, 2.4048233032226562, -1.1056467294692993, 0.3734521269798279, 2.4025590419769287, 0.08607060462236404, 0.30357059836387634, -1.1473133563995361, 1.3530595302581787, -0.505989134311676, 0.15798036754131317, -0.017830079421401024, 1.3645734786987305, 0.5157687664031982, 0.8784067034721375, 1.4658477306365967, -0.6034854650497437, 0.5575762391090393, 0.40610480308532715, -0.5109459757804871, 0.014211725443601608, -0.7341530323028564, 0.8354141116142273, -0.9187362194061279, 0.46157923340797424, -0.44451138377189636, -0.10740630328655243, 0.17023225128650665, -0.6785476207733154, -0.18904705345630646, -0.6184749007225037, -0.5568249225616455, 0.4609680473804474, -0.13553422689437866, 0.11168907582759857, -0.806337296962738, 1.4073165655136108, -1.611457109451294, -0.008179714903235435, 0.3384000360965729, 0.2577545940876007, 1.0546330213546753, 0.22702297568321228, -0.791705846786499, -0.20219159126281738, 2.826016902923584, -0.3257518410682678, -0.7022653818130493, -0.30131831765174866, -0.6785428524017334, -0.590327262878418, -0.2547595202922821, -2.2472238540649414, -1.7673648595809937, -0.5610800981521606, 1.2496883869171143, 1.5297883749008179, -0.18947742879390717, 0.19972993433475494, 0.9547975659370422, -0.22099334001541138, 2.265111207962036, 0.14914904534816742, -0.5413424968719482, 1.1729673147201538, -0.5634678602218628, 1.530712366104126, -1.5597962141036987, -0.833301842212677, -1.372849702835083, 0.6973081231117249, 0.5708625912666321, -0.1812356561422348, 1.9778128862380981, 1.264697551727295, -1.212384581565857, -0.05758074298501015, 0.0350545197725296, 1.7026487588882446, -0.9033865928649902, -1.0279018878936768, -1.4612290859222412, 2.428251266479492, -1.1973066329956055, -0.11843977868556976, 0.6779569387435913, -0.4636217951774597, -1.0160504579544067, 0.8609520792961121, 2.488103151321411, -0.16163745522499084, 0.955504834651947, 1.3444114923477173, -0.6366824507713318, 0.9863306879997253, 0.8950049877166748, -0.404415488243103, 1.0423197746276855, 0.28138720989227295, -0.45262911915779114, 0.027032721787691116, -0.8254881501197815, 0.6372755169868469, 0.12706932425498962, -1.3497726917266846, 0.6722792387008667, -1.8323779106140137, 1.593551754951477, -1.9522024393081665, 0.4512912631034851, 0.7970873117446899, -0.7685613632202148, 0.0737236738204956, 1.2760162353515625, -0.5290720462799072, -0.052892036736011505, -0.30735403299331665, 0.4138748347759247, -1.754080057144165, 0.051415108144283295, -0.3772125542163849, -0.11689671874046326, -0.2736368179321289, 0.714776337146759, 1.0629855394363403, 0.023023704066872597, 0.4022822976112366, 2.0262551307678223, 0.5057402849197388, 0.3402944803237915, 0.4816734790802002, 1.390890121459961, -0.8677338361740112, -0.32377877831459045, 0.6071318984031677, -0.4522441029548645, -1.1900455951690674, -1.9379867315292358, -0.5796498656272888, 0.44436463713645935, 0.513419508934021, 0.5513926148414612, 0.8771820068359375, -0.4616902768611908, 0.32513394951820374, -0.3160175085067749, 0.605947732925415, 0.9704461693763733, -0.7913176417350769, -1.0251274108886719, 0.6533266305923462, -0.4142698645591736, -0.15008047223091125, -0.5410858988761902, 1.1280938386917114, 0.2716366648674011, 0.37164026498794556, -1.333885669708252, -1.8211878538131714, -0.8127175569534302, -1.6880667209625244, 0.4322320818901062, -0.05824442207813263, 0.16122280061244965, -0.5380316376686096, -0.13518871366977692, -0.3644017279148102, -1.2695859670639038, -2.8373701572418213, 0.5953783988952637, 1.2026571035385132, -0.40195751190185547, -0.8309062123298645, 1.037538766860962, 1.8661571741104126, -0.456389844417572, -0.9158834218978882, -0.026758862659335136, -0.8646079897880554, -0.06565611809492111, -0.2855638861656189, -0.8925981521606445, -0.05296701565384865, 0.5460077524185181, -0.10050547122955322, 0.19427098333835602, -0.561927318572998, -2.256869316101074, 1.4628560543060303, -1.0249062776565552, 0.9973917603492737, -0.006909881252795458, -0.44378602504730225, -1.2455447912216187, -0.6428210735321045, 1.1041983366012573, -0.8696575164794922, 0.6613050699234009, -0.012145113199949265, 0.7464002370834351, 0.17902423441410065, 1.5003941059112549, -2.318660020828247, 0.5038697719573975, -0.20586419105529785, 0.4585023820400238, -0.38022667169570923, -0.720629096031189, 0.8231132626533508, 1.9806207418441772, 1.4615610837936401, -0.3461602032184601, -1.907679557800293, 0.21679401397705078, 1.2110621929168701, 0.17560520768165588, 1.8352890014648438, 0.7718490958213806, -0.49729934334754944, 0.36084848642349243, -0.4396968185901642, 0.7059527039527893, 0.12780997157096863, -0.4696660339832306, 1.866955041885376, -1.3925137519836426, 0.6783688068389893, -1.5725574493408203, 0.4023849666118622, 0.536579966545105, -0.3213253319263458, -1.6527307033538818, -1.0575486421585083, -0.5074784755706787, -0.22104717791080475, 1.1576697826385498, -1.5127089023590088, -1.7447333335876465, 0.7399917840957642, 0.11128022521734238, 0.8989608883857727, 1.078674077987671, -1.1498899459838867, -0.28102046251296997, -0.8295078873634338, -0.7047621011734009, -1.0814322233200073, -2.071244478225708, 0.9422251582145691, 2.3144705295562744, 0.6455457806587219, 0.3256790041923523, -1.451036810874939, -0.6643370389938354, -1.428675889968872, 2.0069658756256104, 1.0523923635482788, 0.5545143485069275, 1.3226633071899414, -0.9567003846168518, 0.9786583185195923, 0.40071502327919006, 1.2979055643081665, -0.24363534152507782, 0.37514689564704895, -0.7025671005249023, -0.7497366666793823, 1.1281678676605225, -0.0219071377068758, -0.19578799605369568, -1.601219892501831, 0.15417863428592682, -1.280571699142456, -2.205143690109253, -0.12933139503002167, -0.2793657183647156, 0.14332208037376404, -0.31124117970466614, 0.14913137257099152, 1.4501311779022217, 0.24512986838817596, -0.08136343210935593, -1.2568491697311401, -1.0769871473312378, -1.4262230396270752, 1.803432583808899, -0.4867791533470154, 0.009722983464598656, -0.14289896190166473, -1.321117877960205, 0.6579472422599792, -1.42307710647583, 1.4260413646697998, 0.3952173590660095, 0.3087010681629181, 0.3402952551841736, -2.8438467979431152, 0.6507081985473633, 0.9534375071525574, 0.46191397309303284, -0.9769760370254517, 0.22878484427928925, -1.8398467302322388, -1.40696120262146, 0.7361558079719543, 1.0261491537094116, -0.8292481899261475, -1.3021410703659058, 0.4990626573562622, 0.14601357281208038, -0.15822668373584747, 0.5630433559417725, -1.0383762121200562, 1.340964674949646, -0.7106167078018188, -1.1996777057647705, -0.8487752676010132, -1.3216490745544434, -0.9093625545501709, 0.5703374147415161, 3.214073896408081, -0.7862172722816467, -1.0064080953598022, -1.4023243188858032, 0.5468199253082275, -0.194174125790596, -0.8639625310897827, -0.9877073168754578, -0.37570756673812866, 0.029596460983157158, 0.40308061242103577, -0.3915743827819824, -0.280942440032959, 0.7530452609062195, -0.04871756210923195, 1.3054364919662476, -0.7545873522758484, 2.0681183338165283, 0.3891271650791168, 0.957054615020752, 1.5440689325332642, 0.5656667947769165, -0.17737308144569397, -0.9133390188217163, 0.7219403386116028, -2.2135236263275146, -0.6384342312812805, 1.5963079929351807, -0.18666492402553558, 0.7779427170753479, -0.46519768238067627, -0.4159999191761017, -0.37535202503204346, -0.21570254862308502, -0.8409770131111145, 0.1057799756526947, 2.433316707611084, 0.5038719773292542, -1.2380359172821045, 0.5997281670570374, 1.1255450248718262, -1.1733291149139404, 0.40650227665901184, -0.258666455745697, -1.3647387027740479, -0.04779034107923508, -1.5990893840789795, -0.14570826292037964, -0.7760602235794067, -0.18089382350444794, -1.9921060800552368, -0.05673760175704956, -1.3894215822219849, -0.09001509845256805, -0.18520532548427582, -0.4569307863712311, 0.40803730487823486, 0.3651221990585327, 0.17050838470458984, 1.323583722114563, 0.13126908242702484, -0.5691565275192261, -0.9343187808990479, 0.5552651286125183, -0.5421997904777527, 0.5169859528541565, 0.804161012172699, -0.13325871527194977, 0.2935258448123932, 1.4824918508529663, 0.23261547088623047, 1.480546236038208, -0.1678704172372818, -1.3023626804351807, -0.3363857865333557, -0.7669781446456909, 1.6168549060821533, 0.7153265476226807, 0.07484602183103561, 1.3314253091812134, 0.402108758687973, -0.13460659980773926, 0.6763150095939636, 1.3220139741897583, -0.8588709831237793, -1.7069255113601685, 0.37244555354118347, 1.1736363172531128, 0.7329166531562805, -2.1367080211639404, 0.8041168451309204, 0.294226735830307, 1.0160164833068848, 1.4769421815872192, 0.8433600664138794, -1.8222362995147705, 0.3982340693473816, 0.09729747474193573, 0.1215890496969223, 0.7117167115211487, 0.8056764602661133, 0.3104487955570221, 0.5523402690887451, 1.038105845451355, -0.14969772100448608, 0.32684406638145447, 0.8893753886222839, -0.5669970512390137, -1.018345594406128, 1.0038163661956787, 0.850147008895874, 0.7064014077186584, -0.9294682741165161, 0.12493984401226044, -0.7197881937026978, -1.3118257522583008, -1.8082153797149658, -0.4562380313873291, -1.6775648593902588, 0.6371487379074097, -0.7894905209541321, -0.6994689702987671, 0.5608507394790649, 0.8347525596618652, -0.6636144518852234, -0.7468733787536621, 0.7838752269744873, 0.49061962962150574, 0.7287555932998657, -1.9488970041275024, 0.4679613709449768, 0.5067522525787354, -0.453085333108902, 1.027445673942566, -0.3767907917499542, -0.03013552352786064, 1.0437662601470947, 2.0040152072906494, 0.9945706725120544, -0.13323107361793518, 0.8037111759185791, 0.9081643223762512, 0.7976824641227722, 1.4740045070648193, 0.7698796987533569, 0.33698832988739014, 0.4614429175853729, -0.7072174549102783, -0.48690152168273926, -1.6481345891952515, -0.27428388595581055, -1.1639056205749512, 0.17098085582256317, -0.13170628249645233, 1.3728852272033691, 1.2850900888442993, -0.6693536639213562, -1.5130940675735474, 0.7762717008590698, -1.0856114625930786, 0.6282978653907776, -2.754415512084961, -0.17946992814540863, -0.1360110491514206, 0.8207570910453796, -0.949367344379425, 2.372080087661743, 0.618638277053833, 0.34128570556640625, -1.0797631740570068, 2.446444034576416, -0.8204933404922485, -0.5860713720321655, 0.3571087121963501, -0.22827577590942383, 0.002080765552818775, 1.4095005989074707, 0.8501271605491638, 0.09822835773229599, 0.24072614312171936, 0.21652550995349884, 1.7183951139450073, -0.6995806694030762, 0.5923497676849365, 1.3319860696792603, -1.3194385766983032, 0.030496884137392044, -0.3161998391151428, 0.4129551649093628, 0.6284464597702026, -2.164254903793335, 0.9504709243774414, -1.169312596321106, -1.0063776969909668, 1.5492051839828491, 1.2467443943023682, -1.3247076272964478, 1.1440876722335815, -0.4371289610862732, 0.8057686686515808, 0.22695164382457733, 0.10307751595973969, 0.12472810596227646, -0.16814376413822174, 0.43687108159065247, -0.060881998389959335, 2.3156790733337402, -0.30444076657295227, -0.6387333869934082, 0.27818769216537476, -1.3359371423721313, 0.49391940236091614, -1.2040854692459106, 0.39832603931427, -1.1108155250549316, 0.3781009018421173, -0.9103321433067322, -0.5524680614471436, 1.7052404880523682, -0.5347774028778076, 1.2189457416534424, -0.5544028282165527, 0.8751290440559387, 1.701896071434021, -1.79177725315094, -0.6698095202445984, 0.5044694542884827, 1.9013115167617798, 0.9696375727653503, -1.7774418592453003, 0.17070555686950684, -0.03604442626237869, 0.5663330554962158, -1.046414852142334, 1.2959238290786743, 2.1096994876861572, 0.05391070991754532, -0.6099068522453308, 1.1071242094039917, 0.2154252529144287, 1.1878641843795776, 0.9525984525680542, 0.257068008184433, 0.48178011178970337, -1.9054347276687622, -1.263759970664978, 0.005865800194442272, -0.01653500646352768, -0.19510026276111603, 1.0272955894470215, 0.111846923828125, 0.10641259700059891, 0.015014462172985077, -1.2990044355392456, 0.8657599091529846, 0.9058072566986084, -0.8596557974815369, -0.9479014873504639, 0.8394662141799927, -1.561581015586853, -1.1161425113677979, -3.1477675437927246, -0.6970415115356445, -1.4149099588394165, 1.5536220073699951, 1.688612699508667, 0.1824009120464325, -0.49321290850639343, -0.03669112175703049, -0.14899887144565582, 0.43116095662117004, -1.7808500528335571, -0.17675793170928955, -1.2202929258346558, 1.1583564281463623, 1.8459699153900146, 0.5492228865623474, -0.13026078045368195, -0.8185121417045593, 0.007007350213825703, -0.6306448578834534, -0.5452102422714233, -1.770295262336731, -0.19702266156673431, 1.6298502683639526, -0.8512179255485535, 0.4013691544532776, -1.365862488746643, 0.2883446514606476, -0.003139688866212964, 1.2288975715637207, -0.24249215424060822, -1.4168983697891235, 1.0302733182907104, -0.09257223457098007, 0.20103536546230316, 0.8349610567092896, 1.0604829788208008, -1.6383488178253174, 0.8183247447013855, -0.1752663105726242, 0.271106094121933, -1.9063621759414673, -0.6180891394615173, 0.4919724464416504, 0.6478384733200073, -0.27447736263275146, -0.3435748815536499, 1.519092082977295, 0.048395901918411255, 0.428367555141449, 1.658724308013916, 1.5857754945755005, -0.2977356016635895, 1.3464781045913696, 0.8289872407913208, -0.05641776695847511, 2.060774087905884, 0.07665298879146576, 1.074487328529358, -2.0292012691497803, -0.3486444652080536, 0.3044129014015198, 0.5876895785331726, -1.3672995567321777, 0.6596494913101196, 0.5807549357414246, -0.5939425826072693, -0.5101297497749329, 0.09595378488302231, -0.39896199107170105, -0.07362045347690582, -1.020264744758606, -0.351871520280838, 0.09213831275701523, 0.5687751770019531, 0.6834576725959778, 0.6484105587005615, -0.708402693271637, 1.2940725088119507, -0.38838598132133484, 0.14771848917007446, -0.21889467537403107, -1.0916268825531006, 0.0634547770023346, -0.19975070655345917, -0.031745534390211105, -1.157765507698059, -0.7925711870193481, 0.46467339992523193, 0.8230786323547363, 0.5435307621955872, 0.7077553868293762, 0.9973565340042114, -0.6776050329208374, 0.675502598285675, -0.8470065593719482, -1.1852163076400757, 1.160929799079895, -0.42781323194503784, -0.8995479345321655, -2.5753390789031982, 1.1072661876678467, -0.0868096649646759, 1.2871147394180298, 0.020472416654229164, -0.35103124380111694, 0.3716621398925781, -0.8524070382118225, -0.7210385799407959, -2.337040662765503, 1.94502854347229, -1.3301653861999512, 0.33453643321990967, 0.8949109315872192, 1.5020920038223267, 0.6128978729248047, 2.571180582046509, 0.2191566377878189, -0.15964588522911072, 0.2066192775964737, -0.06959693878889084, -0.39556097984313965, -0.5709992051124573, 1.108482837677002, -0.03743179887533188, 0.5112531185150146, 1.5946002006530762, 0.09747201204299927, -1.1595417261123657, 1.0953086614608765, -1.3428393602371216, 0.3758145272731781, -1.381521463394165, -0.12615014612674713, -1.3446897268295288, -1.7580498456954956, -0.20389020442962646, 1.2289154529571533, -1.8526153564453125, -1.0151504278182983, -0.3111116588115692, -1.1929019689559937, -1.3399734497070312, -0.6366425156593323, -0.26294276118278503, -1.0223877429962158, -0.16671079397201538, -1.0001745223999023, 0.765495777130127, -0.4047236442565918, 0.13883309066295624, -0.4238538444042206, -0.6954142451286316, 0.13169845938682556, 0.3412133753299713, 0.5846223831176758, 0.5976516008377075, -0.021651731804013252, -1.279586672782898, 1.1739524602890015, -1.1809595823287964, 0.44952282309532166, -2.1625139713287354, 0.26197972893714905, 1.5869410037994385, -1.7030917406082153, 1.1523181200027466, 0.1966511309146881, -0.46012014150619507, -2.210253953933716, -0.26048925518989563, -2.4361720085144043, 1.5001060962677002, 0.15274636447429657, -0.059372276067733765, -0.30266398191452026, 0.8636735081672668, -1.2010148763656616, -0.10060998052358627, -0.018464231863617897, -0.2109670788049698, 1.7176923751831055, -0.6229978203773499, -0.02911759912967682, -0.606333315372467, -1.060394525527954, 0.18439967930316925, -0.8539478778839111, 0.7869278788566589, -0.7832194566726685, 0.8415673971176147, -1.135487675666809, 0.6502375602722168, 0.7681106328964233, -1.0940066576004028, -0.6487793326377869, 0.9454407691955566, 0.08533818274736404, -1.6582547426223755, 2.1067862510681152, -0.6595569252967834, 1.5360748767852783, 2.1362571716308594, 0.5862946510314941, -0.5819031596183777, -0.7653435468673706, 0.26123273372650146, -1.4928487539291382, -0.2540725767612457, -0.12449546158313751, 0.014206337742507458, -0.9828242659568787, 0.7088938355445862, 0.33168676495552063, 1.9297664165496826, -0.7345150113105774, -0.2458617240190506, 1.1010254621505737, -2.419985771179199, 0.03929698094725609, -0.011485683731734753, -0.7100014090538025, 0.8664057850837708, -2.2020926475524902, -0.5268772840499878, -0.41668984293937683, -0.8427982330322266, -0.42051976919174194, -1.1350808143615723, -0.3753502070903778, 0.9271207451820374, 0.03998078405857086, 0.29707521200180054, -0.798509418964386, 1.001997947692871, -0.18438327312469482, 0.03865349292755127, 0.6386086344718933, 0.26167747378349304, 0.49277031421661377, -0.36690738797187805, -0.4068230092525482, 0.7727687954902649, -1.6188677549362183, 0.6198911666870117, 0.6918912529945374, -0.323054701089859, 1.6062086820602417, -0.18586142361164093, 1.2099798917770386, 1.6418741941452026, -1.9238958358764648, -0.3980834186077118, -2.1532859802246094, -0.6546217799186707, -1.698944330215454, -2.1923632621765137, 0.5315296053886414, -2.003965377807617, -1.0781508684158325, -0.9142802357673645, 0.14915668964385986, 1.302862286567688, 0.26937365531921387, 0.15960246324539185, 0.6083722710609436, 0.7098438739776611, 0.9243079423904419, 1.6724785566329956, -0.08722881227731705, 0.46765604615211487, 1.142835259437561, -0.005868778098374605, 0.33419838547706604, 1.3584227561950684, -0.9937730431556702, 1.4082350730895996, 1.074761986732483, -0.28503620624542236, 0.5397375226020813, 1.6047353744506836, 1.3025981187820435, 1.3686379194259644, -0.8141425848007202, 1.1657969951629639, 1.2262214422225952, 0.5350462198257446, 0.09115197509527206, 0.4155534505844116, 0.43632248044013977, 1.1501126289367676, -1.1173036098480225, -1.720725417137146, -0.5254756808280945, 0.35106536746025085, 0.22240455448627472, 0.49051979184150696, -0.8927685022354126, -0.4407969117164612, -1.1170530319213867, -1.6758030652999878, -0.8649450540542603, -0.577240526676178, 1.7194842100143433, 1.3302466869354248, 1.443914771080017, 0.9188135862350464, -0.6921838521957397, -0.6916066408157349, -1.1202661991119385, 0.6913594007492065, -0.2596346139907837, -1.3443937301635742, -0.365866482257843, -0.9002723693847656, 0.6642175316810608, 1.6877247095108032, -0.8992799520492554, -1.6860066652297974, 0.07902456074953079, -0.04478360339999199, 0.8713011145591736, 0.7700077295303345, 0.3683994710445404, -0.7939407229423523, -2.968406915664673, 0.2638266384601593, 0.41075047850608826, -1.449249505996704, 1.3605619668960571, 0.0959470272064209, -0.6593145728111267, 0.0003342171257827431, -0.7698522210121155, -1.105724573135376, 0.930536687374115, 0.6283566951751709, 0.9046257734298706, -0.5195983648300171, -0.4000515937805176, -0.13124200701713562, -0.30698147416114807, -0.21928651630878448, 0.5236867666244507, -0.9081556797027588, -0.5017426013946533, -1.6147353649139404, -0.9302539229393005, 1.226677656173706, -0.5139610171318054, -0.7826957106590271, -2.342099905014038, -0.3901831805706024, -0.44618040323257446, 0.5608844757080078, 1.3313425779342651, 0.9436338543891907, -0.3299880623817444, 0.4112343192100525, 1.1709595918655396, -0.20464004576206207, 0.4941558837890625, -0.5094156265258789, -1.7031867504119873, 0.6873148083686829, 2.1064484119415283, -0.7654785513877869, 1.2628791332244873, -0.8990547060966492, 0.63059002161026, -0.3439439535140991, -0.09912478923797607, -0.11550608277320862, -1.3077555894851685, 0.5617931485176086, 1.3398375511169434, 0.7811968326568604, 2.0817711353302, -1.2164459228515625, -0.15481455624103546, 0.8944345116615295, -1.4536367654800415, -0.8212221264839172, -2.0633695125579834, 0.4436139166355133, 0.49082720279693604, -0.8327038884162903, 0.16082845628261566, 1.4045299291610718, 0.7967569231987, 2.1704347133636475, 0.06375066936016083, -1.2309247255325317, -1.4264311790466309, -0.07864569127559662, 0.5394899249076843, 0.7570618987083435, -1.2146755456924438, 0.25901398062705994, -1.1661690473556519, 0.018444888293743134, -0.7096441388130188, -0.25702473521232605, -0.014397255145013332, 1.9034830331802368, 0.7835239171981812, -1.8250001668930054, -1.0989611148834229, -0.8302104473114014, 0.8379936814308167, 1.6844656467437744, -0.09286366403102875, 0.44182640314102173, 0.9362991452217102, -0.03874547779560089, -0.9790949821472168, 1.3952600955963135, -0.13980330526828766, 0.3054776191711426, 0.439755380153656, 0.4313458204269409, 2.72945499420166, -1.1552071571350098, -2.1544063091278076, 0.009672272950410843, -0.7987291812896729, -1.7336971759796143, 1.558703899383545, -1.4743647575378418, -0.04437175393104553, -1.7671494483947754, -1.592556357383728, -0.8195587396621704, 0.7568171620368958, 0.29210206866264343, -0.8154165744781494, 1.7448548078536987, -0.037969060242176056, -2.1265957355499268, -1.0750548839569092, 1.5752743482589722, -0.709660530090332, 0.17181071639060974, 0.18464510142803192, 0.03447321057319641, -0.49816495180130005, -0.9399073123931885, 0.17215675115585327, -0.6474798917770386, 0.1763736754655838, 0.03768466040492058, 2.1585209369659424, -1.2062888145446777, -1.0235308408737183, 0.4499528706073761, 1.8756413459777832, 0.37520039081573486, -2.01029634475708, -0.04472584277391434, -0.5397593975067139, -1.014937162399292, 1.0039845705032349, 0.21742567420005798, 1.1435320377349854, 1.0576488971710205, 0.83324134349823, -0.6532858610153198, -0.4096355736255646, -0.7148576378822327, -0.9697970151901245, 0.47788214683532715, 0.28193461894989014, 0.7550252079963684, -0.12158448994159698, 1.4171432256698608, -0.4110623896121979, 1.103881597518921, -0.6529058814048767, 0.2741391956806183, -1.6033177375793457, -1.1176718473434448, -1.0483272075653076, -0.10882310569286346, 0.2900393605232239, 0.4547868072986603, 0.25039801001548767, -1.5721826553344727, 0.24820348620414734, -1.8428785800933838, 0.43502897024154663, -1.2258764505386353, -0.31462562084198, 0.480654776096344, 0.4100603461265564, 1.9873169660568237, -0.4738280475139618, 0.5621697306632996, -1.2127498388290405, 1.2081365585327148, -0.9557070136070251, -0.10509371757507324, 0.5771377086639404, -1.445270299911499, 1.1392357349395752, 0.8521285653114319, 1.0185683965682983, -1.0670371055603027, -0.9760415554046631, 0.36523300409317017, -0.3041292130947113, 0.8675258755683899, 2.145004987716675, -1.11258864402771, 0.4385128617286682, -0.7372652888298035, 1.7451128959655762, -0.06198175624012947, -1.9511866569519043, 2.161885976791382, -0.4740018844604492, 2.747732162475586, -0.25437507033348083, -0.6773014068603516, -1.1103957891464233, -0.20070083439350128, -0.19550101459026337, 0.14382176101207733, -0.25683721899986267, -1.4084877967834473, 0.5993657112121582, -0.6847958564758301, -2.2112081050872803, -0.015778634697198868, -1.1907355785369873, 0.6392630934715271, 0.6036692261695862, -1.6892461776733398, -1.4238582849502563, 0.6310055255889893, -1.8031648397445679, -0.533548891544342, 1.5004476308822632, 2.953550338745117, 0.34028658270835876, 0.36685529351234436, -0.34358471632003784, -1.0821696519851685, -0.11636177450418472, -0.5563768148422241, -0.5074053406715393, -0.1547778844833374, 1.4172992706298828, -0.03351064771413803, 0.07736659795045853, 0.49653369188308716, 0.7589983940124512, -1.3254542350769043, -1.2548222541809082, -0.4231688678264618, 0.5830274224281311, -1.048334002494812, 1.5020679235458374, 0.7068911194801331, -0.21682097017765045, -0.6190174221992493, -0.1595378816127777, 0.37400275468826294, 0.6535013914108276, -1.3005766868591309, 1.0962172746658325, 2.5183064937591553, 0.029821081086993217, -1.383740782737732, -0.8642253279685974, -0.8644657731056213, -0.041882023215293884, 0.019356219097971916, -1.0683131217956543, -0.33553338050842285, 0.6804400682449341, 0.5255534648895264, -1.0890294313430786, -1.543720006942749, 0.10767421871423721, 0.7763152122497559, -0.7832284569740295, -0.44197240471839905, -0.23735646903514862, -0.6541743278503418, 1.259009599685669, 0.39534834027290344, -0.17085698246955872, 1.3197529315948486, -0.8061360120773315, 1.480105996131897, 1.22880220413208, 1.1119424104690552, -0.0885748639702797, -0.5517662763595581, -1.7078323364257812, -0.3863460421562195, -1.4372169971466064, 2.0724451541900635, 0.8498304486274719, -0.13514916598796844, 0.12425863742828369, 0.5680839419364929, 0.08171983808279037, 0.037288598716259, 1.5063027143478394, -1.3623237609863281, 0.9742740988731384, 2.309342384338379, -0.15781307220458984, -0.7958231568336487, 1.0076261758804321, -1.756088137626648, -1.9122984409332275, -0.6244077682495117, -1.5933247804641724, 0.45363953709602356, 0.10618159174919128, -0.2861260771751404, -0.897681474685669, -2.0291876792907715, 0.5239402651786804, 2.1886677742004395, 0.1675466001033783, -0.7760844230651855, -1.3244690895080566, 2.225202798843384, 0.6411938667297363, 0.4484631419181824, -0.666965126991272, -0.14161911606788635, 0.9267327785491943, -0.9464637041091919, 0.07292819023132324, 0.40024179220199585, 0.17252276837825775, 0.3819352686405182, 0.9197018146514893, -0.9190880656242371, 0.7547534108161926, -0.6393651962280273, -2.830886125564575, -1.0845404863357544, -0.3407329022884369, 0.8833690285682678, -0.3682765066623688, 0.9358230233192444, -0.027869077399373055, 1.2189618349075317, -1.2022610902786255, -0.6575605273246765, 1.1960511207580566, 1.1218271255493164, 0.02223360911011696, -0.9107144474983215, 1.0624269247055054, -1.446456789970398, 2.6429805755615234, 0.17821498215198517, -0.733794093132019, -0.5007711052894592, -1.0175443887710571, 0.24953819811344147, 1.66130530834198, 1.9929496049880981, 0.4192773401737213, -0.6847403645515442, 0.22424930334091187, 1.4558262825012207, 0.17184840142726898, -0.07735084742307663, 0.49907630681991577, 0.6030083298683167, -0.3283984959125519, 2.2648208141326904, -1.9135794639587402, -0.5161020159721375, -0.04010577127337456, 1.4853847026824951, -0.5533859729766846, -0.5458769202232361, -0.3426280617713928, 0.17291972041130066, 0.17798109352588654, -0.0984019786119461, 0.43673601746559143, -1.2418326139450073, -1.0037859678268433, -0.25357574224472046, -2.611652374267578, 0.9331678748130798, -1.0319955348968506, 0.07405129075050354, 1.0002360343933105, -1.8805712461471558, -0.5673450231552124, -0.4037649631500244, 0.3160548508167267, -0.525253176689148, -0.11032111942768097, 0.46825605630874634, -0.4084208905696869, -0.5140531659126282, -0.5254645943641663, -0.8587608933448792, 0.8534058928489685, 0.3376721441745758, 0.3133728802204132, 0.1596435159444809, -0.09188501536846161, -0.7960658073425293, 1.2982617616653442, -0.17309969663619995, -0.4526630938053131, -0.6892584562301636, -0.1683986634016037, -0.26538780331611633, -1.1873440742492676, 0.5419092178344727, 2.176783323287964, -1.8500843048095703, -0.2731665074825287, 0.38198867440223694, -0.09689792990684509, -0.3938221335411072, 1.034415364265442, -1.005031704902649, -0.2736743986606598, 0.697408139705658, -0.4899848401546478, 0.07741054892539978, -0.165772944688797, -0.40018409490585327, 0.3797518312931061, -1.0449573993682861, -1.6825330257415771, -0.8493002653121948, 0.894317626953125, -1.3738679885864258, 1.065841555595398, 0.2563111484050751, -2.1568613052368164, -1.0198854207992554, 0.2142554223537445, 0.048216260969638824, 1.3140512704849243, 0.6580215692520142, -0.9116554856300354, -1.5255229473114014, -0.16931192576885223, -0.6460599303245544, -1.2975530624389648, -2.4977211952209473, -1.0454959869384766, -0.031148217618465424, 0.8781232237815857, 0.34045523405075073, 0.8576967716217041, 1.3665083646774292, -0.18626384437084198, 0.18302011489868164, 1.1302801370620728, 0.10874644666910172, -0.8020845651626587, 0.09551190584897995, 1.1693898439407349, -0.28836458921432495, -1.4512258768081665, -1.215906023979187, -0.7020670771598816, -0.5388854742050171, -0.02412569522857666, 1.4833488464355469, 0.8916823863983154, 1.3059312105178833, -1.3415549993515015, -0.29162922501564026, -2.481191635131836, -0.8487848043441772, 0.14325907826423645, -1.487121820449829, -0.6031262874603271, -0.35748612880706787, -0.8096831440925598, 1.3959075212478638, -0.45001208782196045, 1.1103709936141968, -0.07756824791431427, -1.65060555934906, 0.7331700325012207, 0.33507201075553894, -1.3111886978149414, -0.21404287219047546, 0.536919891834259, -0.42415595054626465, 0.035205237567424774, -1.921203374862671, 1.1360828876495361, 0.607051432132721, -2.163154363632202, -1.4331984519958496, -1.0141773223876953, 0.009112193249166012, 0.8436289429664612, 0.34769415855407715, 0.5463082790374756, 0.5590144395828247, 0.5635466575622559, 1.3976283073425293, -0.1055060401558876, 0.219801127910614, 0.10496924072504044, -1.283909559249878, 1.2198553085327148, 0.43876194953918457, -0.02418896183371544, -0.577521026134491, -0.15937097370624542, 0.3771926462650299, -0.7477036714553833, 0.7241489887237549, -0.020605744794011116, -1.8245000839233398, 0.7123989462852478, 0.583256721496582, -0.7759921550750732, 0.4875657558441162, 1.080931305885315, 0.6168860197067261, 1.0406391620635986, 2.2850794792175293, -0.011243213899433613, -0.8842618465423584, -0.5565212368965149, -0.3493139147758484, -2.0798256397247314, -1.1655269861221313, -0.9897488951683044, -0.3265010416507721, 0.37902697920799255, -0.019966647028923035, -0.5813474655151367, 0.5069427490234375, -1.2452906370162964, 0.285019189119339, -0.08560729026794434, -1.00558602809906, 1.7561465501785278, 0.2567268908023834, -0.999086856842041, -1.4758062362670898, -1.2220295667648315, 2.9495553970336914, 0.08654193580150604, 0.0021634232252836227, -0.292961984872818, 0.4971928894519806, 0.2455916702747345, 1.1265629529953003, -2.0322375297546387, -1.9319753646850586, 1.0073294639587402, 0.005488378461450338, 2.4991636276245117, -0.0003839441342279315, 0.4025059938430786, 2.050869941711426, -2.740199565887451, -0.6869739294052124, -0.32662948966026306, 0.8057445883750916, -1.8865752220153809, -1.0779331922531128, 0.8590580224990845, -0.5666428804397583, 1.3832330703735352, 0.5016299486160278, 0.6102567911148071, -0.2090441882610321, 0.5608074069023132, 1.146161437034607, -1.673275113105774, -0.3159157931804657, -0.5690723657608032, -0.20678748190402985, -2.212827682495117, -0.38715848326683044, 1.1671502590179443, -1.1389875411987305, -0.32759764790534973, 0.15233400464057922, -0.5617744326591492, 0.9142769575119019, 0.612907350063324, 0.15758559107780457, -0.34374579787254333, -0.4757547676563263, -1.0263110399246216, -0.6546472311019897, 0.7222751379013062, 0.8266220092773438, 0.7948445677757263, -0.4941616952419281, 0.5107929110527039, 0.7436869740486145, -0.0008359564817510545, -0.3534994423389435, 0.09922385960817337, 0.35162049531936646, -0.5594683289527893, 1.5154160261154175, -0.13711915910243988, -2.4279580116271973, 1.6784762144088745, -0.5963725447654724, -0.6136430501937866, 1.5751010179519653, -1.481381893157959, -0.5157527327537537, -0.5236144661903381, 0.34184080362319946, 0.3565257489681244, 1.304284691810608, -0.5272392630577087, 0.22689113020896912, 1.022148847579956, 0.33596765995025635, -0.038494523614645004, -0.38251301646232605, 0.8172067403793335, -1.2418321371078491, 0.2877218723297119, -2.9531073570251465, -0.08845536410808563, 0.8407998085021973, -0.05815477669239044, -0.09187837690114975, 0.3170272409915924, 0.9014731645584106, 0.0117452098056674, -0.7298550605773926, -0.19004712998867035, -2.3443374633789062, 0.39194047451019287, -0.06407850235700607, -0.196255162358284, -1.0476692914962769, 1.2848179340362549, -0.5428603887557983, -0.5065628290176392, -1.0152088403701782, -1.5202723741531372, -0.30547329783439636, 0.07920894026756287, -0.9143242239952087, -0.8693238496780396, -0.14863136410713196, -0.1344955712556839, 0.397344172000885, -0.09628193080425262, 0.48726698756217957, 1.7496733665466309, -0.818856418132782, -0.410543829202652, -0.7237262725830078, -0.023497113958001137, -1.5301926136016846, -2.1123745441436768, -0.8022500872612, -0.5057685375213623, 1.973690152168274, -0.39442142844200134, 1.4414634704589844, 0.1452103555202484, -0.01581711322069168, -0.4829614460468292, -0.6717723608016968, -2.0041046142578125, 0.7963275909423828, 1.406577229499817, 0.5366907715797424, -0.4470600485801697, 0.3731267750263214, -0.8597784042358398, -1.4548008441925049, 0.49690869450569153, -0.2995409667491913, -1.865419626235962, -0.9989890456199646, 0.7562162280082703, -1.7003648281097412, -0.649914562702179, -0.3234844505786896, -0.39996081590652466, 0.7342580556869507, -0.6036475896835327, -0.2563713490962982, -0.8782323598861694, -0.8023132681846619, -0.21857798099517822, -0.23731403052806854, 1.7920188903808594, 0.08599105477333069, 1.0115551948547363, -0.17763961851596832, -0.04967739060521126, -0.7416276335716248, 1.7556170225143433, -0.11016641557216644, 1.8974323272705078, 0.6136177778244019, -2.0531063079833984, 0.41320309042930603, -0.540934145450592, -0.6246342658996582, -0.6520655155181885, 0.8375684022903442, -0.8649802207946777, 1.3683574199676514, 0.2534381151199341, 2.3663501739501953, -1.0613102912902832, 1.758588194847107, 0.32474181056022644, -2.1252248287200928, -0.9140287041664124, -0.7950144410133362, -0.5110765099525452, 1.107635736465454, 1.265229344367981, -0.029883822426199913, -0.6916891932487488, -0.6521891355514526, 0.2799641788005829, -2.1123194694519043, 0.0033327280543744564, -0.7928311228752136, -0.03182349354028702, -0.18091925978660583, 0.3619461953639984, 0.0645611509680748, -0.8624893426895142, -1.7452688217163086, 0.930169403553009, 0.4760355055332184, 0.7510294318199158, -1.1263012886047363, 0.6392940282821655, 0.7248450517654419, -1.7825524806976318, -0.778522253036499, 0.4544878602027893, -0.6438624858856201, 0.37623536586761475, 0.8634059429168701, 0.729637622833252, -0.7694492936134338, -0.5791059136390686, -0.2660439908504486, -1.3761687278747559, 0.859036386013031, -3.047567844390869, 0.5872001051902771, -2.1956701278686523, 0.9966839551925659, 2.580009937286377, 0.6038377285003662, -1.324164867401123, 0.9534139037132263, -0.4758046865463257, 1.274939775466919, 0.24364081025123596, -0.9442982077598572, 0.8084598183631897, -0.8105053305625916, 1.4533811807632446, -0.40194037556648254, -0.9622994065284729, -2.172379970550537, -2.015072822570801, 0.8281777501106262, 0.45478639006614685, 1.2085494995117188, -0.820198118686676, -1.4665701389312744, -0.5532922148704529, 0.5696334838867188, -1.4576815366744995, 0.2466101050376892, -1.3444933891296387, 0.4735405743122101, 0.264008492231369, 0.3129004240036011, 1.448799729347229, 2.3671274185180664, 1.0797228813171387, -2.527475357055664, -0.320642352104187, -0.16876891255378723, 0.4515232443809509, -1.0299766063690186, 1.7763805389404297, 0.023034779354929924, -1.612584114074707, -2.0884358882904053, 0.16617807745933533, 0.36375686526298523, -0.2620053291320801, 0.622348427772522, -0.3872900605201721, 1.3661214113235474, 0.6455734372138977, 0.4617328643798828, -0.13264529407024384, 0.28589585423469543, -0.6782469749450684, -1.2177711725234985, 0.3423349857330322, -0.20636382699012756, 1.3896501064300537, -1.1816407442092896, 0.807451069355011, 1.4755414724349976, -0.8396516442298889, 0.976398766040802, -0.4978518784046173, 1.1492640972137451, 0.9873523116111755, -0.5386970639228821, -1.5244534015655518, -0.1777437925338745, 0.8054370880126953, 0.5267300009727478, -1.1084110736846924, -0.05021063983440399, 0.012391778640449047, -0.8632640838623047, 0.19632312655448914, 0.2140168994665146, -0.5193412899971008, 1.4484390020370483, 0.20203666388988495, -0.7986038327217102, -0.8857059478759766, -0.371936559677124, 0.8336829543113708, 1.5708283185958862, 0.031212737783789635, -0.07064829021692276, -0.11193360388278961, -0.6808978319168091, -0.9383813738822937, -1.062010407447815, 0.899340033531189, -1.3649611473083496, -0.2987757921218872, -0.1394767463207245, -0.43796584010124207, 0.3747493624687195, 0.9630051255226135, 1.896676778793335, 0.1810844987630844, 2.049370050430298, 0.5709347128868103, -0.16307033598423004, -0.2027132213115692, -1.694067120552063, 1.0456218719482422, 2.119962215423584, 1.5054042339324951, -0.48766443133354187, 0.4324498772621155, 0.3584584593772888, -0.47099801898002625, -1.6078171730041504, 0.6343610286712646, -0.26270541548728943, -1.0159852504730225, -1.4430992603302002, 0.17047663033008575, 1.338052749633789, 1.2230536937713623, -0.7361190915107727, -0.7451894283294678, -1.1694844961166382, -0.32658153772354126, 0.4878346920013428, 0.6382019519805908, -0.8908843994140625, 1.3106848001480103, -1.4208647012710571, -0.022415250539779663, 0.2048223316669464, -0.35070279240608215, 0.546630322933197, 0.3103821277618408, -0.9307019710540771, 0.19955500960350037, -1.3753209114074707, 0.5669317245483398, 1.3101463317871094, 0.6696881651878357, -1.3950406312942505, -1.5883097648620605, 1.7382317781448364, 0.08040714263916016, 0.932117760181427, -0.9219340085983276, 0.2761525511741638, -0.7404193878173828, 0.09336202591657639, 0.2884221076965332, 0.3924395740032196, -1.323928713798523, 0.31124356389045715, -0.329048752784729, -0.06171191856265068, -0.4478257894515991, 0.0390167236328125, -0.7300345301628113, -1.2481961250305176, -1.0105434656143188, -0.08551007509231567, 0.20364701747894287, 0.006847296375781298, -1.7299633026123047, 0.057492733001708984, -1.5256742238998413, 0.2567496597766876, -0.33249861001968384, -1.3440223932266235, -0.30935919284820557, -1.8925505876541138, -0.14712746441364288, 1.4587360620498657, 1.6158314943313599, 0.7791169285774231, 0.2782204747200012, 0.6568809747695923, -0.7679372429847717, -1.2276338338851929, 1.0421444177627563, 1.2591416835784912, 0.0764302983880043, 2.815998077392578, -1.3377888202667236, -1.1015816926956177, 0.8532255291938782, 0.5963638424873352, -1.2632004022598267, -0.30984798073768616, 0.0901380404829979, 1.319071650505066, 0.2565748989582062, 0.38799867033958435, 1.8647851943969727, -1.2220739126205444, 0.37206393480300903, -0.5720634460449219, 0.9011355638504028, 0.8402388095855713, -0.301297128200531, -0.1911267191171646, -0.31125810742378235, 0.7077068090438843, -1.4343136548995972, 1.4623061418533325, -0.18204133212566376, -0.21884587407112122, 0.6818088293075562, -0.9710472226142883, 0.9037151336669922, -0.10274471342563629, 2.0320098400115967, 1.5026923418045044, 0.7396573424339294, -1.1432124376296997, 0.17065005004405975, 1.277533769607544, 0.6597582101821899, -0.019053012132644653, 1.292163372039795, -0.8607196807861328, -0.18786823749542236, 0.2595377564430237, -0.35227450728416443, -0.03437958285212517, 0.9785515069961548, -0.33229848742485046, -1.7925329208374023, -0.3296813368797302, 0.2816338837146759, 0.3161291182041168, -1.4034390449523926, -0.46383804082870483, 2.2524254322052, 0.6216647028923035, 0.5649225115776062, 1.5954900979995728, 0.04858925938606262, -0.8700768351554871, 0.07955148071050644, -0.2005862146615982, 0.9715703129768372, 0.284891813993454, 0.6320143938064575, 0.005551004316657782, -1.9793239831924438, -0.05539260059595108, 0.7687574625015259, -1.225709080696106, -0.734497606754303, -0.43559613823890686, 0.32450729608535767, 1.0286680459976196, 1.6025309562683105, -0.898438572883606, -1.137917160987854, 0.3036990463733673, -0.22240377962589264, 0.20962779223918915, 0.30373111367225647, -1.0889801979064941, -0.14593546092510223, 0.33012300729751587, 2.9600415229797363, 0.2778633236885071, -0.3754926025867462, 1.7275034189224243, 0.5619158148765564, -1.333362340927124, 0.463076114654541, 1.0330570936203003, 1.0808162689208984, -0.8519503474235535, 1.3239928483963013, -0.7582750916481018, -0.05818117409944534, -0.15372225642204285, -2.1977531909942627, 0.7736111283302307, -1.223860740661621, -0.8567243218421936, -0.552188515663147, 0.33219751715660095, 0.06931018829345703, 1.4144065380096436, 0.16311044991016388, -0.6029706001281738, -1.2619192600250244, 0.7367058992385864, 0.2344120293855667, -0.6770406365394592, 0.6292218565940857, -0.05783361941576004, -0.6294805407524109, 0.7247956395149231, 1.7176339626312256, -0.2565765976905823, 1.4426889419555664, 0.7430629134178162, 0.2527713179588318, 0.7472205758094788, 0.9309986233711243, 1.1414614915847778, -1.8978207111358643, -0.23592343926429749, -1.250625491142273, -2.050760269165039, 2.2025411128997803, -1.2984704971313477, 1.0564135313034058, 0.029628215357661247, -1.0431729555130005, -0.6884205341339111, 0.700925350189209, -0.009282859973609447, -0.06361472606658936, -0.5964776873588562, -0.6668392419815063, 0.2904611825942993, 0.6934059858322144, 0.3222503662109375, -0.520772397518158, -0.8889394402503967, 0.25372472405433655, -0.09176778793334961, -0.6843178272247314, 1.0292439460754395, 0.39986059069633484, 0.20947988331317902, 0.3324659764766693, 0.2830876410007477, -0.8069822192192078, 0.30779829621315, 0.41998088359832764, 0.708046019077301, 0.639285147190094, 0.6556147336959839, -0.8934850096702576, 0.4299963712692261, 0.17020955681800842, -1.4778317213058472, -0.3092474639415741, -0.758529782295227, 1.0930724143981934, 2.117241859436035, -0.9762895107269287, 0.5095428824424744, -1.9711557626724243, 0.9379347562789917, 0.01990523934364319, -1.104151725769043, 1.0781898498535156, -1.202799916267395, -1.1878865957260132, -0.7498369216918945, -2.5400443077087402, 0.5315892100334167, 1.4042768478393555, 1.1028156280517578, 1.5580896139144897, 0.863632321357727, 0.9663044810295105, 1.219149112701416, 0.1546560823917389, 0.7107805609703064, -0.6707941889762878, -0.1467064768075943, 1.2063930034637451, 1.2646952867507935, 0.9124361276626587, 0.9929047226905823, -1.8606303930282593, -1.2793900966644287, -0.8590946197509766, -0.7103554606437683, -0.0711703896522522, 0.16479073464870453, -1.3835784196853638, -1.391983151435852, 0.6604287028312683, 0.7633574604988098, -0.8828257918357849, -0.7336578369140625, 0.31956395506858826, -0.09518235921859741, 0.22240696847438812, 0.0544208399951458, 1.351528525352478, -0.8281494975090027, 0.06052866950631142, 0.3511110842227936, -0.53370201587677, -0.02445775829255581, 0.10655687749385834, 0.04793916270136833, -0.48342758417129517, 0.473398357629776, 2.366748332977295, 1.3323079347610474, -0.33724918961524963, -0.6765995025634766, 0.11019755899906158, 1.1110360622406006, -1.5768694877624512, -0.5303298830986023, 1.842132806777954, -0.2936997711658478, -0.497697651386261, 0.6268825531005859, -0.9095464944839478, -2.029198408126831, -0.8768613338470459, 0.4614930748939514, -0.15698666870594025, -0.935682475566864, -0.9768080711364746, -0.25357645750045776, 1.1384028196334839, 0.3588204085826874, -0.7082038521766663, -0.37658360600471497, 1.488464117050171, 0.802107036113739, 0.7882740497589111, 1.1106009483337402, 0.3957361578941345, -1.0368527173995972, 1.5993000268936157, -0.11835633963346481, -0.6435825824737549, 0.7094247937202454, 1.9343976974487305, 0.4677397906780243, -0.7065141201019287, -0.6203118562698364, 2.7011361122131348, 0.2909111976623535, 1.854552984237671, 1.953444242477417, 0.9825206398963928, -1.2760971784591675, -0.6495274901390076, 1.0903804302215576, 0.8953413367271423, 0.3362913727760315, -0.49427634477615356, -1.9884635210037231, -0.7152556777000427, -0.6124823093414307, 0.5853685736656189, -1.1113260984420776, 1.120970606803894, 0.15010911226272583, -0.9624258279800415, -0.18471720814704895, -0.2043696492910385, -0.19628016650676727, -1.1473571062088013, 0.9179912209510803, 0.11568509787321091, -0.017301354557275772, 0.770553469657898, -1.4715477228164673, 1.3647722005844116, 1.246572732925415, 0.7709587216377258, -0.5224156379699707, -0.6613389849662781, 0.3261723220348358, 0.662872314453125, -0.2042464315891266, 0.29976338148117065, -0.10105019807815552, -1.4886260032653809, -1.3347387313842773, 0.9731897711753845, -1.186266541481018, -0.9018045663833618, -0.025761142373085022, -1.3786733150482178, -0.7886236906051636, -0.45724016427993774, 0.27480456233024597, 0.8091195225715637, -0.040573444217443466, -0.2224561870098114, 0.10463842004537582, -0.6846609711647034, 0.9574911594390869, 0.570537805557251, -0.6367066502571106, 0.8161002397537231, -0.514021635055542, 0.24637575447559357, 1.0735108852386475, -0.18704834580421448, -2.1265249252319336, -0.0026268688961863518, 0.12509796023368835, 1.3485759496688843, -0.09880974888801575, 0.9902583956718445, 1.2647438049316406, 1.492555856704712, -0.7339156866073608, -2.5277459621429443, 0.07188068330287933, 0.547003448009491, -0.7151358723640442, 1.007771611213684, -0.6323968768119812, -1.3469786643981934, -0.467967689037323, -0.0956249088048935, 0.40594664216041565, 1.602990984916687, 0.08140292018651962, -0.8127965331077576, -1.7173539400100708, 1.1211669445037842, 0.8749744296073914, 1.46375572681427, 0.5422700047492981, -0.9856597185134888, 0.9453162550926208, 0.7922723889350891, -1.8645949363708496, 0.8090510964393616, -0.2627772390842438, -0.8260219693183899, -0.30624154210090637, -1.0642186403274536, -1.5391467809677124, -1.6907811164855957, -1.1204824447631836, -1.1917433738708496, 0.365936815738678, 0.32198411226272583, 1.1857718229293823, 0.6131622791290283, 1.7252967357635498, 0.9279173016548157, -0.08158019185066223, 0.29846352338790894, -0.05648264288902283, -1.5649114847183228, -0.04038412868976593, 0.15416985750198364, 0.8930701613426208, 1.3528556823730469, -0.662071704864502, -0.2643493413925171, 1.152024745941162, 0.6404721140861511, -0.7975006699562073, -0.2897096276283264, 0.33879655599594116, -0.5465171933174133, 0.6845459342002869, 0.1221429631114006, -0.6355862021446228, 0.5706648826599121, -0.6286187767982483, 1.8594450950622559, 1.0051851272583008, 0.5071690678596497, -0.5664695501327515, 0.25569581985473633, 2.6215920448303223, 0.04682949557900429, 1.898239254951477, 0.21028420329093933, -0.19714562594890594, -0.23584482073783875, -2.368093967437744, -0.5698000192642212, 0.4530995190143585, -0.5520595908164978, -1.283499002456665, 0.28513219952583313, 0.2110537886619568, 0.9535539746284485, 0.450026273727417, 1.160051941871643, 0.4090782403945923, -0.9333289861679077, -1.1988475322723389, 0.17957599461078644, 0.26401254534721375, 1.6375178098678589, -1.1462206840515137, 0.975838840007782, -1.3188551664352417, -0.5671629905700684, -0.5097787380218506, -1.522684097290039, 1.794013500213623, 1.3057314157485962, 0.43421462178230286, -0.7146628499031067, 0.7377204895019531, -0.3356371223926544, -1.328530192375183, -1.322723388671875, -0.8751077055931091, 0.1552988439798355, 0.9722209572792053, -1.0997778177261353, 1.8132548332214355, 0.8753753304481506, -1.3330378532409668, -1.1585136651992798, -0.5403799414634705, -0.1568499505519867, -1.6270251274108887, -0.28493285179138184, -0.4889783561229706, -1.2334067821502686, -0.9113747477531433, 1.026922345161438, -0.6093181371688843, -0.6690261363983154, 0.2759449779987335, 1.385108470916748, -0.5003595948219299, -0.8663424849510193, 0.37477394938468933, -0.20724144577980042, 1.1405037641525269, 0.01816505379974842, -0.12967295944690704, 1.105783462524414, 2.188300132751465, -0.3137776255607605, -0.27434518933296204, 0.22046731412410736, -0.9402644634246826, 0.04287553206086159, -0.6642484068870544, 0.8786153793334961, 1.6733516454696655, 0.3031429052352905, -0.9021292328834534, 0.7901582717895508, 1.537797212600708, -0.5066942572593689, -0.682598888874054, 1.2044124603271484, 2.023350715637207, 0.5328801870346069, -0.029363345354795456, -1.682708978652954, -0.5905131697654724, 0.7966014742851257, 0.04791104048490524, 0.10202398896217346, -0.3103729486465454, 0.7764610648155212, 0.12773779034614563, 1.604026436805725, -0.17100219428539276, -1.8671694993972778, -1.5295181274414062, -1.07270348072052, -1.854305624961853, -0.33199939131736755, 0.5983805656433105, 0.0971786305308342, 0.17307689785957336, 1.3890392780303955, 0.605807363986969, 1.654947280883789, -0.3502735495567322, 0.9058354496955872, 0.5813425779342651, 1.3356224298477173, -0.13409659266471863, 0.052251700311899185, -0.7978906035423279, -2.1973648071289062, 1.0859489440917969, 1.4693838357925415, 1.4576081037521362, 0.7061189413070679, 1.092363715171814, -0.020172905176877975, 0.5436914563179016, 1.0117322206497192, -0.0750642642378807, 0.05454491823911667, 0.28928259015083313, -1.151409387588501, 1.8634697198867798, -0.28001976013183594, 0.16834978759288788, -0.37920546531677246, -2.079885959625244, 1.3891828060150146, -0.7659092545509338, 0.4536796808242798, -0.21884825825691223, 1.4387532472610474, -0.8794325590133667, 0.33529871702194214, 1.2280123233795166, 0.5652254819869995, -0.04437326267361641, -1.2237036228179932, -0.9829357862472534, 1.1911180019378662, -1.295676350593567, 0.7620019316673279, 0.9844368696212769, 0.2791215181350708, 0.7123699188232422, 1.272196888923645, -1.6278163194656372, -0.9032687544822693, 0.7419338226318359, 1.8347147703170776, -0.2429455816745758, 0.003412753576412797, -0.010200956836342812, 0.5699737668037415, 1.096664547920227, 0.6576721668243408, -1.2703297138214111, 0.008405555970966816, -0.06221795082092285, 0.26067399978637695, 1.1594549417495728, -0.5389764308929443, 1.4546947479248047, -1.4680283069610596, -0.3322625756263733, -2.2718896865844727, 1.215003252029419, 0.06334958970546722, 1.3263410329818726, 0.8677172660827637, 1.8910040855407715, 0.1738903671503067, -0.7286550402641296, -0.9037097096443176, -1.3080501556396484, 0.999019205570221, 0.7876180410385132, 0.2417711615562439, 0.08430369943380356, -0.2947411835193634, -1.531167984008789, 0.18364077806472778, 1.2225874662399292, 0.3832261562347412, -0.20232956111431122, -0.008563483133912086, 0.29026076197624207, -0.7534469962120056, 0.2217865139245987, 0.4467608332633972, 0.24469657242298126, 0.6341281533241272, 0.2088727205991745, 0.12887607514858246, 0.2452949732542038, -1.059647560119629, 0.3657122850418091, -0.3088740110397339, -1.1321138143539429, -0.12482401728630066, 0.0900333896279335, -0.7350587248802185, -0.18533147871494293, 0.8481537699699402, -1.0831795930862427, -0.24905537068843842, -0.17352762818336487, -0.7018898129463196, -0.07096145302057266, 0.33738842606544495, 0.24698790907859802, -0.9336517453193665, -0.24222609400749207, 1.6306605339050293, -1.406506896018982, 0.5028940439224243, -0.12964344024658203, 0.5333420038223267, -1.6373077630996704, -0.027431022375822067, -0.4350641667842865, 0.7374249696731567, 2.8158352375030518, -0.9344677925109863, -0.41158628463745117, 0.3129177987575531, 0.40856194496154785, 0.7941127419471741, -1.2664638757705688, 1.1284655332565308, -1.3496425151824951, -2.332577705383301, -0.11333177983760834, -1.6316287517547607, 0.2047562599182129, 0.2507267892360687, 0.4036884009838104, 0.6345541477203369, -0.8568329811096191, 0.5768409371376038, 1.9667145013809204, 0.49061188101768494, 1.185644507408142, -1.2977463006973267, 1.468380331993103, 0.47606760263442993, 0.3325892388820648, -1.4472323656082153, 1.1302584409713745, 0.6977388262748718, -1.3539376258850098, 0.5326728224754333, 0.054748717695474625, -0.6810654997825623, -2.0626442432403564, -0.7492227554321289, 1.679818034172058, -1.1712698936462402, -0.6492688655853271, 0.4424602687358856, -0.5526294708251953, -0.9159489274024963, -2.200791597366333, 1.7266570329666138, 0.12710490822792053, -0.5369313359260559, 0.39021509885787964, 1.1123919486999512, 0.4666711091995239, -0.42374610900878906, 0.6439383625984192, 0.16190598905086517, 0.07821781188249588, 0.34111475944519043, -1.3919237852096558, 0.05452428013086319, 1.1696394681930542, -0.5347333550453186, 0.05473116785287857, 0.9222691059112549, -1.5371716022491455, 0.5453227162361145, -2.1989476680755615, 0.6685273051261902, -0.7817521095275879, 0.4043550193309784, 1.021214485168457, 0.18029075860977173, 1.1316293478012085, 0.5964029431343079, 0.6445626616477966, -0.29141950607299805, 0.03226083517074585, -1.266459345817566, 0.7396784424781799, -2.4707422256469727, -0.756451427936554, -1.188765048980713, -0.36635661125183105, -0.05436398461461067, 0.3386588394641876, -0.92880779504776, -0.510185718536377, 0.2503957450389862, 0.8284249305725098, -1.0949991941452026, 0.08061491698026657, -0.7961955666542053, -0.43579021096229553, -1.1900577545166016, 1.5039547681808472, 0.6116245985031128, -0.37817659974098206, -0.40220922231674194, 1.103943109512329, 0.28702178597450256, -0.11006411164999008, -2.2720696926116943, -0.16633088886737823, 0.05366267263889313, -0.28198549151420593, 0.7773888111114502, -1.1371712684631348, 0.43488121032714844, -0.5807833075523376, 1.2876911163330078, 0.6178202033042908, 0.1540478616952896, 0.43409833312034607, -0.06965562701225281, -0.18150225281715393, 0.002200605347752571, -0.09805653989315033, 1.5978291034698486, 0.760992169380188, -0.13572411239147186, -0.052147749811410904, 0.5666994452476501, -0.4516686797142029, 1.4311593770980835, -0.14799970388412476, -0.8482919931411743, 1.0728622674942017, -1.0761079788208008, 1.191650390625, -0.552709698677063, -0.18215903639793396, -0.26208460330963135, 0.3000624179840088, -0.004390344489365816, -0.6357428431510925, 1.3684935569763184, -1.342557668685913, -0.3716674745082855, 0.55870521068573, -0.15776659548282623, 0.22866448760032654, -1.3916600942611694, 1.6178197860717773, 0.46163684129714966, -0.47699010372161865, -0.978030800819397, 0.5143925547599792, -0.30392247438430786, -0.7509168982505798, -0.7532063722610474, -1.8284331560134888, 0.7604618668556213, -0.9178760647773743, -0.08052721619606018, 0.5181553959846497, -0.5614954829216003, 0.702060341835022, -0.35580697655677795, -1.1691900491714478, -1.0670033693313599, -0.0200528334826231, -0.4769466519355774, 0.10286661237478256, 0.2941892445087433, 0.20165464282035828, 0.5538284778594971, 0.052942391484975815, -0.46322888135910034, -0.8373318910598755, -0.13069619238376617, 0.017034301534295082, -1.933213233947754, -0.33247846364974976, -0.7587795853614807, 0.00792472530156374, 1.6120456457138062, -0.465610533952713, 0.026036255061626434, 0.7115175724029541, 2.7200262546539307, -0.6473150849342346, -1.0733680725097656, -1.3830666542053223, 0.10805201530456543, 0.5522972345352173, 0.5408332943916321, -1.8907313346862793, 1.1112998723983765, -0.024486921727657318, 1.5326709747314453, 1.237896203994751, 0.3677690029144287, 0.2544380724430084, 0.2557038962841034, 0.6576378345489502, -1.1558927297592163, -1.3886210918426514, 1.4597924947738647, 0.07995350658893585, -0.510575532913208, -1.241960883140564, -0.08867806196212769, 2.1275854110717773, 0.4093133211135864, 0.24015939235687256, 0.2239658236503601, -0.8210981488227844, -1.6457850933074951, -1.2726138830184937, -0.4624198079109192, 0.8467703461647034, 0.5255017280578613, -0.8870721459388733, 0.7991241812705994, -1.6966683864593506, -0.20655222237110138, -0.8948166370391846, 0.028190014883875847, 0.9138006567955017, -3.34975004196167, 1.6762956380844116, 0.48103487491607666, -0.06358399987220764, 0.8566049933433533, 0.1845005750656128, -1.1258141994476318, -1.5728027820587158, -1.1951136589050293, 1.3439034223556519, -0.7296956777572632, -0.7806246280670166, 1.7457075119018555, 0.3528415560722351, -0.885531485080719, -0.04979068040847778, -0.2578844130039215, -0.7966284155845642, -1.1220530271530151, 0.16345658898353577, 0.3779847323894501, 0.4461917579174042, 0.6757660508155823, 0.8138881325721741, -1.3430324792861938, 0.00387838133610785, 1.8060921430587769, -0.9022179245948792, -0.4412989616394043, -1.9739643335342407, 1.3513373136520386, -0.9278020858764648, -0.1468023806810379, -0.11764563620090485, 1.8619487285614014, 0.20485541224479675, -1.7330095767974854, 0.1403491497039795, 1.7217968702316284, -0.46787527203559875, -0.9985604882240295, 1.7887403964996338, -0.030860617756843567, 1.0257419347763062, -1.0388036966323853, -0.9003757834434509, -1.4912490844726562, 0.9834420084953308, 0.12460099905729294, 0.2958916127681732, 0.5725868344306946, 0.7132068872451782, -0.2291698455810547, 0.7343917489051819, -1.9674774408340454, -0.6599656939506531, -1.1678746938705444, 0.3060985803604126, 0.2627740800380707, -0.40897276997566223, 0.5379831790924072, -0.16741910576820374, -0.13769248127937317, -0.4448107182979584, 0.7136789560317993, 0.467873752117157, -0.6058551073074341, -0.6872035264968872, -0.4572271704673767, -1.312078595161438, 0.15269717574119568, 0.22519588470458984, -1.615969181060791, -0.2830283045768738, -0.5686041116714478, -0.29618149995803833, 0.3780468702316284, 0.7695297598838806, -0.9383566975593567, -1.1480284929275513, -0.19921645522117615, -0.11680819094181061, 1.2714449167251587, -0.03042292594909668, -1.536365032196045, -0.4814210832118988, 1.1433948278427124, -1.0207551717758179, -0.5047782063484192, -0.30734172463417053, -1.0046128034591675, -0.6591629981994629, -0.3967147469520569, -0.9932661056518555, -0.3974067270755768, 2.2130837440490723, 1.2960182428359985, -1.5223125219345093, -1.1069649457931519, -1.3265316486358643, -0.3721971809864044, 0.29042500257492065, 0.6479321718215942, -0.13958685100078583, 0.40484124422073364, 1.2495973110198975, 0.21944771707057953, -1.3072459697723389, 1.4235602617263794, -0.4230424463748932, -1.044459581375122, -0.22984226047992706, -1.3303390741348267, 1.609481692314148, -1.4605484008789062, -2.576681137084961, 0.027992576360702515, 0.7951207756996155, 0.8127938508987427, 0.4799443185329437, 1.3655579090118408, -0.7338760495185852, 0.11516091972589493, -0.3040483593940735, -1.6115542650222778, -0.48148125410079956, -0.6449016332626343, -1.9106614589691162, 0.38033270835876465, 0.09415191411972046, 2.2878401279449463, 0.9936367273330688, 0.3893483877182007, -0.6352120041847229, 0.2233441174030304, -0.9228909015655518, -1.890489935874939, -1.02194344997406, 1.725927710533142, -0.45688775181770325, 0.917202353477478, -0.09525912255048752, 0.47345542907714844, -0.11422229558229446, -1.5708211660385132, 0.8562078475952148, 0.6780371069908142, 0.8417252898216248, -0.35624590516090393, 0.43442824482917786, 0.5689385533332825, -0.8662561178207397, -0.43362757563591003, 0.9459421634674072, -0.32382723689079285, 0.5073893070220947, 0.7892588973045349, 1.6959420442581177, 0.015104622580111027, 0.5017699003219604, -0.814453125, -0.2739599049091339, 0.2844519019126892, 0.04791673272848129, -1.115412712097168, 0.6510891914367676, 0.7614018321037292, 0.7584792971611023, -0.9864375591278076, 1.2794640064239502, -1.9795137643814087, 1.2219438552856445, -0.5304641127586365, 1.9394437074661255, 1.9712194204330444, 0.703934907913208, -1.280373454093933, 1.21224045753479, 1.6774201393127441, -0.24078290164470673, -0.27865543961524963, 0.14880472421646118, -0.24864354729652405, -1.2666341066360474, 1.7672840356826782, 1.2002853155136108, 1.1691259145736694, 1.1399409770965576, -0.4217818081378937, 0.14234520494937897, 0.15237487852573395, -0.0271473191678524, 0.7729519605636597, 1.2398725748062134, 1.1119567155838013, 2.350369453430176, -1.4831836223602295, -0.23582255840301514, 0.7351715564727783, 1.4691669940948486, 0.4556571841239929, -0.5865320563316345, 0.23923493921756744, 1.0208332538604736, 0.19402684271335602, -0.15302622318267822, 1.050959587097168, 0.14419704675674438, 0.8765649199485779, -0.6625604629516602, -0.9195932745933533, -0.3421473205089569, 2.603893995285034, 0.03730184584856033, 0.8664814829826355, 0.8253844380378723, -0.35584723949432373, -0.646270751953125, -0.12208583205938339, 1.7926959991455078, 0.9157320261001587, 0.5517991185188293, 0.42541635036468506, 2.168083667755127, -1.2071654796600342, 1.5804407596588135, 1.0031020641326904, -0.2841855585575104, -0.7383812665939331, -0.7750120162963867, 1.3176279067993164, 0.16408753395080566, -0.45987069606781006, -1.5008995532989502, 1.7760910987854004, -0.10801804810762405, 0.043999508023262024, 0.27781009674072266, -1.2461661100387573, -0.5079805254936218, 0.32422828674316406, -0.13189488649368286, 2.0562524795532227, 0.8046093583106995, 0.07802411913871765, -0.5410130023956299, 0.8878076076507568, 1.8714909553527832, -1.0693285465240479, -0.07507702708244324, 0.6880857944488525, 1.0589061975479126, 0.015460488386452198, -0.349851131439209, -0.2695949971675873, -0.10066483169794083, 0.19261696934700012, 1.6062324047088623, -0.17506003379821777, 0.003928815480321646, 1.5779098272323608, 1.0200196504592896, -1.4480303525924683, 0.5901878476142883, 0.4746410548686981, 1.075594186782837, 0.26261311769485474, 2.26202654838562, 1.2392444610595703, -0.03913826122879982, 0.00959743931889534, -0.5173358917236328, 0.42809998989105225, -0.34063291549682617, -0.8726884722709656, 1.8339158296585083, 1.3267320394515991, -2.1053712368011475, 0.4111129343509674, -0.8122391104698181, 0.34271329641342163, -0.40200212597846985, 0.29668310284614563, -0.3708799481391907, -1.0677424669265747, -0.23852576315402985, 0.7294542789459229, -0.1106494888663292, -0.09080754220485687, -0.22628670930862427, 0.8439688682556152, 0.3049480617046356, -1.4678963422775269, 0.4410938322544098, -0.5366483926773071, 0.09754446148872375, -2.124817132949829, 1.5575175285339355, 0.2631480097770691, -0.9348118901252747, -0.9111796617507935, -1.4732704162597656, 0.4268192946910858, -0.6922991871833801, -1.5393860340118408, -0.7338430285453796, 1.9396986961364746, 0.7522249221801758, -0.22205719351768494, 0.5692964196205139, 1.7841683626174927, -1.095978021621704, 0.9151062369346619, -0.6606677174568176, -1.052775263786316, -0.8339347839355469, -0.9661815166473389, -1.3584272861480713, -0.011435138061642647, 0.6623625755310059, -0.9877547025680542, -0.05753354728221893, 0.4100665748119354, 0.9666451215744019, 0.5091774463653564, 0.526199996471405, 0.28689852356910706, 0.8300807476043701, -0.14933419227600098, -3.2365779876708984, 0.6099622249603271, -0.3842903673648834, -0.12941935658454895, -0.8362734317779541, -0.1019069254398346, 1.1936308145523071, -1.0953953266143799, 0.6836991310119629, -1.954506278038025, -1.1567562818527222, 1.5826044082641602, -1.0879608392715454, -0.7400756478309631, -0.9259061217308044, -0.3408261835575104, -0.010371673852205276, -0.7763869166374207, 0.71458500623703, -0.34467753767967224, -0.8927375078201294, 0.364491730928421, 0.4368479549884796, -0.480219304561615, -0.2815299332141876, -0.34977781772613525, -1.0256868600845337, 0.3229675889015198, -0.38415399193763733, -0.39428427815437317, -0.2614431381225586, 1.3653435707092285, 0.33664312958717346, 0.6182022094726562, 0.8484495282173157, 0.47261378169059753, -0.0248557198792696, -0.8558288812637329, 0.2186966985464096, 0.4434954524040222, 0.19626480340957642, -1.2481704950332642, 1.7935293912887573, 1.4127706289291382, 0.12037591636180878, 0.29712677001953125, -0.7149485349655151, 0.0064956494607031345, -0.19312593340873718, -0.9498136043548584, 0.6679380536079407, -0.4534619450569153, 0.411186546087265, -0.5411769151687622, 0.9905031323432922, -0.12177291512489319, 0.7808756232261658, 0.4266815781593323, 1.2610132694244385, -0.5457937121391296, 0.8580380082130432, -0.4825320541858673, 0.013769453391432762, 0.310581237077713, 0.025694670155644417, 0.4807477295398712, -0.4122477173805237, 0.6263402700424194, 0.34742048382759094, -1.1986669301986694, -0.2278546541929245, -1.5099833011627197, -1.5093390941619873, -0.16190804541110992, 0.9882808923721313, 0.23242004215717316, -0.6962950229644775, 1.0927647352218628, 0.6564512252807617, 0.40348124504089355, -1.7155698537826538, -0.32563623785972595, -0.8622995018959045, -0.7291395664215088, 0.6886653900146484, -1.7445296049118042, -1.69088876247406, -0.6690926551818848, -1.93926203250885, -0.3594244420528412, -1.06417977809906, 1.1391996145248413, 0.5036054849624634, -0.16092397272586823, -0.5053697824478149, -0.052292656153440475, 1.0948134660720825, 1.1997541189193726, 0.7149196863174438, -1.2014122009277344, 1.8350344896316528, 3.005838394165039, -0.6670553088188171, 1.8186237812042236, 0.7460883259773254, 0.05999187380075455, 0.5182425379753113, 1.8900628089904785, -1.5265533924102783, 0.7535215616226196, 0.9040327668190002, -1.3428013324737549, 0.9654102921485901, 0.3346441090106964, 0.9709026217460632, -0.4013071060180664, 0.5740812420845032, -0.6853197813034058, 1.680903673171997, -0.7811716198921204, -1.3126131296157837, -1.1679954528808594, 0.19562546908855438, 1.1500662565231323, 0.28932592272758484, -1.507798433303833, 0.5627526044845581, -0.5381442308425903, -0.4411941468715668, 0.23066061735153198, -0.5768129825592041, 0.13102099299430847, 0.29134947061538696, 1.3636282682418823, -0.5277595520019531, -1.2802395820617676, -0.04441656172275543, 1.6615222692489624, 0.1010204628109932, -0.29154250025749207, 0.7891587018966675, -0.5740057826042175, -0.6293969750404358, -0.7070832848548889, 1.002877950668335, 1.9970449209213257, 0.4366888999938965, -0.22900541126728058, 1.6504460573196411, -0.5642049312591553, -1.642633080482483, 1.534112811088562, 0.16816088557243347, -0.357902854681015, -0.2844747006893158, -0.8097829222679138, 0.7985736727714539, -0.45556023716926575, 1.5186560153961182, 2.1556687355041504, -1.328256368637085, -0.8287943005561829, -0.048012133687734604, -0.866920530796051, -1.2690041065216064, -0.19621875882148743, -0.06353010982275009, 1.8243597745895386, 0.38766029477119446, 0.7398889660835266, 0.021190432831645012, 0.09870338439941406, 1.5941482782363892, 0.45540064573287964, -1.2629272937774658, -0.4649573862552643, -0.007620524615049362, 1.6272006034851074, -2.8892970085144043, 0.19143223762512207, -0.9237065315246582, -0.9356803297996521, 0.4802422523498535, 1.214717149734497, -0.7603064775466919, -0.4315049350261688, 1.078755259513855, 1.7158033847808838, -1.302814245223999, 0.42521023750305176, -1.9643418788909912, -0.3867116868495941, 1.4937617778778076, -1.4165643453598022, -0.22650595009326935, -0.303667813539505, -0.3245825171470642, 0.963042140007019, -1.0090316534042358, 0.7168564796447754, -1.245016098022461, 1.3549058437347412, -1.6664223670959473, -1.2735342979431152, 0.8236340284347534, -1.7228550910949707, 0.25151029229164124, -0.9169669151306152, -0.6140979528427124, -0.6765232682228088, -1.418161153793335, -0.14373381435871124, 2.2280685901641846, 0.4188286364078522, -1.455039143562317, -1.428493857383728, -0.007845401763916016, -1.3328328132629395, -1.65674889087677, 0.7870310544967651, 1.448934555053711, 0.4934805929660797, 0.10107553750276566, -0.6553581953048706, -0.11441751569509506, -1.0761483907699585, -0.3204011619091034, 0.017720799893140793, -0.8664147853851318, -0.16835956275463104, -1.2355766296386719, 0.9831047058105469, 1.068516492843628, -0.4267110824584961, -0.23676536977291107, -0.2718593180179596, -0.11204469949007034, -0.31540611386299133, -1.1243903636932373, 0.6683861017227173, -1.3914625644683838, 1.5213755369186401, 0.303756982088089, 1.4561842679977417, -1.0613898038864136, 0.32235702872276306, -0.6306441426277161, -2.224231481552124, -0.35373663902282715, -0.11001363396644592, -1.1632440090179443, -0.6390556693077087, 0.4098907709121704, -0.7039781808853149, -0.2824101150035858, -1.3144325017929077, -1.211920142173767, -0.2364639788866043, 2.4315319061279297, 0.9579358100891113, -0.9889983534812927, -0.43590715527534485, 0.5909804105758667, 0.3721570670604706, -0.781470775604248, -0.6206745505332947, -0.14094850420951843, -1.1767656803131104, 0.12473012506961823, 0.29190751910209656, -0.3939042389392853, -0.6389281153678894, 0.8083316087722778, 0.5286428928375244, -1.165279507637024, -1.299867033958435, 0.7506712079048157, -0.45127803087234497, -0.19458676874637604, -1.009360671043396, 1.0754735469818115, 1.0034983158111572, -1.9519695043563843, -1.1318832635879517, 1.4124178886413574, -1.450041651725769, -1.0677742958068848, 1.7795883417129517, -0.18906651437282562, 0.5343779921531677, -0.8216288685798645, -0.6763383150100708, -1.1434121131896973, 0.5381996035575867, -1.4667414426803589, -0.05394476652145386, 0.7710714936256409, -0.5048521757125854, -0.8232241272926331, -0.9076321125030518, 0.2695935070514679, -0.17000380158424377, 1.4076157808303833, 1.3610650300979614, -0.1140974685549736, -1.2621663808822632, 0.7499727010726929, -0.4476591646671295, -0.6540712118148804, -0.07559311389923096, 0.07109885662794113, -0.2686294913291931, 1.172653079032898, 0.34725409746170044, 0.25432732701301575, 0.4087240695953369, 1.752772331237793, -0.2929433584213257, -0.6220548152923584, -2.596562623977661, 0.390536904335022, 0.6083093285560608, 1.5383543968200684, 0.34537920355796814, -0.39375895261764526, -1.0488548278808594, -0.9805468320846558, 0.10476215183734894, 0.8463583588600159, -2.329211473464966, 0.11283809691667557, -0.32922106981277466, 0.014685291796922684, -0.18481357395648956, 2.1215767860412598, 0.3164437413215637, -0.873525083065033, 2.1080567836761475, -1.144544005393982, 0.950323224067688, 0.874504566192627, -1.0193898677825928, -0.6080931425094604, -1.2601869106292725, 0.8393897414207458, 0.0844787135720253, 2.030543327331543, 1.2634888887405396, 0.6153793931007385, -0.5793225169181824, -0.14173293113708496, 0.6879669427871704, 0.5052883625030518, -0.4586527347564697, 1.308221697807312, -0.2643783390522003, -1.6646853685379028, -0.038812942802906036, 1.6602076292037964, 0.1832962930202484, 0.20429323613643646, -1.3865214586257935, -0.37075528502464294, -1.8859695196151733, 0.25228965282440186, 0.6167889833450317, -0.13666309416294098, -0.6001184582710266, -2.3663158416748047, -0.020781617611646652, 0.27006199955940247, 0.7880458235740662, 0.483102023601532, -0.2665198743343353, -0.21612216532230377, 0.09429817646741867, 0.6219328045845032, 0.6709900498390198, -0.07125207036733627, 0.8838919401168823, -1.34720778465271, -0.32400426268577576, 0.8855729103088379, 1.5565015077590942, -0.03965074568986893, 0.5558719038963318, 0.41086307168006897, -0.5265700817108154, 0.8465651869773865, 0.2144971340894699, 0.19636794924736023, 0.2837810218334198, -0.39861783385276794, -0.13505561649799347, -1.6561753749847412, -0.5225289463996887, -1.4060953855514526, -0.07934302091598511, -1.3164523839950562, 1.3433880805969238, 1.0756126642227173, -0.8868772983551025, -1.7052634954452515, 0.8891356587409973, -0.0021723671816289425, -0.026825832203030586, -1.3207324743270874, 0.8206720948219299, 0.98259037733078, 1.0431172847747803, -0.8400219082832336, -1.9297943115234375, -0.9326097965240479, -1.3422671556472778, 1.088829517364502, 0.5252192616462708, -0.1195325255393982, 1.0738816261291504, -0.8648442625999451, -0.03644203394651413, 1.3059675693511963, 1.5088458061218262, -1.1640864610671997, 0.7964310050010681, 0.1120247021317482, 0.4706985354423523, 0.4823375642299652, -0.5461031198501587, -1.0186727046966553, 1.0024402141571045, 0.857864260673523, 0.24867559969425201, 1.3260453939437866, -2.174909830093384, -0.02959396131336689, -0.7423228621482849, 1.1658655405044556, 0.3240528404712677, -0.0818699449300766, 0.7267695665359497, -1.4780441522598267, -1.666990876197815, 0.33914095163345337, 0.35258960723876953, -0.30941450595855713, 0.05567434802651405, -0.23107068240642548, 0.9419288635253906, 0.00011009427544195205, -1.1013705730438232, -0.3023310601711273, 2.009777307510376, -0.7659609317779541, -1.286386489868164, -0.4762773811817169, 0.6575167775154114, -0.18294757604599, 2.063920497894287, -0.7321813106536865, -0.36471861600875854, 0.9770045876502991, -0.5025262236595154, -0.9428110122680664, 0.5482110977172852, -1.3163591623306274, -0.29104068875312805, 1.770878791809082, 0.8308930993080139, -0.3073979914188385, 0.25954410433769226, 0.7733082175254822, -0.7339663505554199, -0.9441463351249695, 0.5726668834686279, -0.3423851728439331, -0.23929372429847717, 1.1219103336334229, 0.8543903231620789, 1.009194016456604, 0.5014854073524475, -2.4258689880371094, 1.3273138999938965, 1.4706714153289795, 0.07800794392824173, 1.4778753519058228, 1.7088122367858887, 0.38621482253074646, 1.232709527015686, 0.9727551937103271, 0.6241991519927979, -0.37725579738616943, 0.22496138513088226, -0.4800427258014679, 0.04259062185883522, -0.8380019068717957, 0.7889321446418762, -0.3237554430961609, 1.4182392358779907, 0.13987970352172852, -0.7099217772483826, -0.2825704514980316, 0.3452012240886688, -1.845156192779541, -0.2557279169559479, 0.3733343780040741, -0.1879780888557434, -2.5368309020996094, -0.32043033838272095, 1.2684524059295654, -0.5095586776733398, -0.30080556869506836, 0.5754680633544922, -0.10289712250232697, -0.15744738280773163, -1.265743374824524, 0.7152281999588013, -0.3664712905883789, 0.39934444427490234, -0.6003737449645996, 0.591361403465271, -0.07569289207458496, 0.07886256277561188, -3.3756954669952393, -0.16187527775764465, 0.3705056309700012, -1.79926598072052, 0.7229620218276978, -0.9032372832298279, 1.4627599716186523, 0.7135196924209595, -0.9019763469696045, -0.20535393059253693, -0.23330852389335632, -0.8531606197357178, -2.2579872608184814, 0.01742485724389553, -0.2410096824169159, -0.6882805228233337, 1.0947353839874268, 1.3593953847885132, -0.3941490054130554, 0.4871046543121338, 0.9694491624832153, 1.1122363805770874, -0.249028742313385, 0.6546258330345154, -0.8486823439598083, -0.31957346200942993, -0.2835139036178589, 0.27853280305862427, -0.6409921646118164, 0.13028335571289062, -0.5725288987159729, 1.7156656980514526, 0.07955919206142426, 0.3043287992477417, 0.3891742527484894, -0.5672948956489563, 1.1760708093643188, 1.4271467924118042, -1.3788444995880127, 1.4703543186187744, -1.306026577949524, 0.9624190330505371, 0.7410172820091248, -0.36553430557250977, -0.6941137909889221, 0.4278433620929718, 1.3296397924423218, -0.7660614252090454, 0.8882471323013306, 0.5299318432807922, -0.036387525498867035, 0.38317447900772095, -2.6250052452087402, 0.9637928605079651, -0.03637107461690903, 0.15513592958450317, -0.004989401437342167, 0.1359226405620575, -0.6596324443817139, 0.2062767744064331, 1.5969455242156982, 1.292650580406189, -0.9121674299240112, -0.08465114235877991, -1.0584142208099365, -0.4464664161205292, -0.3896911144256592, -0.3505825996398926, -0.30348771810531616, -0.9522428512573242, 1.2484461069107056, 1.7516676187515259, 1.148025393486023, 1.6542879343032837, -0.9200766682624817, -0.0031603698153048754, -0.24607360363006592, -0.9794127941131592, 0.9665504097938538, 0.5546644926071167, -0.259588360786438, -1.6051372289657593, 1.0460293292999268, -0.6589522361755371, -0.4425077438354492, -0.08535824716091156, 1.1046347618103027, -1.137215256690979, 0.03418644145131111, 1.4456796646118164, -0.45321154594421387, 0.48047810792922974, 0.6858087182044983, 2.5593035221099854, -0.9453831315040588, 1.1557658910751343, -0.553295910358429, -0.3143899738788605, -0.1960362046957016, -0.14269579946994781, 1.5859591960906982, -1.7023252248764038, -0.17970922589302063, 0.3389185070991516, -1.797286033630371, 0.9454199075698853, 1.2793760299682617, 0.333331435918808, 0.9419168829917908, 0.020989054813981056, 1.4521018266677856, 2.032939910888672, -1.7901787757873535, -0.33889803290367126, 0.48262470960617065, -0.6767734885215759, -1.2664098739624023, 0.21821290254592896, -1.6138677597045898, -0.3626423478126526, -1.123800277709961, -2.0696465969085693, 1.2573907375335693, -0.6021044254302979, -0.10687977075576782, -0.3042275309562683, -0.011016066186130047, -1.2000044584274292, -1.7152258157730103, -0.9155794382095337, -1.2045023441314697, 0.6032329201698303, -0.34469836950302124, -0.20033353567123413, -0.03446080535650253, 0.3002195954322815, -1.2277761697769165, 0.052019473165273666, -0.8020405769348145, 0.05449662730097771, 1.5497387647628784, 1.6106109619140625, 0.7977156043052673, -1.5549530982971191, 0.1619866043329239, 0.05889010801911354, -1.5342261791229248, 0.3417744040489197, 0.43731456995010376, 1.5310719013214111, -0.2289700210094452, -0.773393988609314, 0.660222053527832, -1.8310739994049072, 0.16789637506008148, 0.22202971577644348, 1.7741703987121582, -1.5185532569885254, -0.3324618935585022, 0.27976155281066895, 0.07977038621902466, 0.9599531292915344, -1.0887761116027832, 0.35016581416130066, 0.08177988976240158, -1.014587163925171, -1.3172484636306763, 0.8041781187057495, -0.7437820434570312, -0.44274279475212097, 0.6440708041191101, 2.5168027877807617, 2.205576181411743, -0.5855086445808411, -0.01378832757472992, -0.2800097167491913, -0.376512348651886, 0.20494866371154785, 0.8304189443588257, -0.6151191592216492, 0.31445592641830444, 0.3272842466831207, -0.04987339675426483, 1.2479677200317383, -0.04209752380847931, -0.26380833983421326, 1.1527378559112549, 0.02417529933154583, 0.41823363304138184, 0.82435542345047, 1.3697450160980225, 0.298964262008667, -0.9050942659378052, 0.012300425209105015, 0.5174001455307007, -0.977173388004303, -0.3860129415988922, -0.4926125705242157, 0.8273797035217285, 0.9029577970504761, 1.4721843004226685, 1.4742810726165771, -1.0951511859893799, -0.8486418128013611, -0.8482792377471924, -1.2837907075881958, -0.350443571805954, -0.12052755802869797, -0.013745849952101707, 2.2345385551452637, -0.6297392845153809, -0.14387668669223785, -0.6414504647254944, -0.5336140990257263, 0.8814291954040527, 0.2079465091228485, 2.231823205947876, -1.181814193725586, 0.587311863899231, -1.7504537105560303, -1.106709361076355, 1.095033049583435, -0.11998657882213593, 1.4224828481674194, -0.3578289747238159, 0.5742451548576355, -1.3539754152297974, -0.44158172607421875, -0.40630480647087097, -0.9098914861679077, 0.837285041809082, -0.4091460108757019, 0.880440354347229, -0.39574044942855835, 0.5053372383117676, 2.291914939880371, -1.2734006643295288, 1.7985085248947144, -0.08707243204116821, -0.07284475862979889, -0.8768885135650635, -0.13641239702701569, -0.31526342034339905, -2.04276967048645, 0.27704787254333496, -0.24855764210224152, 0.4785897731781006, 0.2323390394449234, 0.40502044558525085, 0.9508540034294128, 2.318082809448242, -0.1792953610420227, -1.1552391052246094, -0.3297334909439087, -2.39609432220459, -0.034405194222927094, 1.0463241338729858, -0.3724208474159241, -1.4488416910171509, -0.18188685178756714, 0.10021571069955826, -0.5531842708587646, 0.03816200792789459, 0.6919482946395874, 1.1505725383758545, -1.4509859085083008, -0.14372581243515015, 1.7743268013000488, 0.17224976420402527, -1.984620451927185, -0.830549418926239, -0.750475287437439, 0.44341254234313965, -0.8857983946800232, -0.8354527950286865, -1.9862909317016602, -0.9131707549095154, 1.872320294380188, -0.4072420001029968, -0.27704524993896484, -0.18214768171310425, -0.6570745706558228, -0.7256442904472351, 0.17373359203338623, -0.7143147587776184, 0.3305123746395111, -0.5247690081596375, 2.2696635723114014, -0.8296933770179749, -0.8265388607978821, 0.8705894947052002, 0.25473493337631226, -2.2033627033233643, -0.28391700983047485, 0.030467137694358826, 0.8491551876068115, 0.2427162379026413, 0.4110765755176544, 0.870233416557312, 0.11084668338298798, 1.3948651552200317, -1.555214762687683, -0.1158938929438591, -0.5139362215995789, -0.836483895778656, 1.0873701572418213, -0.07883477956056595, 0.20404890179634094, -0.494886189699173, 0.2456548511981964, -0.5483447909355164, 0.05557555705308914, -0.9501250386238098, -0.30259770154953003, 2.410348415374756, 1.0515044927597046, 0.1042226254940033, 0.27309727668762207, 0.4708990156650543, 0.5497845411300659, 0.7776956558227539, 0.8063593506813049, -0.629593551158905, -0.020929863676428795, 0.7597445845603943, -2.0053727626800537, 0.03256858512759209, 0.43566471338272095, 0.11407042294740677, 0.4115235209465027, 0.31444251537323, -0.3187146782875061, 0.3933941125869751, 0.918933629989624, 1.0818251371383667, 0.8254618048667908, -0.12259916961193085, 0.4169258773326874, 0.7319079637527466, 0.5413728952407837, -0.47042572498321533, -1.1441712379455566, -0.8628695607185364, -0.03254271671175957, 0.28302323818206787, -1.5704082250595093, 0.43794429302215576, 0.4462740123271942, -0.43264082074165344, 0.3769040107727051, 0.17109547555446625, 0.5763977766036987, -1.299199104309082, -1.630053997039795, 0.13248546421527863, -0.8437536358833313, 0.5425548553466797, 0.9082810282707214, -1.0842461585998535, 1.364396095275879, -0.8874723315238953, -0.6266174912452698, -0.021969057619571686, 0.8689419627189636, -0.7667891383171082, -0.7182198762893677, 0.7663047313690186, -1.275360107421875, -0.9217119812965393, -2.171311378479004, 1.2158417701721191, 0.15089206397533417, -2.1022748947143555, 0.5422102808952332, -1.1858757734298706, 0.2673948109149933, -0.8994836211204529, -0.2142501175403595, 0.6732779741287231, -1.0250468254089355, -0.3950502574443817, -0.4412979483604431, -0.8543994426727295, -0.44658079743385315, -0.24260355532169342, 0.3039132356643677, 0.05848323181271553, 0.3263966143131256, 0.4381454586982727, -1.1899807453155518, -0.02510649524629116, -0.9034807682037354, -1.1150741577148438, 2.1923139095306396, -1.5064363479614258, 0.46049052476882935, 0.4090234041213989, 0.43498602509498596, 0.6085940599441528, -1.8528324365615845, -0.2433265894651413, -0.35674503445625305, -0.6706176400184631, -0.5497968792915344, -1.013600468635559, -0.8475658893585205, -0.07455912977457047, 1.6059709787368774, -0.32409781217575073, 0.4027608335018158, 0.23839201033115387, -0.035878777503967285, -1.6673789024353027, -1.2642230987548828, -0.30353260040283203, 0.532782793045044, 1.109428882598877, 1.1116455793380737, 0.533105731010437, -0.5947372317314148, -0.20092235505580902, 0.42227667570114136, -0.5763557553291321, 0.8249891996383667, 1.0385079383850098, -0.272168904542923, 0.04971401020884514, 1.9627234935760498, -1.0755674839019775, -0.8375639319419861, 1.055061936378479, 0.447910875082016, -0.6019465923309326, -0.2874002158641815, -1.31087327003479, -0.06091069057583809, -1.991489052772522, -0.07315751165151596, -1.489963173866272, 0.6633723378181458, -0.4052782952785492, -1.6021214723587036, -1.0878746509552002, 0.09536206722259521, -0.6806148886680603, -0.3957379460334778, 1.2052494287490845, -0.4274696409702301, 0.8200352787971497, 1.0293763875961304, 0.2694001793861389, 1.228352427482605, 1.1165531873703003, 0.7176846265792847, -0.8271130323410034, 2.5265705585479736, -1.0410586595535278, 1.0421520471572876, -2.771451950073242, -0.6535794734954834, 0.7144590020179749, 0.6253643035888672, -0.009566233493387699, -0.2312687635421753, 0.4661984443664551, 2.803239345550537, 0.06549514830112457, 1.2326874732971191, 1.5565879344940186, -1.2381513118743896, -1.3372185230255127, 0.15224558115005493, -0.27828001976013184, 0.22517706453800201, 2.2519702911376953, 0.5573667287826538, -0.6883527636528015, 1.160270094871521, -0.5243362784385681, -1.4235533475875854, -0.023433320224285126, -1.0900079011917114, -0.749174952507019, -1.1185797452926636, -2.5986998081207275, -1.3072295188903809, -0.7419906258583069, 0.3063795864582062, 1.8920361995697021, 1.5728899240493774, -0.3842776417732239, 0.6476058959960938, 0.3799889087677002, -1.422702670097351, -2.0387814044952393, 0.005590816959738731, -0.597445547580719, 1.0635584592819214, -1.6257797479629517, -0.04882359132170677, -2.0407161712646484, -0.5018109679222107, -0.8908683657646179, -0.3986993432044983, 0.3869275152683258, 0.4643200933933258, -1.4193183183670044, -1.3493319749832153, -0.9634238481521606, -0.8709875345230103, -0.5300039052963257, 0.49513712525367737, -0.61577308177948, 0.6522116661071777, -1.036310076713562, -1.233909249305725, 0.11568016558885574, 0.20354776084423065, -1.782572865486145, 0.8366865515708923, -0.8953268527984619, -1.3912636041641235, -0.3999345004558563, 0.6535351276397705, -0.28907230496406555, -1.3281878232955933, 0.5279808640480042, -1.2689119577407837, -0.5809227824211121, -0.480464369058609, -1.538870930671692, -0.5542823672294617, 0.478127121925354, -0.8740840554237366, -0.9684928059577942, 0.38209813833236694, -0.28014448285102844, -2.292783737182617, -1.4788974523544312, -0.4686032831668854, 1.4194341897964478, 1.3514245748519897, 0.32661762833595276, 1.5508970022201538, -0.0648869052529335, -0.21424944698810577, 0.9065638184547424, 1.952483057975769, -1.643048644065857, -1.761695146560669, 0.20615409314632416, -0.1953161060810089, 0.4695403575897217, -0.9114460349082947, -0.47414925694465637, -2.4042394161224365, 0.8030383586883545, 0.694354236125946, -0.2973862290382385, 0.25301191210746765, -0.561616837978363, 0.5879563093185425, 0.9729506969451904, -0.9122083783149719, 0.8802462220191956, -0.17470775544643402, 0.8314825296401978, 2.0508320331573486, -0.7589508295059204, -1.2087440490722656, 0.346082478761673, -0.9615646600723267, 0.5561419129371643, -2.4569146633148193, 1.1558799743652344, -1.253156065940857, -3.260952949523926, 0.28302812576293945, -1.097280502319336, -0.5267820954322815, -0.4135472774505615, 0.6836467385292053, -0.09254273772239685, -0.7708765268325806, -1.2778847217559814, 1.7634813785552979, -0.9014755487442017, -0.8993975520133972, 1.2980653047561646, 0.04937838762998581, -0.5005548596382141, -0.29632869362831116, -1.3331806659698486, 0.14079657196998596, -0.14233945310115814, -0.39981552958488464, -1.1256153583526611, 2.07602596282959, -0.2684623599052429, 1.8170301914215088, -0.22963359951972961, 1.042650818824768, -0.35833558440208435, -0.2057259976863861, -0.2786875069141388, -0.7285690903663635, 1.0689704418182373, 1.6281509399414062, -0.07189316302537918, -0.4523574113845825, 1.1474378108978271, 0.4641014337539673, -1.7348350286483765, 2.0236878395080566, 0.6849326491355896, 1.7012258768081665, 1.2700449228286743, -1.6707204580307007, -0.020067693665623665, 1.8727526664733887, 0.8545698523521423, 1.246941089630127, 2.637997627258301, 1.8364567756652832, 1.515425205230713, 0.3393738865852356, -0.14986929297447205, 1.643630862236023, 0.3003864288330078, 1.071606993675232, -0.31685611605644226, 1.4822849035263062, 0.20936280488967896, -1.2420997619628906, -0.30530470609664917, 2.118950843811035, -0.4727292060852051, 1.3056644201278687, -1.341822862625122, -0.1636877954006195, 0.7521440982818604, -1.0103482007980347, 0.7269249558448792, 2.39206600189209, -1.3439905643463135, -1.7321622371673584, -0.59391188621521, 0.6173830032348633, -0.3430461883544922, -0.6396940350532532, -1.696914553642273, -0.8621206283569336, -0.9358572959899902, 0.7947638630867004, 2.359053373336792, -1.1220782995224, -1.1382166147232056, -0.9230205416679382, 0.4699888825416565, -0.6956472396850586, -1.0813093185424805, 0.7684537768363953, -0.8826280236244202, 0.5839304327964783, 0.6608791351318359, -0.14657078683376312, -0.018392350524663925, -1.1513876914978027, 0.6552022695541382, 0.9268220663070679, 0.07538852840662003, 0.8179835677146912, 0.039305564016103745, -0.7550857067108154, -0.21704958379268646, 0.6460146903991699, 0.17781366407871246, 2.2745134830474854, 2.206421375274658, -1.888062834739685, 1.7860199213027954, 2.272691488265991, -0.3223630487918854, 0.1661384403705597, -0.45659610629081726, -0.08658594638109207, 0.7901572585105896, 2.140627861022949, -0.05648824945092201, -0.16891221702098846, -0.48295894265174866, -0.004218840040266514, -0.24122612178325653, -1.8755496740341187, -0.762092113494873, 0.1727195382118225, -0.46027904748916626, 1.1570403575897217, 0.17353026568889618, -0.19592471420764923, -1.5528208017349243, -0.2247426062822342, -0.7035686373710632, 1.7229915857315063, 0.625514805316925, -2.3497838973999023, -0.12358105927705765, -0.2912680506706238, -0.9140095114707947, -0.5944027900695801, -2.0367863178253174, 0.5600269436836243, 0.18407589197158813, -0.09319064021110535, 0.18376781046390533, -0.9694527983665466, 0.06278301030397415, -0.2810739576816559, -0.04599261283874512, 1.065782070159912, 1.2657274007797241, 0.9128894805908203, -1.9879820346832275, 1.8009839057922363, 0.5271776914596558, 0.4592186212539673, -0.04479309171438217, -0.6351518630981445, -0.41154319047927856, 1.9017382860183716, 0.39038243889808655, -1.0512642860412598, 1.0708503723144531, -0.8413100242614746, 0.2456435263156891, -0.028285333886742592, 0.6689935326576233, -1.8110533952713013, 0.3935927450656891, -1.311453104019165, -0.05385543778538704, 1.6385570764541626, -0.7708685994148254, -1.335597038269043, 1.8372081518173218, 0.13934685289859772, 1.056168794631958, -0.37818512320518494, 1.7334712743759155, 1.965598225593567, 0.8394708633422852, 0.27906182408332825, 1.2642697095870972, 2.308345079421997, 0.15257835388183594, 0.9890499114990234, 0.10842977464199066, -0.03535204753279686, -0.32025855779647827, 0.11299780756235123, -0.8492981195449829, -0.014713065698742867, -0.906340479850769, -0.7272739410400391, -0.787389874458313, -1.5979684591293335, -0.8761745691299438, 0.9851328730583191, 1.303655743598938, 0.512602686882019, 0.4102156162261963, -0.11589865386486053, -0.0243663489818573, 0.6416152715682983, -0.7483262419700623, 1.137200951576233, 0.8168578743934631, 0.5969394445419312, 0.1818947046995163, -0.1384871006011963, 0.8062418699264526, 0.8062119483947754, 0.8921767473220825, 0.7707064747810364, -0.7206308841705322, 0.687203049659729, 1.4741592407226562, -1.9359047412872314, -1.1851017475128174, -1.356216311454773, 0.7947947978973389, -1.7806841135025024, 0.3530494272708893, -0.22667065262794495, 0.9696542024612427, -0.27419981360435486, -0.8857398629188538, -0.8653574585914612, 0.1302977055311203, 0.5867297053337097, -1.3421207666397095, -0.03592069819569588, -0.4432648718357086, 0.14850245416164398, 0.045422427356243134, 0.2270694524049759, 0.2723597586154938, 0.6213591694831848, 2.043694496154785, -1.8530610799789429, 0.18437068164348602, 2.3312039375305176, -1.269078016281128, -0.3633780777454376, -0.9054561257362366, 1.165679931640625, -0.21128453314304352, -1.7220808267593384, 1.0471127033233643, 0.29737088084220886, -0.13929983973503113, -0.013977283611893654, -0.45131534337997437, -1.4162439107894897, -0.524907648563385, -1.3237457275390625, 0.6947073340415955, 0.18112125992774963, -1.6194521188735962, -0.8813993334770203, -2.2042853832244873, 0.45356932282447815, 0.5649322867393494, -0.3941814601421356, 0.00311090680770576, 2.5478298664093018, -0.616400957107544, 1.6979860067367554, -0.9815937876701355, 0.43721848726272583, 1.8396403789520264, 0.560344398021698, -0.5622568726539612, -0.4127858877182007, -1.3453800678253174, 1.0670442581176758, -0.6534702181816101, 0.7779728770256042, -0.5271583795547485, 1.3017932176589966, 0.33739879727363586, 1.5420217514038086, -0.4015461206436157, -0.6060316562652588, 0.5669518113136292, -0.0890507623553276, -0.7470173835754395, -0.31302332878112793, -1.1652177572250366, -1.9771294593811035, 0.15924112498760223, 2.2643678188323975, -0.5357622504234314, 1.4666361808776855, -0.6629698872566223, -0.7631149291992188, -0.30985027551651, -0.5091078877449036, 0.27111363410949707, -0.522592306137085, -0.01198647078126669, -1.1322849988937378, 0.4914545714855194, -0.5499143004417419, -0.3918861746788025, -1.967681884765625, 1.3238030672073364, -0.9065457582473755, -1.0167999267578125, -0.07355965673923492, -0.9671895503997803, -0.8145716786384583, 0.5471686720848083, -0.08620382845401764, 0.565158486366272, 0.7171145081520081, -0.21839751303195953, 0.24924913048744202, -0.5482525825500488, -0.5464745759963989, 0.27679717540740967, 0.0233794916421175, -0.19441388547420502, 0.19257529079914093, 2.582766056060791, 3.244075298309326, 0.08227609843015671, 0.6039345860481262, 1.7051379680633545, -0.3293271064758301, 1.0668439865112305, 0.10713644325733185, -0.32055434584617615, -1.8776545524597168, 0.09502309560775757, -1.1765938997268677, -0.4097159504890442, -0.021219192072749138, -0.9251251816749573, 0.0707595944404602, -1.6180461645126343, 0.023781215772032738, -0.9928872585296631, -0.2475036382675171, 1.2214983701705933, 1.0722923278808594, -0.4006781578063965, 0.8374471664428711, 3.401965618133545, -1.4183039665222168, 0.2381695955991745, 0.14567551016807556, -1.3344517946243286, 0.6789168119430542, -1.0421937704086304, -1.4554287195205688, -0.5492775440216064, 1.9760338068008423, -2.182044267654419, -0.4871910512447357, -0.07472240179777145, 2.400921106338501, -1.2279685735702515, -0.22335319221019745, -1.1328685283660889, 1.328018307685852, -0.6146579384803772, 0.639716625213623, 1.4916294813156128, -0.6489081382751465, -1.1989408731460571, 2.2156436443328857, -0.6072015762329102, 0.6131510138511658, -1.141777753829956, -1.851104974746704, 0.8255952000617981, -0.7489026784896851, 0.5515019297599792, 0.11247353255748749, 0.6336606740951538, 1.2036212682724, -0.6946539282798767, -1.8912880420684814, -1.3120498657226562, -0.44047948718070984, 0.9260449409484863, 0.8400740027427673, 1.4732000827789307, -0.17419122159481049, 0.23876655101776123, 0.354329913854599, 0.5171401500701904, 0.3756054937839508, 0.476681649684906, 0.385615736246109, -0.10454010218381882, -1.5411018133163452, 0.2576335668563843, -0.1335756629705429, 0.6541974544525146, 0.44682735204696655, -0.8232903480529785, -0.9948770999908447, -0.82359379529953, -0.2983904480934143, -1.1246542930603027, 0.04737706854939461, -0.16322959959506989, 0.2579372227191925, 0.9137547016143799, -0.9364953637123108, -0.2005380392074585, 0.2159852683544159, 1.3839197158813477, -1.0641775131225586, 0.5762823224067688, 0.10662133246660233, -0.5458076000213623, 0.5030589699745178, -0.46884962916374207, 1.4483922719955444, 1.3351856470108032, 0.7861540913581848, -1.1118463277816772, 2.3240504264831543, -0.6916543245315552, 1.187977910041809, -1.5177949666976929, -1.6970107555389404, 0.5347738862037659, -0.16245444118976593, -1.6307896375656128, 0.08204667270183563, -0.22922520339488983, -0.1087348610162735, -2.020359992980957, 0.07218007743358612, 0.5022709369659424, 0.1082027405500412, -0.7312322854995728, -0.27438437938690186, 0.641117513179779, 0.5822780728340149, 1.330085039138794, -0.12080778181552887, -0.08325708657503128, 0.021544598042964935, -0.6527546048164368, -0.26333317160606384, 1.5465589761734009, 0.8503562211990356, 0.2468189150094986, 0.04554034769535065, 0.9167720079421997, 0.2957551181316376, 0.588156521320343, -0.6226790547370911, 0.5846660137176514, 0.029636215418577194, 0.8403398394584656, -1.1195968389511108, 1.0474307537078857, -2.4946744441986084, -1.0151393413543701, 0.3943714201450348, 1.7463798522949219, 0.5431172847747803, -0.9118648767471313, 1.149332046508789, -0.6077572107315063, 2.2633492946624756, 1.3156373500823975, 0.44535478949546814, -0.05711595341563225, -0.41948553919792175, -0.7387323975563049, -0.5959720611572266, 0.09012433886528015, 0.4077495336532593, 0.17006246745586395, 1.6818301677703857, 1.3772410154342651, 0.5541157126426697, -0.34136492013931274, 0.39488330483436584, 0.05752289295196533, -0.6464298367500305, -2.1992413997650146, -0.7689751982688904, 0.2892783582210541, -1.2376502752304077, -0.7346011996269226, 0.7637076377868652, -1.3534550666809082, -0.08465259522199631, 0.4068939685821533, -0.2289644181728363, -1.202679991722107, 0.44271376729011536, -1.583644151687622, -0.7374725937843323, -0.8951968550682068, -1.6586450338363647, 0.6475549936294556, 1.998023271560669, 0.7235881686210632, 0.8118495941162109, -0.851662278175354, -0.6930731534957886, 0.9049004912376404, 0.7362302541732788, -0.2946908175945282, -2.174682855606079, 0.8238955140113831, -1.5563865900039673, 1.5296217203140259, 0.651678740978241, 0.5248415470123291, -0.7661757469177246, 0.7334497570991516, 0.5762404799461365, 1.4535845518112183, 1.3563799858093262, 0.8256187438964844, -0.012318816967308521, -2.044198989868164, 0.7316962480545044, -0.5020868182182312, -0.029028648510575294, -0.29328298568725586, -0.08764331787824631, -1.5130524635314941, 0.07617522776126862, -0.35276681184768677, 1.1210564374923706, -1.559715747833252, -0.3597967326641083, -1.3755865097045898, 0.3700011968612671, 1.1071878671646118, 1.176038384437561, 0.4134428799152374, -0.8919583559036255, 2.3230929374694824, 0.38296473026275635, 1.677004337310791, -0.030353963375091553, 1.2213832139968872, 0.2839612662792206, 0.3950114846229553, -0.0519038550555706, 0.46143895387649536, -0.24557888507843018, -0.6023055911064148, -1.0806392431259155, -1.5716973543167114, -1.81145179271698, -1.2702863216400146, 0.03934340924024582, -0.6439033150672913, 1.8836673498153687, -0.4744533896446228, -0.07827498018741608, 0.7058804035186768, 1.2403864860534668, 0.7367730736732483, 0.7642998099327087, 0.9518704414367676, -0.5047237873077393, 0.6869058012962341, -0.01566178910434246, 1.7426756620407104, 1.1917548179626465, 0.5638572573661804, -0.10656354576349258, 0.7906145453453064, 0.5575593113899231, -0.2500809133052826, -1.058761477470398, -1.5254939794540405, 0.916799008846283, -0.0013810794334858656, -0.936930239200592, -0.3412127196788788, 0.4374239444732666, -0.3384522795677185, 1.5250649452209473, -0.9652222990989685, 0.28702566027641296, -0.27650582790374756, 0.3985905051231384, -0.18067069351673126, 0.7570861577987671, 0.7441232204437256, -1.1855624914169312, 1.4434077739715576, 0.8847243785858154, -1.8547462224960327, 1.633096694946289, 0.6078956723213196, -1.5191900730133057, -0.2288902997970581, -1.1607340574264526, -1.6493682861328125, 0.6828528642654419, 0.21317248046398163, 0.7737591862678528, -0.21136857569217682, 0.07771031558513641, 1.063342571258545, -0.902866542339325, -1.728739857673645, 1.3762586116790771, 1.027310848236084, -0.4598008692264557, 0.9357568621635437, -1.1934188604354858, -0.1946382373571396, 0.2956477403640747, 1.3036867380142212, 0.38769087195396423, -0.34988462924957275, 0.05515725165605545, 0.3991900682449341, 0.07468787580728531, -0.4277036786079407, -0.0807262733578682, -0.2031329870223999, 1.3462938070297241, 0.5463308691978455, 0.9680899381637573, -0.6682080626487732, 0.04699946194887161, 0.7796719074249268, -0.828080952167511, -0.8331315517425537, 1.7866220474243164, 0.2062859684228897, -0.34847989678382874, -0.3552549481391907, -0.2470424771308899, 1.7085466384887695, -0.38171201944351196, 0.11945971101522446, -1.4484214782714844, -0.3050991892814636, -0.3139943480491638, -0.24127964675426483, 0.7611622214317322, 0.18201591074466705, 1.3296244144439697, 0.9198959469795227, -0.6166555285453796, -1.0898789167404175, -0.5347515344619751, 0.17164857685565948, -0.029121682047843933, 1.0202367305755615, -0.09391669929027557, -2.114697217941284, -1.8967187404632568, -0.14199598133563995, -1.0234758853912354, 2.848355293273926, 0.015050928108394146, 2.1340489387512207, -0.3621825575828552, 0.8797886967658997, -0.655188798904419, 0.35325950384140015, 0.16827557981014252, -0.5465255975723267, -0.7655144333839417, 0.6119767427444458, -1.2299977540969849, 0.37235957384109497, 2.3253042697906494, -1.4741650819778442, -1.6142076253890991, -0.2578375041484833, 0.8816267848014832, -2.2777886390686035, 1.176460862159729, 1.0040868520736694, -0.41211703419685364, -0.1449890285730362, 0.36975228786468506, 1.8264483213424683, 0.5923812985420227, -0.20041701197624207, 0.8062884211540222, -0.7692974209785461, -1.3976356983184814, -0.6776813268661499, -0.44364282488822937, 0.35286304354667664, 0.40531447529792786, -0.503899097442627, -2.2281150817871094, 1.3834506273269653, 1.2243313789367676, -2.362051248550415, 0.7507538795471191, 1.912343144416809, -1.4434727430343628, -0.40846747159957886, 0.6308108568191528, -0.8200058341026306, -0.2884688675403595, 1.7020782232284546, -0.31122368574142456, 1.289867877960205, -1.643904447555542, -0.2585505545139313, 1.1182631254196167, -1.6860240697860718, 0.7867898941040039, -0.3389512896537781, -2.008065700531006, 1.6845201253890991, -1.1432029008865356, -3.449233293533325, -0.8994902968406677, -0.32220736145973206, 1.0699830055236816, -1.6478891372680664, -0.6622599363327026, -0.4707890450954437, 1.4557902812957764, -0.3554947078227997, 0.11977983266115189, -0.6551889181137085, 0.7711433172225952, -0.0011368158739060163, -0.267171710729599, -1.0862855911254883, 0.7619057297706604, 1.1541191339492798, 0.23057709634304047, -0.4176897406578064, 1.8263598680496216, -0.6232911348342896, -0.6975599527359009, 0.53948575258255, -0.9489094018936157, -1.6017513275146484, 0.0721290111541748, -0.3624616861343384, 0.4554465413093567, 0.2631482481956482, -0.7749741077423096, 1.1511211395263672, 1.1852296590805054, -0.27465468645095825, 0.6928967237472534, -0.07190441340208054, 1.5909348726272583, -0.3467760682106018, -1.0261285305023193, -0.4855276942253113, -0.9232925176620483, -1.6421279907226562, -1.5343122482299805, 0.4831543564796448, 0.47839921712875366, -0.4679025113582611, 0.8334946632385254, -0.6324127316474915, -0.740824282169342, 0.013514377176761627, -1.0165907144546509, 1.074611783027649, 0.20355923473834991, -0.69161057472229, 0.16800013184547424, -0.5125889778137207, 0.13713528215885162, -0.15430901944637299, 1.5444042682647705, -0.34452635049819946, -0.2718866765499115, -0.3213287889957428, 0.1649221032857895, -0.33378440141677856, -0.16336211562156677, 0.3235350251197815, 1.5895582437515259, -1.6203315258026123, 0.3663218915462494, -1.0490320920944214, 1.6059297323226929, 0.3401378393173218, 0.08265361189842224, -0.039469119161367416, 0.19312644004821777, 0.24299558997154236, -1.9713759422302246, -0.463611900806427, 0.1567232608795166, -0.7289005517959595, -0.15160591900348663, 0.9485500454902649, 1.2776858806610107, 0.3637290894985199, -0.6737762689590454, -1.1341768503189087, 2.45371150970459, 0.9154031872749329, 0.42087990045547485, -0.9213005900382996, 0.9565200209617615, 0.9484512805938721, -0.24845585227012634, 0.8137527108192444, -1.0916236639022827, -1.0479389429092407, -0.37410324811935425, -0.830382764339447, 1.0210405588150024, -0.30806195735931396, 0.8766975402832031, -0.3222673535346985, 0.35650745034217834, 0.916735053062439, 0.7703878283500671, 0.03308679163455963, -1.125731348991394, -1.2496987581253052, 0.7524424195289612, -0.829600989818573, -1.2873387336730957, 0.3448631465435028, 0.11235600709915161, 0.44704604148864746, 0.19218192994594574, 0.6410393714904785, 0.2120399922132492, -2.1558377742767334, -0.5014426708221436, -0.9835454821586609, 0.5775357484817505, -1.3235961198806763, -1.8908913135528564, -1.3766965866088867, -0.38437432050704956, 0.20721936225891113, 1.1621078252792358, 0.8952664136886597, -1.3200674057006836, 0.17373163998126984, -0.8476453423500061, 0.0721006989479065, -2.0092546939849854, -0.026077887043356895, 1.4603705406188965, -0.19472812116146088, -0.7151294946670532, -1.9953831434249878, -0.045924313366413116, 0.7189103364944458, -0.27430567145347595, 0.8979010581970215, -0.36061012744903564, 0.8313868045806885, 0.4014393985271454, -0.09198658168315887, -1.1494996547698975, 0.70257169008255, 1.0088913440704346, -0.4261929392814636, 0.10278109461069107, -1.7896325588226318, -1.6129450798034668, -0.027901114895939827, -0.19231680035591125, -0.12266367673873901, -0.7406929731369019, 0.48346325755119324, 0.7581200003623962, -2.15787410736084, -0.9105369448661804, 0.48902004957199097, -0.06776871532201767, 1.9453256130218506, -0.37250375747680664, -0.38077378273010254, -0.4979255795478821, 0.01735195890069008, -0.27030298113822937, -1.497955560684204, 0.4291132986545563, -0.8338140249252319, -1.6883512735366821, -0.7023576498031616, 1.1573944091796875, -1.070959210395813, -0.34180018305778503, -0.18285422027111053, 0.7597830295562744, 0.5832929015159607, 0.08891157805919647, -1.8231332302093506, -0.5571079850196838, -0.22651274502277374, -0.17855077981948853, 1.0976499319076538, 0.307036429643631, 0.30333632230758667, -1.3613518476486206, -0.5836979150772095, 2.3713698387145996, 1.326180100440979, -0.843132495880127, 0.8203239440917969, -0.44521376490592957, 0.1334034949541092, 0.12948431074619293, -1.1625033617019653, 0.15547087788581848, -1.159476637840271, 0.8650888800621033, -0.3979487121105194, 0.8017382025718689, -0.32143038511276245, -1.6496027708053589, -0.32303452491760254, 0.556955099105835, -0.9020375609397888, 1.7726553678512573, -1.1722599267959595, -0.6488686800003052, 0.586386501789093, 0.00042107576155103743, 0.5358234643936157, -0.08131777495145798, -0.2164856344461441, 0.3881606161594391, -0.9016274213790894, -0.15984104573726654, -0.9678434133529663, 0.9524245262145996, -0.6604739427566528, -0.33642077445983887, 1.0934760570526123, -0.11868942528963089, 1.8392518758773804, 0.5470183491706848, 0.8606489896774292, -1.0533055067062378, 0.38453710079193115, -0.007075744215399027, 0.017344802618026733, -0.5759696364402771, -2.3668103218078613, 0.03407028689980507, 0.425161749124527, 1.7933838367462158, 0.15217553079128265, -0.5356184244155884, 0.6835731267929077, -1.4096094369888306, -1.345381498336792, 0.16187193989753723, 2.799360513687134, -0.6264840960502625, 1.666897177696228, 1.0165263414382935, 0.8465051651000977, -2.0476651191711426, 0.9589825868606567, -0.5699726343154907, 0.7997187972068787, -0.07139082998037338, -0.6135587096214294, 0.09964653849601746, 0.2661575675010681, 1.1800416707992554, 1.2305102348327637, -2.3638100624084473, -0.051582030951976776, -1.4396722316741943, -0.0031868750229477882, -0.5734377503395081, 0.05941503122448921, -0.14975811541080475, 0.646867573261261, -1.1887156963348389, -0.37874308228492737, -1.6036494970321655, 1.2108701467514038, -1.504134178161621, 0.5216859579086304, -0.065963976085186, 0.8760635256767273, -1.3062174320220947, -0.5455818176269531, -2.236994743347168, -0.7596415877342224, 0.6462644338607788, 1.3678656816482544, -0.7994662523269653, -0.8498806357383728, -1.188349723815918, -0.49637043476104736, -0.9247828125953674, 1.3192567825317383, -0.37757715582847595, -1.6146341562271118, -0.26061195135116577, 1.308403730392456, 1.5898908376693726, -0.318386435508728, 0.7106189131736755, 0.44394606351852417, -1.0973539352416992, -0.0910564735531807, 0.07654142379760742, -1.1272881031036377, -2.039914846420288, -0.7867094874382019, 0.5819078087806702, -0.892834484577179, 0.0417465977370739, 0.315324604511261, -0.7691383957862854, -0.6168063879013062, 1.0449379682540894, 0.2210017740726471, -0.7559813261032104, 0.25143590569496155, -0.5580035448074341, 0.03656936064362526, 0.2387298196554184, 0.32500046491622925, 0.8230841159820557, 0.9154415726661682, -1.1801656484603882, 0.7798476219177246, -0.8248966932296753, -1.2942638397216797, -2.1489057540893555, 0.6935673356056213, 1.207053542137146, -1.6959384679794312, -1.492424726486206, -1.0997594594955444, -1.3518040180206299, -1.5240061283111572, -0.5324539542198181, -1.3994272947311401, -1.9979990720748901, 2.7460708618164062, -0.097201406955719, 1.2226572036743164, 1.8828189373016357, -0.4241769313812256, 0.3547089099884033, -1.4982808828353882, -0.7468609809875488, -1.7522356510162354, -0.3776576519012451, -1.1263624429702759, 1.0604994297027588, 0.608616292476654, 0.056096985936164856, -0.7912100553512573, -1.1815189123153687, 0.5391621589660645, -0.15875598788261414, 0.3501698672771454, -1.8448095321655273, -0.22196154296398163, -0.40394142270088196, 0.32637402415275574, -1.465158462524414, 0.16479195654392242, -1.8790618181228638, 0.06825217604637146, 0.4770403802394867, 0.3497086763381958, 0.26780831813812256, 0.6769090890884399, 2.8680100440979004, 2.477419137954712, 0.044553063809871674, -0.26931777596473694, 0.3444710075855255, -0.346732497215271, -0.0177188441157341, 0.09556906670331955, 0.6821619868278503, -0.5836282968521118, -0.017441296949982643, -1.0253320932388306, -0.34624508023262024, -0.1952366679906845, -0.8966236710548401, -1.8392322063446045, 0.13912147283554077, -1.379726767539978, -0.43513762950897217, -0.029790958389639854, -1.4768710136413574, 0.8469051122665405, -0.30418503284454346, 0.6674486994743347, -0.3024864196777344, -0.2734410762786865, 1.0608803033828735, 0.18306465446949005, -1.4833377599716187, 0.3477642238140106, -1.2611359357833862, 0.3522428870201111, -0.48007771372795105, -0.6093661785125732, 0.5570003986358643, -1.1880724430084229, 0.24129603803157806, -0.8734959363937378, 1.4327657222747803, -1.6112897396087646, 0.42461928725242615, -1.144239068031311, 0.46331968903541565, 0.8701244592666626, -0.5784111618995667, 0.24540914595127106, 0.5956642627716064, 0.5090043544769287, -0.9121590852737427, -1.312225103378296, -0.8929871916770935, -0.5698310136795044, 1.3426148891448975, 0.5807031989097595, 0.30179694294929504, 1.105453610420227, -0.5251950621604919, -0.7107661366462708, 0.46030476689338684, 0.11146877706050873, 0.15520760416984558, -1.9304627180099487, 0.9325118064880371, 0.9211145043373108, -0.17007890343666077, 0.6791078448295593, -0.5878718495368958, -0.021740281954407692, -1.7337250709533691, -0.1616576462984085, -0.5668477416038513, -1.6126009225845337, 0.6457895040512085, 1.264424443244934, 0.8210866451263428, 0.6808934211730957, -0.06337086111307144, 0.7486642003059387, -0.0616779625415802, 0.3169226348400116, 1.9074573516845703, 0.46725186705589294, 0.6743290424346924, 1.1772252321243286, 0.32386326789855957, -0.27999410033226013, 0.4550125002861023, -0.5397028923034668, 0.2784583568572998, -1.7699456214904785, -0.37272465229034424, 0.9810560345649719, 0.08937150985002518, 0.3880482614040375, -0.37265855073928833, -0.6180869340896606, -0.903293788433075, 1.0763570070266724, 0.4241406321525574, 1.6331102848052979, 0.6913025975227356, -0.6509629487991333, 0.46198439598083496, -0.01410600170493126, -0.8287907838821411, -2.532825231552124, 2.097853183746338, 0.13972197473049164, 0.29025936126708984, -0.7153899669647217, 0.9321137070655823, -1.028818964958191, -1.3689602613449097, -1.035293459892273, -0.2613801062107086, 1.5768071413040161, -1.6710305213928223, 0.036041904240846634, 0.9261952638626099, 1.3105344772338867, -0.5194051265716553, -1.0128856897354126, -0.8204748034477234, -0.5410093665122986, 0.9653401970863342, -1.4696649312973022, -0.8070099949836731, 0.8904715180397034, 1.0878784656524658, -0.35996463894844055, 0.497260719537735, 0.10270451754331589, -0.23799198865890503, -1.997695803642273, -2.3981504440307617, -0.5807722806930542, 0.4009977877140045, 0.20163099467754364, 0.15301819145679474, -1.843471884727478, 0.4319072663784027, -1.7007498741149902, 0.09527882933616638, 0.168683722615242, -0.19976457953453064, -0.38757216930389404, -0.28936949372291565, 1.0994529724121094, 0.4892084002494812, 0.8506326079368591, 0.20440568029880524, -1.4273117780685425, -0.6761094927787781, 1.3626891374588013, 1.5000230073928833, -0.5809670090675354, -1.025148868560791, 0.13589096069335938, 0.7803585529327393, 0.1337530016899109, 0.7170368432998657, -1.188468098640442, 0.18290741741657257, -0.01235929038375616, -0.008419087156653404, 1.417633295059204, -0.6221662759780884, -2.5485403537750244, -0.41540148854255676, 0.41612452268600464, -0.8507968783378601, 0.00670124776661396, -0.5458590388298035, 1.1768100261688232, 1.174943447113037, -0.2571554183959961, 0.6921184659004211, -0.3516508638858795, -1.3619993925094604, 0.6945755481719971, -0.3579142689704895, -0.9494298100471497, 0.13914673030376434, 1.4403985738754272, 1.123262882232666, -1.4513187408447266, -2.0569424629211426, -2.1739518642425537, 0.4575553238391876, -0.4619765281677246, -1.1371699571609497, -0.47508668899536133, 0.5760075449943542, 0.2479507476091385, -0.3341263234615326, -0.8214564919471741, 0.6614499688148499, 1.0004031658172607, 0.11212374269962311, 0.18739844858646393, 0.7322967648506165, 1.712249994277954, 0.8205446600914001, 1.4280399084091187, -0.6294666528701782, -0.891579806804657, -0.44959771633148193, 1.4534173011779785, 1.0679731369018555, 1.3882559537887573, 1.0135579109191895, -0.17263782024383545, 0.553775429725647, -1.4672924280166626, 1.4797656536102295, 0.12658727169036865, 1.705512285232544, -2.218329429626465, 0.32912904024124146, -0.6699771881103516, -2.081204652786255, 2.047248125076294, 0.2629585862159729, 0.05721187964081764, -2.1836891174316406, 0.4755496084690094, 0.3648388981819153, -0.26687586307525635, 0.5926622152328491, 0.24118521809577942, -0.47271981835365295, -0.7942032814025879, 0.2912948429584503, -1.4552534818649292, 1.5986777544021606, 0.6947477459907532, 0.6005181074142456, -1.6921271085739136, 0.35036712884902954, 1.4899688959121704, -0.14985066652297974, -0.22901727259159088, 1.283711314201355, 0.6892853379249573, -0.9107884764671326, 0.5167227387428284, 0.15620525181293488, 0.5648015737533569, 0.06569907069206238, -2.2488396167755127, 2.1636786460876465, -0.017560608685016632, 0.4792514145374298, 0.7405616641044617, 0.6197742819786072, -0.007137757260352373, 0.3204653859138489, -1.4490984678268433, -1.2018530368804932, 0.5686349868774414, 0.4392992854118347, -0.7169827818870544, -1.466403841972351, 0.31541213393211365, 0.9932765960693359, 0.9797183871269226, 0.3766542077064514, 2.132068395614624, 0.2835976779460907, -1.2799350023269653, -0.5971123576164246, 0.8863298892974854, -0.2200443148612976, -0.2562587261199951, 1.0799171924591064, -1.0424667596817017, -2.2600326538085938, -0.5576790571212769, -0.08695898950099945, -0.14126428961753845, -0.9190267324447632, 1.0956045389175415, -1.2076051235198975, -1.1340296268463135, 0.6868388652801514, 1.133895993232727, -1.4480514526367188, -0.4998604357242584, 0.9374144673347473, -0.956113874912262, -0.08795326203107834, -0.1749751716852188, 0.060242269188165665, 0.7093115448951721, -0.6350074410438538, 0.9071251749992371, -1.0709894895553589, -0.9060220718383789, -0.47363218665122986, -0.7163476943969727, -0.03966568410396576, -0.5329656600952148, -0.46987566351890564, -0.4474245607852936, 0.32380399107933044, 1.650736689567566, -1.8420438766479492, -0.172287717461586, -0.27399301528930664, 0.5018060207366943, -0.16368328034877777, -2.16863751411438, 0.09430080652236938, -0.46216967701911926, 0.4523151218891144, 0.15251237154006958, 0.2821181118488312, -0.9148593544960022, -1.5736337900161743, -0.6330116391181946, 0.40813058614730835, 1.091762900352478, 0.2702336013317108, 0.00048804222024045885, 0.46977362036705017, -0.7630385160446167, -0.20241783559322357, -0.2505244314670563, -0.05175280570983887, -0.22364184260368347, 0.35932081937789917, 0.08558190613985062, -1.4180195331573486, 0.5955477356910706, 0.052812136709690094, 0.3397905230522156, -0.07859620451927185, 0.03352348878979683, 0.28091585636138916, -0.565059244632721, -0.31623855233192444, 1.7321505546569824, -0.8191380500793457, 0.6549164056777954, 1.6437959671020508, 0.26493746042251587, 0.12000259757041931, -1.4265778064727783, 1.632491111755371, 0.1615321934223175, -0.4422164857387543, -0.4771428406238556, 1.1053786277770996, -0.03832870349287987, 1.180448055267334, -0.6034476161003113, 0.4694144129753113, -0.5513910055160522, 2.077000856399536, 0.8947125673294067, -0.2894280254840851, -0.4399184584617615, -1.2301526069641113, -0.3879513740539551, 1.7755985260009766, -0.188276469707489, -1.3474812507629395, 0.3902234137058258, -1.0847113132476807, 1.0366779565811157, 1.340867280960083, -0.5763149857521057, -0.13789619505405426, -1.3146799802780151, -0.18426625430583954, 0.8823513984680176, -0.9250204563140869, -0.7941427826881409, 1.3443228006362915, 0.09378280490636826, 0.5168288350105286, 0.5353188514709473, 0.9239993095397949, -0.13228937983512878, 0.9537590146064758, 0.5704174041748047, -0.929577112197876, -0.6955140233039856, 0.38698768615722656, 0.29695767164230347, 0.5807881355285645, 0.2916676104068756, 1.4490892887115479, -1.2447348833084106, 0.15867331624031067, 1.6826684474945068, 0.5950285196304321, -0.12391846626996994, -0.14952726662158966, 0.8849430680274963, 1.4464834928512573, 0.9885777831077576, 0.04420609399676323, -1.0741738080978394, -0.4340742826461792, 0.09341773390769958, 0.9320981502532959, 1.6085848808288574, -1.6879223585128784, -0.48611024022102356, -0.8690847158432007, -1.0787732601165771, -0.20565536618232727, 0.27986252307891846, -0.5987180471420288, -0.9757308959960938, -0.36478662490844727, -0.2190261036157608, -0.9680831432342529, -0.37538865208625793, -0.7653231024742126, -0.22109553217887878, 0.6497029066085815, -2.4002912044525146, 0.10245228558778763, -0.057247232645750046, 0.12490081042051315, 0.5053879022598267, 0.4457571804523468, 2.325265884399414, 0.7774683833122253, -0.5620505809783936, 1.3482533693313599, -0.9898955225944519, 1.1787163019180298, 0.2981736958026886, -0.14803925156593323, 1.2906485795974731, -1.1295512914657593, 0.019032547250390053, -0.22477349638938904, 0.9588678479194641, 0.7301884293556213, 0.8159869313240051, 0.15328285098075867, 1.0133702754974365, -0.7252031564712524, 0.2278645932674408, 0.34044480323791504, -0.9391060471534729, -0.6718393564224243, -0.22483649849891663, 0.1693759560585022, -0.05682263895869255, 0.44820746779441833, -0.6318914294242859, 0.6352925896644592, 2.1564674377441406, -0.5990661978721619, -1.1286721229553223, -0.6706733107566833, 1.0776678323745728, 0.4543176293373108, 0.3182636499404907, -2.2755861282348633, -0.5277296900749207, 1.045621633529663, 1.22816801071167, 0.03431597352027893, -1.098947286605835, -1.106919288635254, -0.19671128690242767, -1.2411671876907349, -1.0805182456970215, 2.381680727005005, 1.6789380311965942, 1.9038699865341187, -0.03888803720474243, 0.7128070592880249, -0.5826678276062012, -0.17432619631290436, 1.3286633491516113, -0.5343903303146362, -1.106789231300354, -0.989778995513916, 2.2709927558898926, -0.3092711865901947, -0.731626570224762, 0.7376367449760437, 2.27187180519104, 0.5622822642326355, 1.430611491203308, -2.1967287063598633, -1.0142407417297363, -0.7056401968002319, -0.023771829903125763, 0.8799813985824585, -0.4566221833229065, 0.14108191430568695, -0.29061734676361084, 0.9936610460281372, -0.15685632824897766, -0.3685065507888794, -0.1464623659849167, 0.07863117754459381, -0.8132292628288269, 2.263018846511841, -0.24104748666286469, -0.40218567848205566, 0.09099194407463074, -0.796333909034729, 0.5073860287666321, -1.0655709505081177, -2.0687999725341797, -0.7842050194740295, -0.2356317937374115, -1.4542343616485596, 1.818886160850525, -0.88043212890625, 0.9719919562339783, -1.5372669696807861, -1.8000317811965942, -0.37215423583984375, 0.4201754927635193, -1.474223256111145, -0.6899682283401489, 1.3278685808181763, 0.7559574246406555, 0.1519000381231308, 0.546495258808136, -1.2031824588775635, -0.5049406290054321, 2.4755773544311523, 1.4028382301330566, -1.5549026727676392, 0.32107698917388916, -0.5319139361381531, -1.0174837112426758, -0.9279736280441284, -1.1634008884429932, 0.5708144307136536, -0.3362107574939728, 0.3691968321800232, 0.01771991327404976, -0.5363327860832214, -1.6764013767242432, 1.2678605318069458, -1.2322044372558594, 0.8641746044158936, -1.7565741539001465, 2.922617197036743, 1.580859661102295, -1.3997224569320679, 0.5862784385681152, 1.358919382095337, -2.1948838233947754, 0.9977779388427734, -0.07198604196310043, 0.5779353380203247, 0.17747074365615845, 0.3732694983482361, 0.09619194269180298, -0.7591028213500977, 0.6445122361183167, 0.8609748482704163, 1.4248416423797607, -1.5842126607894897, -0.7538782954216003, 0.7129087448120117, 0.05180078372359276, 1.6115503311157227, -1.2395546436309814, 0.3964322507381439, 2.0771708488464355, -0.22394204139709473, -1.6508170366287231, -1.6615114212036133, -1.3219763040542603, -0.055881012231111526, -0.31614965200424194, 1.1494601964950562, -0.7771143913269043, -0.22512836754322052, 0.15097551047801971, 0.547027051448822, -0.21104073524475098, -1.053229570388794, -0.7970563769340515, -0.1413269191980362, 1.664566159248352, -0.38617730140686035, 0.23511770367622375, 0.42711949348449707, 0.6342493295669556, 1.6599200963974, -0.1278303563594818, 0.5725749135017395, 0.2419338971376419, -0.4247193932533264, -0.8113929033279419, 0.3703172206878662, -0.36743974685668945, -1.6460888385772705, -0.5834941267967224, -0.6662173271179199, -1.4271838665008545, -0.4409137964248657, -1.2492032051086426, -0.19110149145126343, -0.5278310179710388, 1.2380483150482178, 0.06056755781173706, 0.12338162958621979, -0.6054800748825073, -0.7007055282592773, -0.6581048965454102, -1.19082510471344, 0.7365741729736328, -0.2895001173019409, 0.5243601202964783, 0.03157493844628334, -1.022939682006836, -0.2582513093948364, -0.48033347725868225, -1.0577244758605957, -0.6346946954727173, -0.06830114126205444, 1.8507146835327148, 0.7332271337509155, 2.163102865219116, -0.24739980697631836, -1.399245023727417, -0.9254781007766724, 0.6957787871360779, 0.10554230213165283, -0.22379758954048157, -0.4949020445346832, 0.008690770715475082, 0.7125835418701172, 1.5997217893600464, -0.44499045610427856, 0.5448968410491943, -0.38616326451301575, -1.1735292673110962, -0.5496924519538879, 0.5552236437797546, 1.0291410684585571, 2.230645179748535, 1.3421568870544434, -0.011463606730103493, -0.4829907715320587, -0.523038923740387, 1.0628784894943237, -0.25017309188842773, -1.3659638166427612, 0.9371284246444702, -1.3094581365585327, 0.41659483313560486, -0.034001708030700684, 0.6154906749725342, -0.18099072575569153, 0.20478977262973785, -0.5532838106155396, -1.1531782150268555, -0.5871649980545044, 0.43699562549591064, 0.7574744820594788, 0.39446955919265747, 1.1698755025863647, -0.9250360131263733, -0.8061961531639099, 1.5836254358291626, -1.3778314590454102, -0.27023884654045105, 0.4827805757522583, 0.7893482446670532, 0.03722718358039856, -0.7612583637237549, 1.0846943855285645, 2.3451597690582275, -0.9726538062095642, 1.1840144395828247, -2.1111011505126953, -0.2687026858329773, 0.21554797887802124, 0.43641388416290283, -0.027102740481495857, -0.8030974864959717, -0.0905206948518753, -0.0505974218249321, 1.6742461919784546, -0.27380481362342834, -0.17658734321594238, 0.6772423386573792, 0.18525314331054688, 0.8695903420448303, -1.1281698942184448, 1.7875323295593262, -0.5750569701194763, 1.1159194707870483, 0.793400764465332, -0.9513098001480103, -1.213456392288208, -2.453765869140625, -1.1585664749145508, 0.2800735831260681, 2.9790637493133545, 1.2735291719436646, -0.8489022850990295, -0.09846250712871552, -1.3616688251495361, -1.283032774925232, -0.2399257868528366, -0.20786608755588531, -1.2913929224014282, -0.3737218976020813, -1.4891610145568848, -2.4785315990448, 0.6458636522293091, 1.6246023178100586, 0.17578482627868652, -1.2993638515472412, -0.5728286504745483, 0.9472328424453735, 1.2052619457244873, -0.45097586512565613, 0.7278468012809753, 0.9810899496078491, 0.7045774459838867, 1.2072138786315918, 0.7599794864654541, 1.6619244813919067, -0.3436196744441986, 0.8565068244934082, -0.35041165351867676, -0.32612618803977966, -0.14132528007030487, 0.7588388919830322, -1.4084043502807617, -0.01425852533429861, -0.20260243117809296, -1.2678477764129639, 0.19669105112552643, 1.0053093433380127, 1.6676644086837769, 2.340188503265381, 0.599372386932373, -0.3909980356693268, -0.68793123960495, 0.43178826570510864, -1.3054548501968384, 0.9359249472618103, 0.4815381169319153, 1.3383805751800537, -1.093337893486023, -0.6948130130767822, -0.5385071635246277, -1.1341243982315063, 0.8652641177177429, 0.2585594952106476, 0.35581618547439575, 0.7001115679740906, 2.0016448497772217, -0.015928056091070175, 0.41775065660476685, 0.9663627743721008, 0.8125450611114502, -0.42724132537841797, -2.114494562149048, -0.6447846293449402, 1.44393789768219, 2.2784037590026855, 0.5949984192848206, 0.44998815655708313, -0.7895035147666931, -0.3613665997982025, 0.2834131419658661, 1.0742404460906982, 0.09222602099180222, -1.0172494649887085, 0.19953808188438416, -1.141244888305664, -0.37887728214263916, -0.6336410641670227, -2.7161569595336914, -0.6146568655967712, -2.7754950523376465, -1.2600642442703247, 0.13282912969589233, -1.1508839130401611, 0.2723369598388672, -0.31065285205841064, 0.055345915257930756, 1.7770297527313232, -0.30162104964256287, 0.7252415418624878, 0.8321570158004761, -0.4034383296966553, 0.7435657382011414, 0.5523347854614258, -0.6957748532295227, -1.2628117799758911, 0.5262137651443481, -0.5747934579849243, -0.3198584318161011, -0.945531964302063, -1.2203285694122314, -2.345259666442871, 0.2023318111896515, -1.7588531970977783, 0.6626853346824646, -0.1180187463760376, -0.9935580492019653, 1.396092414855957, 0.5784047842025757, 0.22668027877807617, -0.6036741733551025, 0.5713185667991638, -0.08443750441074371, 0.4164581298828125, -0.6124256253242493, -0.37384387850761414, -0.9136264324188232, -0.9461191892623901, 0.03581814840435982, -0.6442131996154785, 0.003978132735937834, -0.8270137906074524, 0.9722467064857483, -0.9921348690986633, -0.44097182154655457, -0.3536219298839569, -0.6049189567565918, 0.25233596563339233, 0.7545591592788696, 0.5103955268859863, -0.0280153825879097, 0.9181952476501465, 0.42988526821136475, 0.22168371081352234, 0.10667965561151505, 1.6420198678970337, 1.0837469100952148, -0.1732429713010788, -0.37448540329933167, -0.003023063763976097, -0.5426973700523376, 0.24553684890270233, 0.19930177927017212, 0.15295834839344025, -0.34538930654525757, -0.18197330832481384, 0.7018788456916809, -1.8736125230789185, 1.0613852739334106, -0.13449767231941223, 2.4032230377197266, -1.332690715789795, 1.4311708211898804, -0.3925487995147705, 1.0381485223770142, -0.4036552906036377, -0.001205870183184743, 1.3087679147720337, -0.5404043197631836, 1.0749247074127197, 0.509365975856781, -0.9210910201072693, 0.24086834490299225, -0.13246694207191467, -0.2072896957397461, 1.3115217685699463, -0.05750608071684837, 1.3140007257461548, 0.15788041055202484, -0.9369820952415466, -0.4407227039337158, 0.5408916473388672, -0.18582341074943542, -0.6238507032394409, 2.030250310897827, 1.834539532661438, -0.9238656759262085, 1.697069525718689, 0.16218480467796326, 0.23786522448062897, -0.4315320551395416, 2.235917091369629, -0.4000629782676697, -0.4150922894477844, 1.2203667163848877, 0.17359986901283264, 0.1350979208946228, -0.3038803040981293, -0.5068374872207642, -0.6150181889533997, 0.5496785640716553, -0.6946134567260742, 0.1002148985862732, -0.8110291361808777, -1.1092549562454224, 0.4498582184314728, -0.5465882420539856, 0.8090122938156128, 1.3586390018463135, -0.46173855662345886, 0.09362823516130447, 0.45141005516052246, -1.093538761138916, 1.1985790729522705, 0.5157846808433533, 0.7960556745529175, 0.16579259932041168, 0.9240946173667908, -0.28721728920936584, -1.5405700206756592, 0.6300739645957947, 1.3381364345550537, -1.6375784873962402, 0.5164072513580322, -1.1602978706359863, -1.0949087142944336, 0.7567785382270813, -0.8883165717124939, -0.05343014746904373, -1.1358962059020996, -0.15752841532230377, -0.7412636280059814, 1.1264294385910034, 0.40437695384025574, -0.8738359808921814, 0.020917104557156563, 0.28262001276016235, -0.7896468639373779, -0.7770726084709167, -0.23609988391399384, 1.4400017261505127, 1.6266164779663086, 0.57965087890625, 0.14360980689525604, 1.528802752494812, 0.9213747978210449, -0.07212178409099579, -0.2724647521972656, -0.6516868472099304, -1.4975173473358154, 0.7128351926803589, -1.300003170967102, -0.6464828252792358, 0.5833488702774048, 0.3631361126899719, -2.414097309112549, -1.8266680240631104, 0.6348221898078918, 0.11025684326887131, 1.161271095275879, -1.7834784984588623, -2.1995089054107666, 1.338324785232544, -0.007006016094237566, -0.1556778997182846, 0.5442002415657043, -0.22018656134605408, -1.2472604513168335, -1.2331453561782837, 1.1684781312942505, -0.16858965158462524, -0.660570502281189, -2.260950803756714, 0.13311085104942322, -1.588387131690979, -0.523860514163971, 0.48665890097618103, -1.2327393293380737, -1.734391450881958, 0.4538937509059906, 0.6015796661376953, 1.4572522640228271, -0.24123524129390717, 0.8655149340629578, 0.41348594427108765, 0.12098247557878494, -2.088129758834839, 0.7431453466415405, 0.7942737340927124, -1.1602139472961426, 1.690596342086792, -0.3194562792778015, -1.3974084854125977, 0.38694751262664795, -0.8426860570907593, -0.43678921461105347, -0.5338525772094727, -0.6129895448684692, -0.9115859866142273, -0.38590869307518005, 0.5786638259887695, 0.9554608464241028, -1.4800907373428345, 1.1520450115203857, -0.12325052171945572, 1.0525567531585693, -0.6439271569252014, -0.001343721174634993, -1.3074678182601929, 2.015777111053467, 1.283616304397583, 1.0961908102035522, 1.660005807876587, -1.761723518371582, 0.17994138598442078, 0.9562824964523315, 2.0456786155700684, -1.1125328540802002, 0.8200150728225708, -0.2815586030483246, -0.9276661276817322, 0.0920935869216919, -0.34790489077568054, 0.7893936038017273, -0.1688564121723175, -0.2516482174396515, -3.06915283203125, -0.9496253132820129, 1.6537104845046997, -0.1627102494239807, 0.2208598107099533, 1.2862193584442139, -0.303300142288208, -0.8554939031600952, 0.29443058371543884, -0.944291353225708, -0.23943477869033813, 0.9392420053482056, 0.04360062628984451, 1.280810832977295, 0.507621169090271, -1.3158196210861206, 1.9620012044906616, -0.050446394830942154, 0.70705246925354, 0.12472221255302429, 0.6103125214576721, -1.2991042137145996, 2.2111785411834717, -0.190971240401268, -0.5669899582862854, -1.6793107986450195, -0.9403314590454102, -2.1069412231445312, 0.1639275699853897, 0.4182237982749939, 0.5609515905380249, 0.987775444984436, 1.0168967247009277, -1.455511212348938, 1.5056331157684326, 2.0918118953704834, -0.07304765284061432, 1.1237612962722778, -0.198205828666687, 0.1139955073595047, 1.5492374897003174, -0.014597616158425808, 2.35783052444458, 0.47007936239242554, -0.39112335443496704, -1.1015937328338623, -0.7289369106292725, -0.4832809567451477, 1.2640043497085571, -2.392209053039551, -0.9288906455039978, 0.5256131291389465, -0.19249051809310913, -1.3564655780792236, 1.4588348865509033, -0.20993752777576447, 1.401776671409607, 1.7631984949111938, -0.3005925714969635, 0.808905303478241, -0.03374389931559563, 1.619919776916504, -1.377743124961853, 0.7529674172401428, 0.7406900525093079, 0.177289679646492, 0.7438516616821289, -0.7136749029159546, -0.8477581143379211, -0.26309698820114136, 0.04932607337832451, 0.3320133090019226, 0.3428820073604584, -0.18796280026435852, -0.41633516550064087, -0.06709006428718567, -0.04722129553556442, 0.8697317838668823, -0.3808351159095764, -0.704973042011261, 1.1231826543807983, 1.6798514127731323, 0.13716299831867218, 0.582530677318573, -0.8504189252853394, 1.5139645338058472, 0.7708828449249268, 0.3680831789970398, -1.1065921783447266, -1.0081675052642822, -0.692912220954895, 0.6990879774093628, -0.028723623603582382, -0.602173388004303, -0.363231360912323, -0.33312204480171204, 0.3564692735671997, 0.8364291191101074, 2.3312487602233887, -1.872986078262329, -0.565384566783905, 0.14942148327827454, -1.291897177696228, -0.48694589734077454, -1.4648293256759644, -0.8810045719146729, 1.056042194366455, -1.555394172668457, -1.6637481451034546, -1.4028340578079224, 0.12727148830890656, -0.5829504728317261, -1.3949012756347656, 0.635925829410553, 0.48540303111076355, -1.8958559036254883, 1.5646320581436157, 1.0953043699264526, 0.2841986119747162, -1.2972286939620972, 0.3363381326198578, -0.15070541203022003, -0.011540047824382782, 1.9533648490905762, 0.024266785010695457, 0.8772256374359131, -0.4529947340488434, -0.44445371627807617, -1.2233209609985352, 1.7572327852249146, -0.9898506999015808, 0.6434103846549988, -0.3203880488872528, -1.3320015668869019, -0.15934409201145172, -0.9075278043746948, 0.16299092769622803, -0.6044127345085144, 1.1671395301818848, 0.9056613445281982, 0.9750284552574158, 0.019435198977589607, 1.25371515750885, -0.6955330967903137, -0.8415408134460449, -0.530204713344574, -0.7811362147331238, -1.0067243576049805, 0.3849828243255615, 0.7079736590385437, 1.9297294616699219, 2.2639787197113037, -0.26071739196777344, 0.37015068531036377, -0.5081090927124023, 0.7504653334617615, -0.639136016368866, 2.0525288581848145, 0.667559027671814, -0.5728611946105957, -0.9176666140556335, -2.0228161811828613, 0.258408784866333, 1.0313853025436401, 0.9158302545547485, -0.35417428612709045, -0.24451617896556854, 0.9667870998382568, 0.916934072971344, -0.8313652276992798, -0.028980515897274017, 0.8581166863441467, -1.2955471277236938, 0.8638095259666443, -0.5798051357269287, -1.9012408256530762, -1.0559042692184448, -1.4149895906448364, -0.8092951774597168, 0.03765219822525978, 0.7535103559494019, -1.0983672142028809, -0.7156822085380554, 0.8414933085441589, -0.06715346872806549, 0.23025047779083252, 0.9943462014198303, -1.1992771625518799, 0.5924668312072754, 1.2966524362564087, 2.663593292236328, 0.8395309448242188, 0.37519121170043945, -0.9710706472396851, -1.3081649541854858, -0.8929890394210815, -0.3122378885746002, -0.749413251876831, 0.7792264223098755, -0.8766127228736877, 1.064087986946106, 2.0006496906280518, 0.45343688130378723, 1.8890734910964966, -1.092380404472351, -1.4842703342437744, 1.3635121583938599, 1.657085657119751, 0.22625406086444855, -0.23672550916671753, 1.2087794542312622, 1.4102219343185425, -0.1730004996061325, 2.817112445831299, -1.6425244808197021, -0.7778958678245544, -0.6063113808631897, 0.05419102683663368, 0.6877209544181824, 1.6739968061447144, 0.3436509072780609, -0.18056727945804596, 2.001821994781494, -2.4152441024780273, 0.23400051891803741, -2.485644578933716, -0.34281060099601746, -1.2936359643936157, 1.2614246606826782, -1.8976253271102905, 0.8666970729827881, -0.8542328476905823, 1.9109680652618408, 0.8064902424812317, -1.7468199729919434, 2.0861475467681885, -1.2392337322235107, -1.0342533588409424, -2.2878081798553467, 1.2468702793121338, 0.42787298560142517, 2.168074607849121, -1.1203629970550537, -0.6020049452781677, 0.30128929018974304, 1.5964257717132568, -0.0350169762969017, -0.8435586094856262, 0.6080609560012817, -0.1825852394104004, 0.18432249128818512, 0.06724654883146286, 0.7739453911781311, -0.48476460576057434, 1.5098837614059448, -0.4022429883480072, 0.967923641204834, -0.12967102229595184, -0.33784881234169006, 1.0704777240753174, -0.841088593006134, -2.2223494052886963, -0.7294859290122986, -0.3181944787502289, 0.7758980393409729, -0.3619568347930908, -0.38840460777282715, 0.506259024143219, 1.3532135486602783, -0.1458200216293335, 1.0383793115615845, -1.3481227159500122, 1.0894051790237427, -0.6540057063102722, -0.6403842568397522, -0.14894595742225647, -0.9736852049827576, 1.117689847946167, -0.8105165958404541, -0.9809775948524475, 0.3864421844482422, -0.7060666680335999, -0.23100034892559052, 0.4354914724826813, -0.4257742166519165, -0.2309654802083969, 0.20428720116615295, 2.7015442848205566, -0.8482025861740112, -0.6638549566268921, -1.6444505453109741, -1.4125986099243164, 0.5160391330718994, 0.034550976008176804, 0.6915772557258606, 0.15046699345111847, 0.1145164892077446, -1.6852542161941528, 0.33994707465171814, 0.13884718716144562, 0.48799920082092285, -0.4615101218223572, -0.5650318264961243, -0.37726452946662903, 0.3591488301753998, -0.5460973381996155, 0.45363789796829224, -1.4627732038497925, 1.320104718208313, 0.7184674143791199, 0.42136165499687195, -0.4841647148132324, -1.4783475399017334, 0.5300461053848267, -0.6307749152183533, 0.7035064101219177, -1.0806350708007812, -0.1798393279314041, 0.3682875633239746, 0.2877471446990967, -0.560725748538971, -1.2908064126968384, -0.2174520194530487, -0.0043203397653996944, -0.7376830577850342, -1.562625765800476, 0.09802675992250443, 2.1202356815338135, 1.125931739807129, -1.02466881275177, 0.25278300046920776, -1.591782569885254, 1.0043931007385254, 1.2692598104476929, 0.36043745279312134, -0.4787120223045349, -1.6672347784042358, -0.5532627701759338, 0.6527360677719116, -0.35170701146125793, 1.8621128797531128, 0.9830572009086609, 1.0695353746414185, 1.529008150100708, 0.3094736337661743, 0.7432067394256592, -1.0584336519241333, 0.5222352147102356, -1.0489422082901, 1.2347584962844849, -1.00885808467865, -0.04321771487593651, 0.1453838348388672, -0.48544713854789734, -1.0370427370071411, -1.1448439359664917, -0.8560993671417236, 1.9093527793884277, 1.3741642236709595, -0.501171350479126, -1.4276795387268066, -0.9874803423881531, 0.9741653203964233, -1.1894729137420654, -0.3417928218841553, 0.5119110345840454, -0.04988112673163414, 0.1764666587114334, -1.8650972843170166, 0.6480286121368408, -0.25586220622062683, -0.1471567302942276, -0.9359914660453796, -0.4124651253223419, 2.138701915740967, -0.8480229377746582, -0.8468725085258484, -1.2414625883102417, -0.09212281554937363, 0.3571445345878601, -0.0666903704404831, 0.813292384147644, 0.038969360291957855, -0.9812756776809692, -2.668421506881714, 1.796001672744751, 2.028850555419922, 1.211517572402954, 0.9773611426353455, 0.011650570668280125, -0.08599511533975601, 0.8816031217575073, 0.36884695291519165, -0.5010671615600586, 1.4018443822860718, -0.3779113292694092, -0.5824900269508362, -0.9684404134750366, -2.7801108360290527, 1.4633281230926514, -0.4207293391227722, 0.11138681322336197, -0.5740102529525757, -0.7196759581565857, 0.5319331288337708, 0.3101865351200104, -0.7077261805534363, 1.8551675081253052, 0.5879004597663879, 0.36987072229385376, 0.9995399713516235, -1.0624955892562866, 0.7691332697868347, 2.533519983291626, -1.0734786987304688, -0.12864309549331665, -0.2764902114868164, -0.09602350741624832, 0.44858571887016296, -0.23058536648750305, 0.025116264820098877, -0.6886070370674133, 1.7982773780822754, 0.9466807842254639, 0.20050930976867676, 0.4425871968269348, 0.9021868705749512, -0.5223418474197388, 1.5121097564697266, 0.07250086218118668, -2.0349271297454834, 1.032410979270935, -0.2850399911403656, -1.0976240634918213, 0.7275725603103638, -2.16355299949646, 0.5353822708129883, 0.2971840798854828, -0.131937637925148, -0.544865608215332, -0.02867991477251053, 1.347495436668396, 0.9909667372703552, -0.4727160334587097, -0.2739814519882202, -0.3783608078956604, -0.8671332001686096, 2.183014154434204, -0.6871665716171265, -0.6878235936164856, -0.2789759933948517, -0.8114258646965027, -0.7483677268028259, 1.348292350769043, -1.098174810409546, 0.5847679972648621, -0.2566205859184265, 0.2925572991371155, -0.11865532398223877, -1.1476844549179077, 0.06361605226993561, -0.9476995468139648, 0.2483108639717102, 0.8056682348251343, -0.5073707103729248, 0.5025655627250671, -2.253567934036255, -0.15212948620319366, -0.10099678486585617, -0.748566746711731, -1.5421013832092285, 0.4129560589790344, 0.05765307694673538, -0.8980663418769836, 0.14010211825370789, -1.2934401035308838, 1.2008570432662964, -1.3032437562942505, -0.6019338369369507, 0.32180550694465637, -0.8437919020652771, -0.07106726616621017, 0.4279356002807617, 1.298851490020752, 0.7885072231292725, 0.4467622935771942, -0.2577635645866394, 0.695946455001831, -0.8467816114425659, -0.6984795928001404, -0.44941446185112, 0.4433191418647766, 0.0145641528069973, -1.113966703414917, 0.37294623255729675, -2.025073289871216, -0.6704459190368652, -2.5329127311706543, -0.6427397131919861, 1.5338191986083984, -0.6849604845046997, -0.4783332347869873, 1.278073787689209, 0.3497615456581116, -0.13981227576732635, 0.08696535229682922, -1.7983102798461914, 0.2129751294851303, -2.234266996383667, -0.9814510345458984, 1.6803500652313232, 0.2362850159406662, 0.14159628748893738, 0.5481163263320923, 0.6844658255577087, -1.0227830410003662, -1.40132737159729, -0.5456459522247314, 0.2907816469669342, 0.07821568101644516, 1.1394404172897339, 0.4662870466709137, -0.50227952003479, 0.2326517105102539, -0.060141704976558685, 0.45908355712890625, 0.5151212215423584, -0.3638831377029419, -0.9814720749855042, 0.6929301023483276, 1.5901468992233276, -1.0345027446746826, 0.2970965504646301, -1.0966941118240356, 0.7751846313476562, -0.25269925594329834, 0.5111221671104431, -0.11202094703912735, -0.05505939945578575, -0.3828129172325134, 0.015552082099020481, -0.18198633193969727, 0.49563705921173096, -0.8757769465446472, -0.18572597205638885, -1.0690851211547852, -0.9099053740501404, 0.10813064128160477, -0.845801055431366, -0.23969532549381256, 0.4190928637981415, 0.753701388835907, -0.4228383004665375, 0.44835391640663147, 0.7375928163528442, 0.7912672162055969, 0.16941913962364197, 0.21740977466106415, 0.7227022647857666, 0.08936048299074173, -0.7256917357444763, -0.4593532085418701, -0.35401594638824463, -0.11618801951408386, -1.7051552534103394, -1.1874232292175293, 0.8711718320846558, -1.9332265853881836, 1.0278046131134033, -1.71108877658844, -0.6578971147537231, -0.7296923995018005, -0.7500890493392944, -0.05790640041232109, 0.6916518807411194, 1.8786187171936035, 0.30997326970100403, -0.6238833665847778, 1.1064538955688477, 0.24552582204341888, -1.362727403640747, -1.0874701738357544, 0.8796055316925049, 0.4324369728565216, 1.0522412061691284, -0.011809254996478558, -1.4606547355651855, 1.1214544773101807, -0.012661817483603954, 0.39645880460739136, -0.5269050002098083, 0.10289707779884338, -0.3927149772644043, -0.44245773553848267, 0.3439857065677643, -0.4087739884853363, -2.6043169498443604, -0.4063943326473236, 0.3391864001750946, -1.2596957683563232, -0.23791946470737457, 1.4786815643310547, -0.46200332045555115, -1.0451555252075195, -0.2795222997665405, 1.613921046257019, 1.1913918256759644, 1.8786840438842773, -1.5299863815307617, 1.9460166692733765, 2.9769909381866455, 0.28984522819519043, 0.2512991428375244, 0.2571820318698883, -0.7304884195327759, -0.7231013178825378, 0.5606915354728699, -0.07331131398677826, 0.1881793886423111, 0.941495418548584, -0.4277839660644531, -0.2752882242202759, -0.6507534384727478, 3.4442665576934814, -0.07299139350652695, 0.34841665625572205, -0.13548210263252258, -1.4599941968917847, -2.5174312591552734, 0.14014768600463867, -0.5105280876159668, -0.3435439169406891, -0.7111619114875793, -1.3373031616210938, 0.22959333658218384, -0.7987514734268188, -1.0754156112670898, -0.7883309721946716, 0.6099037528038025, 0.9113976955413818, 0.027135664597153664, -1.0381720066070557, 1.4781616926193237, -0.4834173321723938, -0.7521639466285706, -2.0796539783477783, 0.21900467574596405, 0.8737778067588806, 0.8105286955833435, -0.2979462146759033, 0.012975739315152168, -0.28114333748817444, -1.0230380296707153, -0.489339679479599, -0.7724596261978149, -0.972816526889801, 0.5045354962348938, 2.2310690879821777, 0.7718397974967957, -0.2726702392101288, -0.02863912284374237, -1.671562671661377, -0.07940130680799484, -0.8397802710533142, -0.15861444175243378, 0.13132360577583313, -0.35768964886665344, 0.8026781678199768, 0.16889086365699768, 0.6035893559455872, 0.42283326387405396, 0.6071691513061523, -0.29304349422454834, 1.4762567281723022, 0.718662440776825, 0.04757266491651535, 1.897528052330017, 1.0592457056045532, 1.1967934370040894, 0.9325366020202637, 2.618067979812622, -1.3203181028366089, -0.5648002624511719, 0.47260910272598267, -0.05027462914586067, -0.8186026215553284, 0.44603481888771057, 0.7321010828018188, 0.6961202621459961, 2.0979654788970947, -0.2272312194108963, -0.2747625410556793, 0.4441480040550232, 1.9926270246505737, -0.3979351222515106, -0.9410871863365173, 0.6116487979888916, 0.4641747772693634, -0.03138183429837227, 0.565554141998291, -0.4557226896286011, 1.7954686880111694, -0.7171010971069336, -0.556530773639679, -1.9967014789581299, 1.2650721073150635, 1.250209093093872, 0.7446731925010681, -0.4414895176887512, -0.1919381469488144, 1.00083589553833, 1.8054279088974, 0.2268868088722229, -0.5444051027297974, 1.2968114614486694, 1.576149582862854, -0.11254606395959854, -2.794684886932373, -0.39488500356674194, 0.03975769132375717, -0.73829585313797, -1.1095980405807495, 1.4045805931091309, -0.6781127452850342, -0.7198454141616821, 0.051743034273386, 1.049487829208374, 1.0398070812225342, -0.815331220626831, 0.8543877005577087, 2.291912317276001, 0.9403637647628784, -0.8718083500862122, -0.11821994930505753, 1.3931680917739868, 0.23330342769622803, -0.4585168659687042, -0.5108124613761902, -0.20819267630577087, 0.13454513251781464, 0.6634225845336914, 0.9248644113540649, -0.1546444445848465, 0.2894655466079712, -1.203033447265625, 1.1079357862472534, 1.1616795063018799, -1.0331178903579712, 0.2723236382007599, -0.5365519523620605, 0.682548999786377, 1.6797350645065308, -0.07257477939128876, -0.05118454992771149, 0.1609107255935669, -1.094191312789917, 0.18407560884952545, -0.4599335789680481, -0.3876587152481079, -0.3731272220611572, 0.8985798954963684, -0.9198586344718933, 0.8145814538002014, 1.4646074771881104, -1.0763285160064697, -0.1335119754076004, 1.075516939163208, -1.4071282148361206, 1.6239601373672485, -0.41460156440734863, 1.1279197931289673, -0.041198018938302994, -1.7567317485809326, -0.2704733908176422, 2.0728015899658203, 1.266838788986206, -0.328779935836792, -0.24145011603832245, 0.9213247895240784, 1.2485613822937012, -0.8126481771469116, -0.13885058462619781, 1.309942603111267, -0.5944720506668091, 0.0747300311923027, -1.1109864711761475, 0.696875274181366, 1.0404380559921265, -0.05028141662478447, 0.5527761578559875, -1.305132269859314, 0.015136952511966228, -1.0918824672698975, 0.5028827786445618, -0.5226590037345886, 1.1403238773345947, 0.9180368781089783, -1.9113421440124512, -0.043550506234169006, -0.7550903558731079, 0.41906481981277466, -0.4838622212409973, 0.28809988498687744, -1.0572530031204224, -1.0026112794876099, 0.24930396676063538, -1.4344056844711304, -0.16141928732395172, -0.03525508567690849, -1.4017528295516968, -0.9414932727813721, 0.712299644947052, 1.5093287229537964, 1.4750601053237915, -0.5103518962860107, -1.6764971017837524, 0.08290981501340866, 1.9167948961257935, 0.002339012688025832, 0.5380197763442993, -0.2521287798881531, -1.4699418544769287, -0.818864643573761, -1.6924160718917847, -1.0096319913864136, -1.0387455224990845, 1.7022356986999512, 0.041495077311992645, 1.0153357982635498, 1.525609016418457, 0.9795050621032715, 0.9637118577957153, -0.4458734393119812, -2.585130214691162, 0.11665388941764832, -0.12755027413368225, 1.0114853382110596, -0.16230130195617676, -0.5180944204330444, 0.6069764494895935, -0.5392489433288574, -0.06242268532514572, 0.2101137340068817, 1.0722023248672485, 0.6361525654792786, -1.5506538152694702, 3.082724094390869, 0.1698760688304901, -0.6065219044685364, -0.2862728238105774, 1.238943099975586, -0.6004951596260071, -0.9042709469795227, 1.6423022747039795, 0.5353763699531555, 0.5545268058776855, -0.3905538022518158, -0.5643051862716675, -0.03166094049811363, -1.1301013231277466, -0.24749311804771423, 0.5996782183647156, -0.47552430629730225, 0.6831666231155396, 0.3249024450778961, -0.11261960119009018, 0.4465806782245636, -1.2016181945800781, -0.4199206233024597, 2.186108350753784, 0.43416622281074524, 1.3516154289245605, 0.3341986835002899, 0.41552940011024475, -0.7564545273780823, 0.4443090260028839, 0.6095677018165588, -0.3230561316013336, -0.09125349670648575, 2.103126049041748, 1.4274040460586548, -0.6875136494636536, 2.030744791030884, -0.341823548078537, 1.0007004737854004, -0.19091404974460602, 0.19305479526519775, -1.1458317041397095, 2.2120707035064697, 0.0007648786995559931, -0.38300222158432007, 1.3595168590545654, -0.24057693779468536, 0.5456347465515137, -1.4761674404144287, 0.07487599551677704, -0.4675351083278656, 2.0740230083465576, -1.6370823383331299, -0.31744179129600525, -0.7785018086433411, 0.5162256956100464, 2.0169384479522705, -1.6569069623947144, 1.3074431419372559, 1.6781829595565796, -1.0031678676605225, 1.3980180025100708, 0.7090875506401062, -0.4164671301841736, -0.8872207403182983, 0.7500963807106018, -0.12585563957691193, -1.627747654914856, -0.13484594225883484, -0.5292800664901733, 2.0310840606689453, 0.38826167583465576, -2.81351637840271, 1.0937440395355225, 0.6998618841171265, 0.1693098098039627, 0.4745427966117859, -0.30585867166519165, 0.8853163123130798, -1.9555379152297974, 1.7797825336456299, -0.4155171811580658, -0.7705146670341492, 0.6007245779037476, -1.063400149345398, 1.2992446422576904, 0.9117791652679443, 0.32589760422706604, -1.2953128814697266, 0.47607162594795227, 0.07527696341276169, -0.9281527996063232, 0.5895261764526367, -2.219909429550171, -0.7392765879631042, -0.2836836874485016, -0.23787149786949158, -0.2924441993236542, -0.3476503789424896, -1.5253764390945435, 2.5943427085876465, 0.4034866988658905, 1.8227835893630981, 1.2723181247711182, 0.378642201423645, -0.22316016256809235, -0.18897297978401184, -1.4229501485824585, -1.3593087196350098, 0.9414180517196655, 0.20915581285953522, 0.24418775737285614, -2.712275505065918, 1.2130919694900513, 2.486461639404297, -0.06867706775665283, 0.4807012379169464, -1.0244344472885132, -0.18311457335948944, -2.1805055141448975, 0.41771575808525085, -0.5992119312286377, 1.3142805099487305, 1.0923640727996826, -0.2257983684539795, -0.04144478589296341, 0.6894453763961792, -0.14499641954898834, 0.7193959355354309, -0.1622084677219391, -0.0964910238981247, 0.6537290811538696, 1.2053142786026, -1.5064822435379028, -0.023760385811328888, -0.8177207708358765, 0.4126701056957245, -0.07770369946956635, 0.5701224207878113, 0.8386217355728149, -0.11786311864852905, -0.6886128187179565, -0.2713024318218231, -0.13695284724235535, 0.8437038064002991, 0.2944944500923157, -0.5175886154174805, -0.81357342004776, -1.596594214439392, 1.6043113470077515, -0.12919636070728302, 0.16170287132263184, 0.5702989101409912, 0.9236812591552734, 1.0414363145828247, -2.167119026184082, -0.4173452854156494, -1.3203601837158203, 0.2791297733783722, 1.635843276977539, -0.9406120777130127, -0.5889291167259216, -1.048980474472046, -0.9259313941001892, -0.9813755750656128, -0.8811684250831604, -1.1230872869491577, 0.8060461282730103, 1.2033300399780273, -1.791016936302185, 1.1439740657806396, 2.2522428035736084, 0.5639849901199341, -0.776599645614624, 0.1986086368560791, 1.0290526151657104, 0.8996301889419556, 0.3091742992401123, 0.8263699412345886, 1.251815915107727, -0.9242178797721863, 1.5338153839111328, -1.9960331916809082, -1.2025508880615234, -1.9149885177612305, -0.5705073475837708, -0.6146653294563293, -1.4060940742492676, 1.3362367153167725, 0.44868671894073486, -0.8687548637390137, 1.6115835905075073, 1.022884488105774, -0.36931630969047546, -1.327104926109314, -0.0032754132989794016, 0.48026537895202637, -0.9355050325393677, 0.08743081241846085, 0.05083286389708519, 1.6336463689804077, -0.8109055757522583, -0.9426547884941101, 1.011164903640747, 0.40273335576057434, -1.488345980644226, -0.8269822597503662, 0.49153923988342285, -0.40693381428718567, -0.8464937806129456, 0.3863867521286011, -1.037268877029419, 0.26547694206237793, 0.42891788482666016, -1.5645395517349243, -0.006686890497803688, 0.10203012824058533, -0.7647735476493835, -0.6871795654296875, -0.2412635087966919, -1.6012738943099976, 1.1346752643585205, -1.801222324371338, 1.721429705619812, -0.5386031270027161, -0.3508974313735962, 0.04334564507007599, 1.9217610359191895, -2.0913522243499756, 1.0296746492385864, -0.4867795407772064, -0.32441994547843933, -0.06467317789793015, 2.2378602027893066, -0.09116192907094955, 0.811279833316803, 0.26461100578308105, 0.31492188572883606, -0.04063224792480469, 1.0203492641448975, 0.8295718431472778, 1.7059823274612427, -0.0561177060008049, -1.2013280391693115, 0.8999682664871216, 1.8985003232955933, -0.4074700176715851, -0.014631451107561588, 0.1824851930141449, 0.6060240864753723, -1.53091561794281, 0.3134711980819702, -0.35413920879364014, 0.19567647576332092, -1.4355177879333496, -0.608325719833374, 0.2133813053369522, -0.709242045879364, -0.27175015211105347, 0.00927050318568945, -1.3421902656555176, -1.0634194612503052, -0.5008999705314636, 0.6563936471939087, 1.0403746366500854, -0.08602786809206009, 1.7242437601089478, -0.9681404829025269, -0.5536918044090271, -0.8919639587402344, 0.029638094827532768, 0.4948616623878479, -0.45225319266319275, 0.8483144640922546, 0.8787281513214111, 0.31423571705818176, 0.26254990696907043, -0.5248077511787415, -0.6617830395698547, 0.2618449926376343, 0.17289897799491882, 0.7196308970451355, 0.7716025114059448, 1.0899829864501953, 0.776013970375061, 0.13026170432567596, -2.282155752182007, 0.1765075922012329, 0.7894002199172974, 2.1903915405273438, -0.6748669743537903, -0.701560914516449, 0.06972082704305649, -0.44303131103515625, -0.26918065547943115, 0.2067042887210846, -1.1715121269226074, 1.1254680156707764, -0.03812725096940994, 0.0007351103122346103, 2.3486328125, 0.1993640512228012, 1.2695918083190918, 0.46925684809684753, -2.056262969970703, 0.5951836705207825, 0.40524744987487793, -0.8050221800804138, -0.9846909046173096, -1.1397494077682495, 0.8345025181770325, 1.2026747465133667, -0.9242518544197083, -1.23109769821167, 0.40822720527648926, -0.17784424126148224, 0.19829769432544708, -0.43485504388809204, -0.4233969449996948, 1.2495536804199219, -1.609387993812561, 0.26695775985717773, 0.10789638757705688, 0.45483267307281494, 0.6681599020957947, 1.119368314743042, 0.8885784149169922, 0.3281113803386688, -0.9613089561462402, -0.3844919502735138, 1.5163497924804688, 0.6122918128967285, -2.231905460357666, -0.18997184932231903, -0.5716321468353271, 0.13974125683307648, 0.3081127405166626, 0.5492222905158997, 1.483652949333191, 1.0741397142410278, 1.3076059818267822, 2.1835105419158936, -2.4671223163604736, -0.9959047436714172, -1.8234317302703857, 0.25585925579071045, 2.340730905532837, 1.66091787815094, -1.812593936920166, 0.7555501461029053, 0.5779176354408264, 0.850633442401886, 0.8874507546424866, 0.9130688309669495, 1.4804478883743286, -0.5122925639152527, -0.4073655605316162, 1.1826530694961548, 1.2305233478546143, -0.7303813099861145, 0.8887330293655396, 0.5182414650917053, 0.47037309408187866, -0.7186607122421265, -0.1450617015361786, 0.7262911200523376, 0.3064044117927551, 1.085045576095581, -1.9026507139205933, -0.3032277226448059, 0.3793323040008545, 0.15163493156433105, 0.31512847542762756, -0.4594321846961975, 0.4358101487159729, -0.3007877767086029, -0.5225051641464233, 0.9162805676460266, -0.1913052648305893, 2.394345760345459, 0.08300339430570602, -1.4795215129852295, 1.0937073230743408, -0.7963180541992188, 0.7668101787567139, 0.09946148097515106, -0.4880755543708801, 0.24307596683502197, 0.6492858529090881, -1.0652735233306885, -0.5981635451316833, -0.1899442821741104, -0.1994851976633072, -0.3702581226825714, -0.5725432634353638, 0.6679519414901733, 0.8675540089607239, -0.8128634691238403, 2.4344418048858643, 0.007277282420545816, 0.3217044174671173, 0.18127642571926117, 1.8072148561477661, 0.1627320796251297, 1.9409406185150146, -0.11854670196771622, 0.42359861731529236, -0.4487927556037903, -0.7296496629714966, 0.7534464001655579, 1.628709316253662, 1.6899137496948242, -0.10767483711242676, 0.5426695942878723, 1.6381802558898926, -0.4644262492656708, 0.48791733384132385, -0.5100305676460266, 0.8025282025337219, 1.6077351570129395, -1.3417637348175049, -0.11169763654470444, -0.10597354918718338, 2.2056620121002197, 0.19167925417423248, -2.5119640827178955, 0.305639386177063, -0.37215015292167664, 0.16809183359146118, -0.7141074538230896, -0.24192531406879425, -0.16569769382476807, -0.956518828868866, 0.5883294939994812, 0.5930896997451782, 0.4220876097679138, 0.5978860855102539, -0.9168107509613037, -1.2346776723861694, -0.2887897193431854, -0.886968731880188, -0.12062502652406693, 0.6089527606964111, -0.12462566047906876, -0.04881400987505913, -0.578050434589386, -0.8570936918258667, 1.2127362489700317, 0.38390758633613586, 1.0070586204528809, 0.2590600252151489, -0.5040555000305176, -1.508648157119751, -1.1702135801315308, -1.1610469818115234, -0.23925237357616425, -1.723517656326294, -0.4078521132469177, 0.664867103099823, -0.122731052339077, 0.4607219099998474, 1.2864736318588257, -0.20924606919288635, -0.16786715388298035, -0.7277073860168457, 0.12447289377450943, 0.6792819499969482, -0.11247890442609787, 2.1030073165893555, 0.5183364748954773, 1.768965482711792, 0.1978299915790558, -1.0239499807357788, -0.97846919298172, -2.586304187774658, -0.25481298565864563, 0.4053022563457489, 0.7363133430480957, 1.2574021816253662, 0.5199259519577026, -2.3287222385406494, -0.0669737383723259, 0.7414952516555786, 0.25497451424598694, -1.7017909288406372, 1.1760536432266235, -0.5854427218437195, 0.2015165090560913, -0.8725187182426453, -2.292219400405884, 0.4713119864463806, 0.03450965881347656, 0.8125231266021729, 0.7531357407569885, 0.8182077407836914, -0.057907525449991226, 1.2580223083496094, 0.9051645994186401, -0.16558240354061127, 0.6017033457756042, 0.9950653314590454, 0.7393525242805481, 1.5454834699630737, 0.2450616955757141, -1.0216898918151855, -1.7860018014907837, 0.8181496262550354, -1.0805797576904297, 0.46275296807289124, 0.8802741765975952, 0.1261797845363617, -0.19864226877689362, -0.2630278170108795, 0.2612161636352539, -1.6380592584609985, 1.2236648797988892, -0.22351336479187012, 1.4487520456314087, 0.7237813472747803, 1.0311890840530396, -0.34376823902130127, -1.2152736186981201, -0.8674368262290955, 0.44629284739494324, 1.3940656185150146, 1.1400660276412964, -0.009927350096404552, 0.08848012983798981, -0.5459819436073303, 1.1381155252456665, 1.533276915550232, 0.12898468971252441, 1.2289687395095825, 0.38377121090888977, -0.18280985951423645, -0.5647081136703491, 1.6798808574676514, -0.6458202600479126, 0.12155721336603165, -0.05149927735328674, 1.1676517724990845, 0.7526958584785461, -0.44485601782798767, -0.46182921528816223, 0.5217277407646179, 0.16193607449531555, -0.12199821323156357, 1.9998754262924194, 0.7741492390632629, 1.265453577041626, -1.9945720434188843, -1.2332494258880615, -1.1187224388122559, 1.2508715391159058, -0.39201346039772034, 0.2429320216178894, -1.772340178489685, 0.5039660334587097, -1.3567030429840088, -1.9584519863128662, -0.2577860951423645, -0.7564593553543091, -0.5647070407867432, 0.001312395790591836, -0.3796704113483429, 0.02431757003068924, -0.2712273895740509, 0.32214871048927307, -0.4470861256122589, 1.5668121576309204, -1.1309832334518433, 0.31226006150245667, 0.694042980670929, -0.09300783276557922, -0.6425351500511169, -0.8670796155929565, 0.6776682138442993, -2.0771450996398926, -1.4495575428009033, -0.2751355767250061, 0.6773250699043274, 1.3454036712646484, -1.003947377204895, -0.6567029356956482, -0.008778576739132404, 0.16479627788066864, -1.2336698770523071, -1.4381321668624878, 1.886919379234314, -0.2913933992385864, -0.22833159565925598, -1.460450530052185, 0.8013144731521606, 0.7102544903755188, 1.5397791862487793, 0.24461443722248077, 0.3305004835128784, -1.2084473371505737, -0.3866083025932312, 2.260925769805908, -0.6513757109642029, -0.026455307379364967, -1.0717346668243408, 1.7490445375442505, -0.1002771183848381, -0.887459933757782, -0.22076067328453064, -0.5685053467750549, -0.1308446228504181, 0.21785761415958405, 0.07003043591976166, 0.8390445709228516, -1.161394715309143, 0.9470483064651489, -0.3672303557395935, -1.8429994583129883, 2.648055076599121, 0.9473401308059692, -0.1982831060886383, 0.1475333720445633, -0.6717910170555115, 1.1685833930969238, -0.04179402440786362, -1.3846025466918945, 0.4317912757396698, 0.9315259456634521, -0.29312607645988464, 0.19374455511569977, -1.237522006034851, -1.7173941135406494, 0.4472357928752899, 0.34488028287887573, 1.2489662170410156, -0.9046280384063721, -0.7203530073165894, 0.49801772832870483, 0.4760492742061615, 0.8686811923980713, 0.007242525462061167, 0.7262970805168152, -0.011842717416584492, 0.9716495275497437, 0.21613140404224396, 0.3557039201259613, -0.9153772592544556, 0.469893217086792, -0.24655519425868988, -1.1798189878463745, -1.6025687456130981, 0.4766702353954315, 1.6256455183029175, -0.30829232931137085, 0.6236410737037659, 1.3881821632385254, -0.18733133375644684, 0.5099400281906128, -0.028911996632814407, 0.25145822763442993, -0.9412171840667725, 0.5434039831161499, 0.18305200338363647, -1.1264559030532837, 1.0661556720733643, 0.4688730537891388, -0.7491124868392944, 1.0893683433532715, 1.1299651861190796, 1.0366671085357666, 0.9767335653305054, 0.20027056336402893, -0.13287051022052765, -1.245006799697876, 2.180755615234375, 1.6613110303878784, -2.101365089416504, -0.7583823204040527, 1.494814157485962, -0.5931593775749207, 0.005608704406768084, -2.055652618408203, 0.8520244359970093, -0.5088991522789001, -0.28802216053009033, 2.6190855503082275, -1.4823700189590454, -0.7823793888092041, -1.9851855039596558, -0.7148686051368713, 1.9549022912979126, -2.7708160877227783, 0.010949913412332535, -0.3028373718261719, -0.7572108507156372, -1.5349935293197632, 0.538781464099884, -0.022548960521817207, 0.6252936720848083, -2.3814823627471924, -0.8437250256538391, 1.0994150638580322, 0.0305961761623621, 0.16577403247356415, 0.17760765552520752, -0.3194330930709839, 1.7649178504943848, 0.8079445958137512, 2.749438524246216, 0.11405298113822937, 1.7361671924591064, -1.493139386177063, 1.2742708921432495, 0.6870113015174866, 2.151036262512207, 0.36711204051971436, 0.9225291609764099, 0.20399916172027588, -0.45036348700523376, 0.10948362201452255, -0.5275882482528687, 1.094775915145874, 0.5222822427749634, -1.6199367046356201, 0.8892751932144165, 1.8040651082992554, 2.2872507572174072, 0.287043035030365, 0.5737539529800415, 1.559279441833496, -0.1275433897972107, -0.2416824847459793, -0.3655933737754822, -0.15530934929847717, -1.9772149324417114, -0.6953514814376831, 1.4088876247406006, 1.3526661396026611, 0.9880844354629517, 0.6188006401062012, -0.5477349758148193, 1.3396905660629272, 1.3620377779006958, 0.4755439758300781, -2.4518814086914062, -0.13281190395355225, 0.6354613304138184, 1.2397539615631104, 1.5717614889144897, -0.06538807600736618, 0.5546939969062805, -0.41545361280441284, 0.4559352695941925, -0.4097924530506134, -0.9327297210693359, -0.9445210099220276, 1.5131382942199707, 0.07716058194637299, -0.3788700997829437, 0.15107709169387817, -0.7873837351799011, -0.04831001162528992, -0.10347041487693787, -0.44542956352233887, -0.734436571598053, -0.7163388729095459, -0.33453628420829773, -0.624076783657074, -1.548554539680481, -1.901084542274475, -1.3802629709243774, -0.7239540219306946, -0.017462030053138733, -0.702657163143158, 0.16908515989780426, -1.2625198364257812, -0.3215024173259735, -0.10917384177446365, -0.853091835975647, -0.432046502828598, -0.9389629364013672, 2.5277748107910156, -0.8116074800491333, -0.5412620902061462, 0.4640692472457886, 0.47213178873062134, -0.17491158843040466, 0.36994436383247375, 0.5206701755523682, 1.7840710878372192, -0.9506936073303223, -0.6412149667739868, 0.676153838634491, -0.49002349376678467, 0.9156661033630371, -0.3282139301300049, 0.5668225288391113, -0.015293555334210396, 1.5347001552581787, -1.0730568170547485, 0.5410370230674744, -1.1929147243499756, -0.012748326174914837, -0.336017370223999, 0.8708835244178772, 0.02899705432355404, -0.7442885041236877, 0.09232916682958603, -0.5408732891082764, 0.11529780924320221, 0.0276664886623621, -0.2511638104915619, -0.49210265278816223, -0.1156366616487503, 0.6087555885314941, 1.099372148513794, -0.01174136996269226, -0.952507734298706, -1.447412371635437, 0.13973984122276306, 0.20654426515102386, -1.6294924020767212, -1.6315747499465942, 0.5037087798118591, 1.3945850133895874, -0.9424633383750916, 0.881389856338501, -1.6780112981796265, 0.29959070682525635, 0.9198803901672363, -2.1684553623199463, 0.5737255811691284, 0.4990641474723816, -0.3822086453437805, 1.7529807090759277, -0.23180174827575684, 0.30328792333602905, 0.0461270734667778, 0.7310066819190979, 1.3383234739303589, -0.1628665030002594, -2.262406826019287, -0.9262979030609131, 0.18988355994224548, 1.0264892578125, 1.1651759147644043, 3.1206300258636475, -1.507260799407959, -0.07799667119979858, 1.48470139503479, -0.04175066202878952, 1.6345970630645752, 1.716331124305725, 0.5287380814552307, 0.3617402911186218, 0.028425950556993484, 0.7862393856048584, -1.3366155624389648, -0.5463048219680786, 0.022232146933674812, 0.5350743532180786, -0.013437040150165558, 0.23644019663333893, -0.8630014061927795, -1.6918237209320068, -0.3762744665145874, -0.7535614371299744, -0.18734925985336304, -0.2107847034931183, -0.06275026500225067, -0.42049211263656616, -0.732797384262085, 0.6686148643493652, -0.0738614872097969, 1.1042956113815308, -1.0836268663406372, 0.7024838328361511, 0.08960272371768951, -1.3330556154251099, 0.5776412487030029, 0.9626079797744751, 0.8048227429389954, 0.34859365224838257, 0.4227741062641144, -0.7768248319625854, -0.08762015402317047, -0.2853360176086426, 1.2927485704421997, 0.17934684455394745, -0.6316276788711548, -0.37117859721183777, -0.20584921538829803, 0.857119619846344, -0.3853215277194977, -1.2380071878433228, -0.1281762719154358, 0.3890964388847351, -0.4007202088832855, 0.41627418994903564, -0.25541260838508606, -1.0496826171875, 0.9385549426078796, -1.0658595561981201, -0.6788843274116516, -0.7862929105758667, 1.2494434118270874, 0.004985907580703497, -0.07265462726354599, 0.8151333928108215, -1.623036503791809, 1.2083803415298462, 1.5049080848693848, 0.07607550173997879, 0.32527610659599304, 1.9715040922164917, -0.4625667333602905, 0.09070377796888351, 0.4143524467945099, -0.31267520785331726, 1.0866624116897583, 1.2147111892700195, 1.0820287466049194, -2.091867208480835, 0.26199039816856384, 0.5043255686759949, -0.5778679847717285, -0.7686828970909119, 0.9695156812667847, -0.5386677384376526, -0.1631927192211151, -1.6195160150527954, -0.6005394458770752, 1.9623134136199951, 0.23535127937793732, -0.26117199659347534, 0.9460822343826294, 0.7926332950592041, 0.7139874696731567, -1.2108933925628662, 0.28767964243888855, 0.22053445875644684, 1.114412784576416, -0.04594177380204201, 2.35204815864563, 0.24008679389953613, 0.6519561409950256, 2.3913285732269287, -0.18697892129421234, 0.4580650329589844, 1.112525463104248, -0.29123666882514954, -0.7546630501747131, 0.3105505406856537, -1.6713011264801025, 0.4421028792858124, 1.291079044342041, -0.45342421531677246, 1.1693731546401978, -0.8951530456542969, 1.6310604810714722, -0.4760679304599762, -0.3503285050392151, 1.1170485019683838, 0.4991370737552643, 0.3142833113670349, 1.0328441858291626, 0.07099031656980515, 1.4826139211654663, 0.9427129030227661, 0.5867356061935425, 0.29635870456695557, 0.6969888210296631, -0.862547755241394, -1.0503759384155273, 0.979790985584259, 0.380172461271286, 0.6272628307342529, -2.9494235515594482, -0.021619781851768494, 0.4429704546928406, 1.682042121887207, 1.9811979532241821, -1.5534992218017578, 1.284899353981018, -0.23825719952583313, -0.08530909568071365, -0.38162970542907715, 0.10214390605688095, 0.11340294778347015, 0.27490466833114624, -0.7091190218925476, 1.0037940740585327, 0.1050126776099205, -0.3824130594730377, 0.4158407151699066, 2.3721680641174316, -0.1711243987083435, 0.408589631319046, -0.2344222515821457, 0.3160347640514374, -0.38774508237838745, -0.7280157208442688, 2.5026514530181885, 1.2748888731002808, 0.8963485360145569, -0.6085832118988037, 0.9231078028678894, 0.31835266947746277, -0.0959835946559906, 0.06172062084078789, -0.9669475555419922, -1.8102203607559204, -1.4214527606964111, 0.11884631961584091, -2.29514217376709, 0.3269821107387543, 0.9516905546188354, -1.8043559789657593, 0.5145435929298401, 0.7793231010437012, -1.1539047956466675, 0.9617505073547363, 0.3014639914035797, 0.5780236124992371, -2.2026517391204834, -1.1295645236968994, 0.06753838807344437, 1.5591806173324585, 0.27957093715667725, 0.9097651243209839, 0.9502160549163818, 0.47580188512802124, -2.0686089992523193, 0.7924613356590271, 2.4486138820648193, -0.44530799984931946, -0.20985062420368195, -1.381608486175537, -0.46083778142929077, 2.127274751663208, -1.4874423742294312, -0.02805405482649803, -0.9829157590866089, -0.6325792074203491, -0.7752525210380554, -0.025653861463069916, 0.3557783365249634, 0.5208719968795776, 0.7962863445281982, 0.4951678514480591, -0.06370726227760315, -0.13817255198955536, 0.8621925115585327, 0.13345713913440704, 0.940177857875824, -2.384897232055664, 1.6532055139541626, 2.032041549682617, -0.965756356716156, 2.824089765548706, 0.8225466012954712, -1.6639877557754517, -0.13673759996891022, 1.7546734809875488, -1.3507319688796997, -0.24548092484474182, 0.5655984878540039, 0.29390257596969604, 1.130798101425171, -1.075888752937317, 1.301309585571289, 1.2427667379379272, 0.551710307598114, 1.4466193914413452, -0.5448034405708313, 0.40058761835098267, 1.2939848899841309, -0.19719116389751434, 0.5561236143112183, 0.08795619755983353, -1.154410719871521, -0.2364339530467987, -0.028672149404883385, 0.6722608804702759, 0.7053645849227905, 0.3523307144641876, 0.6990717649459839, -0.3306093215942383, -1.6280347108840942, 1.8481082916259766, 0.05560287833213806, 1.8839913606643677, 0.6854061484336853, 1.1106616258621216, 2.0903358459472656, 0.2683383524417877, 0.7873046398162842, 0.03894086554646492, -0.3606031835079193, 0.8401443362236023, -0.06503338366746902, 0.6213072538375854, 0.9409657716751099, 0.4435651898384094, -0.02443527989089489, -1.2598788738250732, 1.1531262397766113, 2.3214282989501953, 0.5188864469528198, 2.608210325241089, 0.1766139715909958, 1.7585500478744507, 0.5805812478065491, -0.08080648630857468, -1.5520213842391968, -0.22055310010910034, -0.7076490521430969, -0.17135034501552582, -0.7769392728805542, 2.39094877243042, 0.025625955313444138, 0.4054693281650543, -1.2617340087890625, -0.7061325311660767, 2.1604042053222656, -0.4644986689090729, 0.22779178619384766, 0.447925329208374, 0.6478486061096191, -0.7551578283309937, 2.0176029205322266, 0.1126147210597992, -0.5944093465805054, -1.4978142976760864, 0.6073218584060669, 0.6277875304222107, 1.4990196228027344, -1.8160362243652344, 1.7554833889007568, 0.23224276304244995, 0.03815629705786705, -1.234841227531433, 0.3112906217575073, -1.0991203784942627, -0.14188483357429504, -0.5437965989112854, 0.196418896317482, -0.6329221129417419, 0.4354780912399292, 0.44547387957572937, -1.3408334255218506, -0.40330252051353455, -1.8472251892089844, 0.2994956374168396, 1.13127601146698, -0.6555577516555786, -0.9956957697868347, -0.12950479984283447, 1.374795913696289, -0.7438931465148926, -0.2580831050872803, 1.4030784368515015, 0.37912485003471375, -3.024292469024658, 0.29804831743240356, -0.5043753981590271, 0.6877080798149109, -0.38789525628089905, 0.17244310677051544, -1.2708549499511719, 1.578589677810669, -1.8632208108901978, 0.03737056255340576, 0.6613523364067078, -0.3381670415401459, -0.7874787449836731, 3.220353841781616, 0.522522509098053, -0.07900191098451614, 0.8788706660270691, 0.5574265718460083, 0.2691671848297119, -0.36513635516166687, -1.2393723726272583, -0.6480453014373779, -0.9611989259719849, -1.308707594871521, 0.5755531191825867, 1.0536621809005737, 0.9821141362190247, -0.27046215534210205, -1.1829267740249634, -2.0316147804260254, -0.4515690803527832, 0.875750720500946, 0.3180192708969116, 0.6563131213188171, 0.774402379989624, -0.9839534163475037, 0.28529587388038635, 0.8675320148468018, -0.17645275592803955, 1.1111270189285278, -1.0502946376800537, -1.1152530908584595, 1.149383306503296, -0.3709902763366699, -0.39629101753234863, -0.29774513840675354, 1.6706006526947021, 0.7050966620445251, 0.46221035718917847, 1.2299044132232666, 0.2885565161705017, -1.0807055234909058, 0.2408820390701294, -0.5435868501663208, -1.061568260192871, -2.0656352043151855, 2.027376890182495, 0.26955899596214294, 1.1965802907943726, 0.5040018558502197, -0.03792228922247887, 0.5210501551628113, 0.29226604104042053, -0.7823108434677124, 1.9586055278778076, -0.6966350674629211, 0.15396857261657715, -0.05194838345050812, 0.7103544473648071, 0.07043423503637314, -0.5065426826477051, 0.9006580710411072, 1.1516597270965576, 0.7748590707778931, 0.4070735275745392, -0.7704304456710815, 1.3538806438446045, 1.4395884275436401, 1.8302206993103027, 0.19801785051822662, -1.0029106140136719, -0.3852559030056, -1.0887067317962646, 0.9971941709518433, 0.03032035194337368, -0.8252541422843933, -1.786615014076233, 0.3111429512500763, 1.2756812572479248, -1.1243388652801514, -0.29430222511291504, 0.07894422858953476, -0.9696173071861267, 0.551253616809845, 0.13020914793014526, 0.33736956119537354, 1.4532572031021118, 1.486371636390686, -0.16116324067115784, 1.264944314956665, 1.2940577268600464, 0.6465373635292053, 0.5657548308372498, -0.016750473529100418, 0.6759486198425293, -0.6825008988380432, -1.0096439123153687, 1.3370345830917358, -2.043407917022705, -1.2048500776290894, -0.5174772143363953, 0.20255987346172333, -3.25347638130188, -0.7881329655647278, 0.4771616756916046, 0.5973151922225952, -0.2112579196691513, 0.2527655363082886, -1.0818138122558594, -0.9372809529304504, -0.47714853286743164, 0.8926905393600464, -0.3462081551551819, -1.138588786125183, 0.7277613878250122, -1.7581071853637695, -1.3248622417449951, 0.8507608771324158, 0.2546195983886719, -0.4080467224121094, -0.5106203556060791, -1.270721673965454, 2.5891764163970947, -0.354562908411026, -1.2818320989608765, -1.5594983100891113, -0.4052756130695343, -0.5648182034492493, 0.6873080730438232, -1.5439746379852295, 0.5540720820426941, 0.996479868888855, 0.6834517121315002, 1.0108989477157593, 2.5462214946746826, -1.1437408924102783, -1.1306202411651611, -0.10806721448898315, -0.51473468542099, 1.7337040901184082, 0.12080637365579605, 1.8027958869934082, 0.4830751121044159, -1.731162428855896, 1.84044349193573, -0.2197008579969406, 0.7913625240325928, 0.26869305968284607, -0.154978945851326, -0.3239932656288147, 2.406934976577759, 0.025261592119932175, -0.2447807490825653, 1.0818544626235962, 0.1977107971906662, 0.8832146525382996, 0.05016309395432472, -0.16848784685134888, -1.9078998565673828, -0.04345320165157318, -0.7169945240020752, -0.6054081916809082, 0.19755083322525024, 0.9286876320838928, -0.23439998924732208, -0.16021816432476044, 0.8835834264755249, 0.0052892728708684444, -2.5884318351745605, 0.5849440097808838, -0.16726772487163544, -0.9576734900474548, -0.25780239701271057, 0.103523388504982, -0.5864294171333313, 0.7350021600723267, -0.713232159614563, -0.3158910870552063, -0.3819325566291809, 1.9479780197143555, 1.4976047277450562, 0.8394965529441833, -0.09928515553474426, -0.4446367919445038, -2.4423787593841553, 1.1520648002624512, 0.05618588253855705, -0.460948646068573, -0.026748990640044212, -0.903265655040741, -0.8439441323280334, -0.6717603802680969, 1.4982048273086548, -1.1987297534942627, 0.6020563840866089, 0.06395821273326874, -0.4746379554271698, -0.44207561016082764, 0.09228415042161942, -0.7768664360046387, 0.25403672456741333, -0.9836647510528564, -0.5060211420059204, 0.7735684514045715, 0.7405114769935608, -0.039109569042921066, -1.010851502418518, -1.5217334032058716, 1.2741934061050415, -1.869049310684204, -0.9391093850135803, -1.270967721939087, -0.22448940575122833, -1.4022417068481445, -0.9890413284301758, -1.2199276685714722, 1.1948329210281372, -1.2195817232131958, -0.35391345620155334, 1.7812281847000122, -0.7885072827339172, 1.0664293766021729, 0.1007559671998024, -0.4205683767795563, -1.8730666637420654, -0.8385460376739502, -0.6116313934326172, -0.6842321157455444, 0.1932988315820694, 0.10312779992818832, 0.6508732438087463, -0.712414026260376, -0.09370603412389755, 1.005079746246338, 0.2259463220834732, -0.8062894344329834, 1.4567159414291382, 0.31479957699775696, -0.41802477836608887, -0.6574758887290955, 0.9120181202888489, -0.7747094631195068, -0.3804687559604645, -0.5692127346992493, 0.29774758219718933, -0.3805508315563202, 2.2769908905029297, -0.5541157126426697, -0.4131981432437897, -0.9220749139785767, 0.226824551820755, -1.1754525899887085, -0.20295339822769165, -0.11976023763418198, 0.7758480906486511, -0.05716739967465401, -0.309835821390152, 1.817858338356018, 0.0878169909119606, -0.22509470582008362, -0.9552070498466492, 0.5357273817062378, 0.33328482508659363, -1.8535082340240479, 0.19352072477340698, -0.9571138024330139, 0.8727062940597534, -0.4722496271133423, 0.6596775054931641, 0.3581935465335846, 0.7715930342674255, 0.2816004753112793, -1.2719852924346924, 1.755285620689392, 0.32407042384147644, -0.45473596453666687, -0.8180104494094849, 0.7997093796730042, 0.05040927603840828, -0.7400402426719666, -0.2684246599674225, -0.6937383413314819, 0.25562193989753723, -1.4160265922546387, 1.332855463027954, 0.030868878588080406, -0.8733664751052856, -0.0614813007414341, 0.052201781421899796, -0.7482638955116272, -0.45710137486457825, -1.423795223236084, -1.1973137855529785, -0.20664647221565247, 0.3928546905517578, -0.5325941443443298, 1.9579099416732788, 0.14537149667739868, 1.0918395519256592, -0.6856022477149963, 0.6743966937065125, -0.14989665150642395, 0.2429034411907196, 0.306086927652359, -0.010878127068281174, 1.2197933197021484, -1.2799019813537598, -1.8041424751281738, 0.5875222682952881, 0.7378426194190979, 0.6903255581855774, 1.8943501710891724, -1.1849844455718994, 0.035161666572093964, -2.5819005966186523, -0.7110713124275208, 1.019197940826416, -2.1241044998168945, 0.6834663152694702, 0.5487884283065796, 0.3852631747722626, -1.303731083869934, -1.7900450229644775, 0.74363774061203, -0.6302003860473633, -1.30617356300354, -1.8174774646759033, 1.8927924633026123, -0.9710263013839722, 0.25843843817710876, 1.3005090951919556, -0.06912285834550858, -0.3439422845840454, -0.29280704259872437, -1.9053226709365845, 1.8159502744674683, 0.31352418661117554, 0.40840038657188416, -0.27599790692329407, 1.501791000366211, 1.2723854780197144, -0.6432859301567078, 0.9780218601226807, 0.6522049903869629, -0.4007274806499481, 0.20102868974208832, -1.4277843236923218, -1.2397048473358154, -0.36670389771461487, -0.6637213230133057, 1.7692753076553345, 1.1395817995071411, -0.13481494784355164, -1.7708091735839844, 0.9204176664352417, 0.8998534083366394, -1.4269943237304688, -0.37111350893974304, 0.8170774579048157, -0.6666218638420105, -0.6103119850158691, -0.07903532683849335, 0.40090540051460266, -1.2214291095733643, -1.123510479927063, -1.5362173318862915, -0.5207619071006775, 0.7537256479263306, -0.18544520437717438, 0.77393639087677, 0.301782488822937, 1.5077836513519287, -0.5381446480751038, -1.0454508066177368, -1.486191987991333, 0.5296828150749207, 0.6571553349494934, 0.43301114439964294, -1.6115930080413818, 0.3296021521091461, 1.6677725315093994, 0.4580702483654022, 0.49705028533935547, -0.4641091525554657, -0.16562093794345856, -0.5279741883277893, 0.8597514629364014, -0.17805825173854828, -0.6473056674003601, -0.4873490035533905, 0.9520416855812073, -1.79311203956604, 0.9569541215896606, -0.6222836375236511, 0.6242925524711609, 0.38798239827156067, -0.2519591152667999, 0.7435712814331055, -0.3246157765388489, 0.6967641115188599, -0.25631996989250183, -1.3544143438339233, -0.8552826046943665, 0.2536700963973999, -1.3728705644607544, -0.530166506767273, -2.367126703262329, 1.2589600086212158, 0.02631186507642269, -0.8995487093925476, -1.3286360502243042, 0.12793944776058197, -0.9199894070625305, 0.08235820382833481, -2.194843292236328, -1.5484036207199097, 0.7937297821044922, 0.14731340110301971, 0.04240464046597481, -0.6879045367240906, -0.8871468901634216, -0.47980090975761414, 0.28267720341682434, -1.6053545475006104, 0.12526625394821167, -0.23374232649803162, -0.5862246155738831, 1.0336445569992065, 0.49877527356147766, -0.43038249015808105, -0.8129518628120422, 0.3756439983844757, 0.6129004955291748, 0.04692007228732109, 0.9859462976455688, 1.4831371307373047, 2.486056089401245, -0.8713778853416443, 2.4219255447387695, 0.8619664311408997, 0.7171047925949097, -2.2819113731384277, -0.24522823095321655, -0.023873133584856987, -0.0973457619547844, -1.041334629058838, 0.3649180233478546, -0.46169158816337585, -0.14872486889362335, -0.23188330233097076, 0.12479649484157562, 0.05347807705402374, 0.5584927797317505, -1.5811458826065063, 0.5356708765029907, 0.21025295555591583, -0.24703240394592285, 0.23994095623493195, 1.1590720415115356, 1.168839693069458, -0.9539070725440979, 0.658633291721344, 0.5681256651878357, 0.7912182807922363, -0.36096110939979553, 0.6277414560317993, 0.1642685979604721, 0.05280255153775215, -0.34626534581184387, -0.4431508779525757, -0.11042255908250809, -0.06429174542427063, 1.1978527307510376, 0.47317540645599365, -2.292738676071167, 1.5541141033172607, -0.5851547122001648, -0.3537789285182953, -0.8436524271965027, -0.44712358713150024, -1.448716640472412, 1.552046537399292, -1.7491686344146729, 0.919133722782135, 0.5182786583900452, -0.4023047089576721, 0.5836163759231567, -0.9826108813285828, -0.7050940990447998, 1.2669448852539062, 1.6809521913528442, -0.1113143041729927, -0.30720576643943787, 0.9826673865318298, -0.6770282983779907, 0.5214178562164307, 0.393690288066864, 0.24256174266338348, -2.0793709754943848, 0.07966192066669464, 1.2994259595870972, -0.3774186968803406, 1.9390441179275513, 0.1396932154893875, 1.1258900165557861, 0.04496730491518974, -1.139866590499878, -0.2511955499649048, -0.5875258445739746, 0.002308705821633339, -1.0564762353897095, -0.25632399320602417, -1.1680738925933838, -0.16880786418914795, -0.24299125373363495, 1.0621012449264526, 0.5457154512405396, -0.2439623326063156, -1.3711411952972412, -1.1331812143325806, -0.2143252193927765, 1.9814000129699707, -0.961947500705719, 0.6017144322395325, -0.21473316848278046, 1.320539951324463, 1.0334274768829346, 0.7553479671478271, 0.23677706718444824, 0.3173491656780243, -0.5547844171524048, -0.7811285853385925, 1.7556536197662354, -1.0046977996826172, -0.8195557594299316, -0.2479039579629898, -2.24167537689209, 0.27704840898513794, 0.9788237810134888, 0.5129202604293823, -0.7542052865028381, 0.43793290853500366, 0.7643639445304871, 1.5913268327713013, 2.5477356910705566, 0.13956202566623688, 0.26995086669921875, -1.018721103668213, 1.1564874649047852, 0.15310195088386536, -0.8947622776031494, 0.802491307258606, -1.5739718675613403, -0.18728342652320862, -0.11238642036914825, -1.185747504234314, -0.08674497157335281, -0.03278128057718277, 0.8752722144126892, 0.3852311372756958, 0.4029355049133301, -1.0297232866287231, 1.4832485914230347, 0.24136433005332947, 0.5313686728477478, 0.8866341710090637, -1.6345287561416626, -2.0623817443847656, 1.3182847499847412, 1.7746872901916504, 0.5428587794303894, -0.8526063561439514, 0.8889766335487366, 1.5701701641082764, 0.14227180182933807, 0.11478295177221298, 0.8044385313987732, -1.1406813859939575, -1.5314878225326538, 0.8391000032424927, 0.8696141839027405, -0.6657899618148804, -1.071033000946045, 1.6950889825820923, 0.2753525674343109, 1.4552403688430786, 0.2537800669670105, 0.43973052501678467, 0.5040900111198425, -0.7480573654174805, 1.3984705209732056, 0.14356543123722076, -0.2032051533460617, 0.0994410589337349, -0.1844106912612915, 0.30166828632354736, 0.46115365624427795, 2.27763295173645, 0.7812434434890747, 0.7613544464111328, -0.07819203287363052, 0.6008113622665405, 0.10501030832529068, -1.1425375938415527, -0.22765061259269714, 0.5598739385604858, -0.788811206817627, 0.11266294121742249, -1.5205930471420288, 0.10506926476955414, 0.5031237602233887, 0.08736292272806168, -0.6933541893959045, -0.6526831388473511, -0.24185408651828766, 3.23988938331604, -0.4208368957042694, 0.575531542301178, -0.9474223256111145, -0.6160714626312256, -2.178378105163574, 1.478725790977478, 1.189035415649414, -0.3664852976799011, -0.12378642708063126, -0.09974006563425064, 0.44588619470596313, 0.154433012008667, 0.04237290471792221, 0.660260021686554, 0.37267276644706726, -0.9393907189369202, 0.9752734303474426, 0.22066618502140045, -1.9073368310928345, 1.1782397031784058, -0.0009965643985196948, 0.2803668975830078, 0.24196982383728027, 0.11141719669103622, -0.8755977153778076, 0.4212029278278351, 0.47078484296798706, -1.0413098335266113, 1.8391388654708862, 0.4519938826560974, -0.2112191766500473, -0.694909930229187, 0.9259234666824341, -0.8470016121864319, 0.3494705855846405, 1.6900360584259033, -0.21350403130054474, -0.7799853682518005, 0.3527657091617584, 1.285902738571167, -0.9142462611198425, -0.7495501637458801, 0.5424449443817139, 0.11846041679382324, -1.0597124099731445, -0.5839986205101013, -0.42884963750839233, 0.5962837338447571, -2.5697152614593506, 1.4155139923095703, 0.014683976769447327, -1.670839786529541, 0.25125592947006226, -2.546576738357544, 0.29656514525413513, -0.5093925595283508, 0.5663952231407166, 1.0378563404083252, -0.13388068974018097, -0.8836921453475952, -0.07753770798444748, 0.07105586677789688, -1.566226840019226, -0.3378104865550995, 0.7792806625366211, -0.4074157774448395, 1.8906428813934326, -0.756089448928833, -0.2607063949108124, -1.3694021701812744, 0.12674714624881744, -0.6951651573181152, -0.06154036521911621, -0.48687252402305603, 0.876841127872467, -1.6189059019088745, -0.4764654338359833, -1.0409092903137207, 0.07230723649263382, 0.6394705772399902, 0.21395321190357208, 0.863329291343689, -0.44169408082962036, 1.661298394203186, 1.508906602859497, 2.524317741394043, -0.37708762288093567, 0.6209670901298523, 0.3582634925842285, 0.09173794090747833, 2.0204687118530273, 0.17942897975444794, -0.6640339493751526, 1.6552845239639282, -2.1121509075164795, -1.3458212614059448, -0.03349873051047325, -0.2138843834400177, -2.1165382862091064, 0.7306156754493713, -0.1072213426232338, -1.35154390335083, -0.8113628029823303, -1.3975651264190674, 0.07632094621658325, 0.15073062479496002, 1.448327898979187, -1.1218444108963013, -1.717185378074646, -1.1420528888702393, -0.697896420955658, -0.4952274560928345, 0.592063844203949, -0.2142551839351654, -0.11819840222597122, 2.3204562664031982, 0.8399581909179688, -0.3558678925037384, -1.5909535884857178, -1.3478460311889648, 1.0423489809036255, 0.11596374213695526, -1.1480767726898193, -2.6038570404052734, -0.5315819382667542, -0.011637826450169086, 0.3978371024131775, -0.4396243393421173, 1.93955397605896, -0.9771454334259033, 0.6809374690055847, 0.05999159812927246, -0.9364544749259949, -0.06959404051303864, -1.5363966226577759, 0.3963017761707306, 1.1577513217926025, 0.35385653376579285, 0.17175062000751495, -0.1304573118686676, -0.4052051901817322, -0.29990243911743164, -0.24768592417240143, 0.025597823783755302, -0.6066430807113647, -0.9305793046951294, -0.7682593464851379, 0.20078015327453613, -0.6248465776443481, -0.5952335000038147, -0.04468074440956116, -1.009346604347229, -1.0728826522827148, -1.380991816520691, -0.46318259835243225, 0.0828210711479187, 0.31253981590270996, 0.7915129065513611, -1.4758988618850708, -0.26255592703819275, -0.07318941503763199, 0.1856784075498581, 0.11852094531059265, -0.7857882380485535, -0.2447122037410736, -0.1795857697725296, 1.35132896900177, 1.0758763551712036, 0.06762055307626724, 0.12564559280872345, -0.24541357159614563, -1.329575777053833, -0.8315930366516113, -0.3053233325481415, 0.5791343450546265, -1.6116007566452026, 0.5397943258285522, -0.9020928740501404, 0.4479517340660095, 0.6102436780929565, 2.0879485607147217, 0.6247636675834656, 1.0843877792358398, -0.6598773002624512, -2.4639151096343994, 0.9038017392158508, -0.2968466281890869, 2.6325294971466064, 0.3452278673648834, -1.037148118019104, -0.46985501050949097, -0.8730120658874512, 0.03891428932547569, 0.9339744448661804, -0.9786498546600342, 1.7161922454833984, 0.1770559549331665, -2.5779597759246826, -0.7599144577980042, -0.021947475150227547, -0.05064823850989342, -0.3805620074272156, 1.0941226482391357, -0.013367238454520702, -1.0866997241973877, 1.7944737672805786, -0.8105392456054688, 0.11340454965829849, -3.415294647216797, 0.46185189485549927, 0.39230629801750183, -0.422299325466156, 1.2116690874099731, -0.7454475164413452, 1.0025793313980103, 1.5007195472717285, 0.6039916276931763, 0.6385470628738403, -0.7997598052024841, 1.4190888404846191, 0.7139519453048706, -0.8913350105285645, -0.3209368586540222, -0.36521822214126587, -0.22018861770629883, -0.6153189539909363, 0.20085401833057404, 1.4966083765029907, -0.7474693059921265, 0.4206045866012573, 0.47392338514328003, 0.7445206046104431, 0.004952915944159031, 0.4272385239601135, -1.2960176467895508, 0.7837560772895813, -0.45666924118995667, -1.6066957712173462, 0.9347885251045227, 0.42004066705703735, -0.8049405813217163, -0.150363951921463, 1.0604444742202759, 0.0675746500492096, -1.1367902755737305, -0.6923710107803345, 1.5790324211120605, 2.9260025024414062, -1.4956997632980347, -0.5729804635047913, 1.1514050960540771, -1.6803779602050781, 0.1409471184015274, -0.6708787679672241, 2.2941086292266846, -1.4066128730773926, -0.9858864545822144, -1.359840750694275, -2.2971458435058594, 0.37036609649658203, 0.4762386679649353, 1.0205225944519043, -1.6599347591400146, -0.3321422338485718, -1.208038091659546, -0.09502217918634415, 0.873673677444458, -1.6568045616149902, 0.008438597433269024, 1.3425534963607788, -0.47131380438804626, -0.8578032851219177, -1.5039499998092651, 2.7678134441375732, -0.39640724658966064, 0.23715727031230927, -0.20637983083724976, -1.7908604145050049, 0.3660436272621155, 1.4924936294555664, -0.5610960721969604, -0.06110962852835655, 0.23011335730552673, 1.2392019033432007, 0.5216693878173828, -0.13446436822414398, 1.3216618299484253, -1.8280766010284424, 0.2643214762210846, -0.6900609731674194, 0.7260445356369019, -0.30349400639533997, 0.5815872550010681, 1.3329540491104126, -2.1475179195404053, 1.4342833757400513, 0.10138583183288574, -1.2524031400680542, 1.0102041959762573, 0.46108055114746094, 0.01336680632084608, -0.14100849628448486, -0.889639675617218, -0.6081704497337341, 0.4489116668701172, -0.848639190196991, -0.2151404768228531, -0.11350852251052856, -0.2354964166879654, -0.973738968372345, -0.17357520759105682, 0.2924884259700775, -0.30184343457221985, -1.8334472179412842, -0.8575722575187683, -0.6995168328285217, -1.9365251064300537, -1.4520549774169922, 0.3649771213531494, 1.2317403554916382, 0.9341961145401001, -0.26921042799949646, -0.2647066116333008, -1.640427827835083, -0.6292604804039001, -0.026013029739260674, -0.8500182628631592, 0.40284135937690735, -0.6509089469909668, -0.594007134437561, -1.1903328895568848, -0.33502256870269775, -0.10268518328666687, 1.3319542407989502, 0.062293291091918945, 0.6359161734580994, -0.4725393056869507, 0.5971065163612366, -0.886979877948761, -0.8267499208450317, -0.45290637016296387, -2.0547444820404053, 1.038520097732544, 0.238973930478096, 0.9611908197402954, -0.49037906527519226, -0.366447389125824, 1.053972601890564, -0.9702779054641724, -0.086436428129673, 0.08850189298391342, 0.6061123013496399, 0.1003761887550354, 0.9035126566886902, -0.11317142099142075, 0.6646039485931396, -0.3307531774044037, -0.03469401225447655, -0.8176391124725342, -1.4842554330825806, -0.3912002444267273, 1.6037373542785645, -1.126471757888794, 0.9485329389572144, -0.7245096564292908, -0.4182944595813751, -1.633803367614746, -1.996370792388916, -1.1181784868240356, -0.5712214708328247, 0.1617712378501892, -1.913155198097229, 1.0266648530960083, 0.16581586003303528, 1.4585448503494263, 0.30682164430618286, -0.2685083746910095, -1.7628653049468994, -1.0531896352767944, 0.23472845554351807, 2.6731927394866943, 0.2733300030231476, -0.8041640520095825, -0.23016251623630524, -1.1836426258087158, -0.18201568722724915, 1.1643050909042358, 0.2131062000989914, -2.2824196815490723, -0.4418574273586273, 0.011574751697480679, 1.3838162422180176, 1.837065577507019, -2.2203011512756348, 1.0215787887573242, 0.3616063892841339, 1.4835031032562256, 0.2943854033946991, -0.5240616202354431, -0.0375228077173233, 0.1392686814069748, -0.11924947798252106, 1.4921824932098389, 0.48487332463264465, 0.29207512736320496, 0.02456233650445938, -1.4987014532089233, -0.8865903615951538, -0.43716081976890564, 0.17524249851703644, 0.6343317627906799, 0.12713606655597687, 0.22668007016181946, 0.8076933026313782, 0.21579669415950775, -0.39912620186805725, 1.2474193572998047, 0.0017800657078623772, -0.330135315656662, -0.04306422173976898, -0.05908271670341492, 0.20981276035308838, 1.390836238861084, 2.0482025146484375, -0.5296229720115662, -0.2988928556442261, 1.2142765522003174, 2.2022879123687744, -1.0843682289123535, -0.83974689245224, -0.3812352418899536, 0.6403701901435852, -1.5004712343215942, -2.421318769454956, -0.2763191759586334, 0.10937873274087906, 0.7659371495246887, 0.3736075460910797, -1.4753084182739258, -0.3339185416698456, -0.19790448248386383, 0.4138129949569702, 0.03303590789437294, 0.1958259493112564, -0.8357388973236084, 0.21659313142299652, 1.0849132537841797, -1.1166635751724243, -0.7550253868103027, -1.2578413486480713, 0.09484537690877914, -1.310698390007019, -0.5264534950256348, 2.033174991607666, -0.3734363615512848, -0.4906001687049866, 0.49196675419807434, -0.1777074784040451, 0.8387402892112732, 0.18600814044475555, 0.5935642719268799, -2.032599449157715, -0.10892144590616226, 0.4520547389984131, 0.32175642251968384, 1.2780795097351074, 0.15979889035224915, -0.6918241381645203, 1.796686053276062, 1.022971510887146, -0.7866502404212952, 1.4240609407424927, 0.5176186561584473, -1.946393370628357, -1.0434775352478027, 0.37147971987724304, 0.8359417915344238, -0.5198533535003662, 0.7535852193832397, 0.7874966859817505, -0.5982055068016052, -0.5799192190170288, 0.30856257677078247, 0.4841693937778473, -0.9232363104820251, -1.2521157264709473, -0.30621474981307983, 0.11858940869569778, -0.5711290836334229, -2.1660830974578857, -0.3258214592933655, 1.833164095878601, 0.3409144878387451, 0.2532392144203186, 0.6609697937965393, -1.2547670602798462, 0.3325648009777069, -0.017876455560326576, 0.2685890197753906, -1.0205165147781372, 0.5225569605827332, -1.7881637811660767, 0.6580647230148315, 0.6029180884361267, 0.4135936200618744, -0.7948814630508423, 0.6627619862556458, 0.5956981778144836, 1.1161829233169556, -1.0561795234680176, -1.36105215549469, -0.503957986831665, -0.7040725946426392, 1.7784757614135742, 0.9710765480995178, -1.4426597356796265, 0.776462197303772, -0.5182938575744629, -0.20679894089698792, 0.5070764422416687, 0.43997424840927124, 1.3502293825149536, -0.8489741683006287, 0.5100668668746948, 1.1314637660980225, 0.7407159209251404, 0.12388532608747482, -0.07339782267808914, 0.4684543013572693, 0.18096938729286194, 0.023803848773241043, -0.12642107903957367, 1.560902714729309, -0.7683877348899841, -0.5374219417572021, -0.5476384162902832, -1.7098519802093506, 0.7621958255767822, 0.834602415561676, -0.2593914270401001, 0.9273161888122559, -0.5747499465942383, -0.18369537591934204, -1.7282599210739136, 0.7488846182823181, 0.3305516541004181, 1.2336819171905518, -0.5512154698371887, -2.7690329551696777, -1.1015769243240356, 1.2295141220092773, -1.1551799774169922, 0.13840323686599731, -0.33332201838493347, 0.7350931763648987, -0.5427537560462952, 0.3736926317214966, -0.13789930939674377, 0.20796388387680054, -0.8563625812530518, -1.1309146881103516, -1.1312706470489502, 0.9073591232299805, -0.6289057731628418, 0.002553157275542617, -0.7149829268455505, 0.9223350882530212, -0.818425178527832, 0.08263012021780014, 1.0353505611419678, 0.17967261373996735, -1.5187653303146362, 0.3199388384819031, 0.24572841823101044, 1.2656786441802979, 0.1420648992061615, -1.0785512924194336, -0.04823100566864014, -2.1170544624328613, 0.6319970488548279, 0.07906002551317215, -1.9339326620101929, 0.3333790600299835, -1.553728461265564, -0.6310044527053833, -0.12676915526390076, -0.5809851288795471, 0.05358121171593666, -0.8295819759368896, -0.1881246268749237, 0.014024199917912483, -1.8898271322250366, 0.6219426393508911, 1.5293670892715454, 1.3299766778945923, -0.5020866990089417, 0.013269849121570587, -0.9790670871734619, -0.24760843813419342, 0.11608616262674332, -0.4636636972427368, 0.0035412528086453676, 1.3136581182479858, -2.0965702533721924, 0.8608671426773071, 2.069340944290161, 0.4133181869983673, -0.8195847272872925, 0.7349587678909302, 1.6516591310501099, -1.1695927381515503, -2.8816816806793213, 1.2933495044708252, -0.6630719304084778, -1.669426679611206, -0.12572306394577026, 0.9400542974472046, -0.37625306844711304, 0.07206188887357712, 0.5993785858154297, 2.42556095123291, -0.7304309606552124, -1.5512340068817139, 0.7456721663475037, 1.5780937671661377, -0.6693115234375, 0.30994316935539246, -0.13871854543685913, 1.215620517730713, -0.8605997562408447, -1.7065950632095337, -1.5154329538345337, 1.9247289896011353, 1.4764230251312256, 0.6208785772323608, 0.5254868268966675, -1.054010033607483, 1.0037199258804321, -1.981797456741333, 0.20659300684928894, 1.3253546953201294, -0.2715213894844055, 1.1070812940597534, -0.09214410930871964, 0.7869356274604797, -0.4806690812110901, 0.8822441697120667, -0.18203862011432648, 0.17630478739738464, -0.006897764280438423, -0.5570874810218811, -1.278595209121704, -0.029807737097144127, -0.272795706987381, -0.6188493371009827, 0.013996006920933723, -1.7779923677444458, 1.4519503116607666, 0.42733708024024963, 0.6806632876396179, -0.3172769248485565, 0.7355312705039978, -1.0393167734146118, 0.5180931091308594, -0.29802218079566956, 0.24633614718914032, -0.2925514280796051, -0.09646192193031311, -0.16680142283439636, 1.4848936796188354, 0.1335538625717163, 1.4816335439682007, 0.39595338702201843, -0.11438022553920746, 0.8470210433006287, 0.3568851053714752, -0.4237940311431885, 1.9725687503814697, 0.24640174210071564, 0.7365289926528931, -0.6161978244781494, 0.406694233417511, -0.5294302701950073, 1.6465728282928467, 0.20846737921237946, -1.5883698463439941, -0.00795787200331688, 1.0567288398742676, -0.25704526901245117, 2.242323398590088, -0.5076617002487183, 1.1148484945297241, -0.24364164471626282, 0.8121037483215332, -0.12200941145420074, -2.860245704650879, -0.7454695701599121, -0.5248717069625854, 0.5121532678604126, -0.023124096915125847, -0.4073675572872162, 0.10055021941661835, 0.2000260204076767, -0.4686191976070404, -0.507951557636261, 0.7060792446136475, 1.2690389156341553, -0.12573125958442688, 0.47237005829811096, -0.03968778997659683, -1.0765138864517212, 0.5315628051757812, 0.20034749805927277, -0.22400715947151184, -0.5460156202316284, -0.3827899396419525, -0.15300044417381287, 0.2000834345817566, -0.530178427696228, 0.36986833810806274, -0.6349008083343506, 0.09077858179807663, -1.4381893873214722, -1.0645692348480225, 2.0070955753326416, 0.19169828295707703, -1.1441396474838257, 0.6783451437950134, -0.03426182642579079, 1.14720618724823, 0.22971086204051971, 0.545509934425354, 1.1326453685760498, -0.7698157429695129, 0.7397182583808899, 1.171998381614685, -0.12684814631938934, -2.5057640075683594, 0.26599687337875366, 0.5157158374786377, 0.5946334004402161, -0.44782426953315735, 1.0255751609802246, -1.322627305984497, -1.1925690174102783, 1.0227667093276978, -1.00983464717865, 0.508330762386322, 1.2371419668197632, -0.17756298184394836, -0.2227911502122879, -0.7434598207473755, 0.7521016001701355, 1.0251896381378174, -0.3359786570072174, 0.06297726929187775, -0.19457565248012543, 0.32667145133018494, 0.05493258312344551, -0.22863677144050598, -0.20103099942207336, 0.6095025539398193, 1.8879681825637817, -0.04100740700960159, -0.11639171838760376, -0.5797420740127563, 1.9391604661941528, 0.5553658604621887, -1.8626227378845215, -1.4392049312591553, 0.08866787701845169, 1.0433450937271118, -1.1133232116699219, -0.9558775424957275, 0.5073434114456177, -1.6442559957504272, 0.2762061059474945, -1.3950210809707642, 1.0306376218795776, -0.24369336664676666, 1.130842685699463, 0.1505962759256363, 1.4430633783340454, 2.437141180038452, 0.3146182894706726, 0.5922086834907532, 0.8569338321685791, -0.6367456316947937, 1.2284259796142578, -1.399428367614746, 2.0549864768981934, -0.07375158369541168, -0.6797704100608826, 0.3058446943759918, -0.1956292986869812, -0.3230363130569458, -0.9605632424354553, -0.2635992169380188, -0.031931303441524506, -0.5579584240913391, -0.9903050065040588, -0.030545633286237717, 1.0826255083084106, -1.291628360748291, -0.1426185965538025, -0.44077375531196594, -0.8960468173027039, 0.06082989647984505, -0.03000643663108349, -0.6974857449531555, 1.271837592124939, 1.1133992671966553, -1.419503927230835, 0.2733975350856781, -0.3507097363471985, 0.3622736930847168, -1.227813482284546, -0.4871881902217865, -1.6397536993026733, -0.9607998132705688, 0.2933238744735718, -1.1233028173446655, 0.4863339364528656, 0.24022139608860016, -0.9113038778305054, -0.5101578235626221, -2.0763680934906006, -1.8127731084823608, 0.6619890928268433, 0.8253668546676636, -0.36606886982917786, -0.3696536421775818, 1.6076257228851318, -0.754933774471283, -1.3605271577835083, 2.3635902404785156, -0.4487098157405853, 0.9664000868797302, -1.4030894041061401, -0.1292446255683899, 0.04599662870168686, -1.1734482049942017, -0.6325042247772217, -0.6873001456260681, -0.28212353587150574, 0.8438822627067566, -1.1759703159332275, -0.5721935629844666, -0.516184389591217, 0.30903151631355286, -0.4452439546585083, -0.08676602691411972, 0.8427383303642273, -1.5440318584442139, 0.6227589249610901, -0.6410549879074097, -1.1313835382461548, 0.5559316873550415, -0.8155849575996399, 1.158584713935852, 1.240797758102417, -0.3435097932815552, 2.56441330909729, -0.622501790523529, -0.6393479704856873, 1.8480608463287354, -1.0620402097702026, -1.0818898677825928, 0.08725418150424957, 1.1389104127883911, 1.3922966718673706, 0.2439546287059784, 0.2337389588356018, 0.9037718176841736, 0.1268380582332611, -0.52899169921875, 1.1835300922393799, 0.45211607217788696, -0.23451806604862213, 0.1398104876279831, 0.8623936176300049, -0.6544268727302551, 1.1344525814056396, 0.19109509885311127, -1.8066794872283936, -0.6079069972038269, 1.1354328393936157, -0.971152663230896, -0.6087355017662048, -0.8099042177200317, 1.1791812181472778, 1.1970734596252441, -0.8717161417007446, 0.705218493938446, -1.320969581604004, -0.8767669796943665, -0.14932267367839813, 1.089661717414856, 1.317762851715088, 0.06512323766946793, 1.9020339250564575, 0.9344294667243958, -0.11792541295289993, 0.3326769769191742, -0.5530456900596619, -0.011047005653381348, -0.13075901567935944, 0.7527815103530884, -0.6176329851150513, 1.542791485786438, -1.5018470287322998, -0.23773422837257385, -0.14957042038440704, 1.0851384401321411, -1.9126076698303223, 0.29422011971473694, 1.108083963394165, -1.1363614797592163, -0.9948849678039551, 0.7832894325256348, -1.98948335647583, 1.8534542322158813, -0.7182233929634094, -0.7780546545982361, 0.5589922666549683, 1.0385273694992065, -1.3358535766601562, -0.2454742193222046, -0.04209444299340248, -2.09747576713562, -0.28705278038978577, 0.20882000029087067, -1.7381900548934937, 1.2858470678329468, 1.6802698373794556, -1.9203318357467651, 0.19011062383651733, 0.35528868436813354, -1.4793392419815063, -1.2800111770629883, -0.7938875555992126, 0.14372515678405762, -1.905366063117981, -0.1655794084072113, -0.9572501182556152, 1.5330111980438232, 0.17725002765655518, -0.3906828463077545, 1.3739720582962036, 0.2678837180137634, 0.8520804643630981, -1.0253362655639648, -0.37862205505371094, -0.6707212924957275, -0.5771545171737671, 0.44196775555610657, 0.25318610668182373, 0.3076200783252716, 1.0758898258209229, 2.782505750656128, 0.5657347440719604, 1.2925255298614502, 1.0596139430999756, -0.06799823045730591, 0.2768699824810028, 1.312386393547058, -1.7522295713424683, 0.24048538506031036, -0.06549931317567825, -0.8114027976989746, 0.9625797867774963, 1.1070342063903809, 0.07203887403011322, -0.6003673672676086, 1.481478214263916, 0.5685163140296936, 0.9789222478866577, -0.5478077530860901, -0.40671080350875854, -0.03528118506073952, -0.5818768739700317, 0.36061006784439087, -1.8898985385894775, 0.4952695369720459, 0.5948271751403809, -0.5271872878074646, -1.507802128791809, -2.1247968673706055, 1.3060524463653564, -0.4960448741912842, 0.278315931558609, 0.03446761146187782, -2.331817865371704, 1.0456942319869995, -0.17538411915302277, -1.1890764236450195, -0.08786030113697052, 0.16129860281944275, -0.8706456422805786, -0.9879335165023804, 0.7907729148864746, -0.34499678015708923, 0.1162058487534523, -0.5146538019180298, 0.5841650366783142, -0.43174809217453003, 0.5591469407081604, 0.7031447291374207, 0.40587136149406433, -0.9195347428321838, -0.311178982257843, 1.0191539525985718, 0.02043985202908516, 1.1359004974365234, -0.44148507714271545, -0.7112050652503967, 0.5084811449050903, -1.2142959833145142, -1.1591547727584839, -0.2464970499277115, -1.025781273841858, 1.3316500186920166, -1.3258460760116577, 1.2544397115707397, 0.19102415442466736, -0.3090815544128418, -0.5994856357574463, -0.5766557455062866, -0.14345669746398926, -1.413908839225769, 2.4553487300872803, 0.35845333337783813, 0.46693727374076843, 0.7125614285469055, 0.479406476020813, -0.08386288583278656, 1.0183467864990234, 2.293912172317505, 1.019384741783142, 0.5607394576072693, -1.21030592918396, 0.6703938841819763, 0.6252219676971436, -0.1491209715604782, 1.0026240348815918, -0.9456566572189331, -0.7854576706886292, 1.0023471117019653, 0.1854010820388794, 1.9151939153671265, -0.7765442132949829, 2.6206483840942383, -1.0838223695755005, -1.5815633535385132, -0.7304837107658386, -0.8304375410079956, 1.840786099433899, 1.125606656074524, -2.0489184856414795, -0.1077764555811882, 2.1166653633117676, 0.5693604946136475, 1.037048101425171, -2.215151071548462, -0.2794555127620697, -0.2705748379230499, -0.15999796986579895, 0.2718276381492615, 1.2706146240234375, 0.23635604977607727, 2.3490428924560547, 0.9080804586410522, -0.5816124677658081, -1.1148954629898071, 0.6188000440597534, -1.5384196043014526, 0.04370538890361786, -0.683236300945282, -0.8994664549827576, -1.0301966667175293, 1.1853338479995728, -0.18920040130615234, 0.210635706782341, -0.22414639592170715, -1.0309020280838013, 0.4131605327129364, 0.8941879272460938, 0.898522675037384, -0.9061306118965149, 0.7820965051651001, -0.36296164989471436, 1.07906174659729, 1.0870229005813599, 0.3296813368797302, 1.4653434753417969, 0.5929437279701233, 0.40395334362983704, 2.226238489151001, 0.27634578943252563, -0.1363791674375534, 1.672245979309082, 1.3883798122406006, -0.5475593209266663, -0.7908494472503662, -0.6363683938980103, 0.11030416190624237, -0.757551908493042, 0.3146055340766907, 0.8210598230361938, -0.13401752710342407, 1.3829541206359863, -1.9404771327972412, 0.06456820666790009, 0.24675872921943665, -0.8821930885314941, -0.29376769065856934, 0.09143570065498352, -1.9150919914245605, 0.28192493319511414, -0.44281017780303955, 0.956531822681427, -0.41046151518821716, 0.4775390028953552, -1.3339372873306274, -1.1358840465545654, -1.1201201677322388, -1.0015676021575928, -0.06886715441942215, -1.1431759595870972, 0.214805006980896, -0.20753113925457, 0.6510142683982849, -0.5592460632324219, -1.2950611114501953, 0.5914115309715271, 1.899415135383606, 0.0988568365573883, -0.1254906803369522, -0.7847489714622498, -1.737460732460022, 1.633519172668457, 1.3280569314956665, -0.41520044207572937, -0.3750307559967041, -0.9979457259178162, -1.2080016136169434, 0.9136943221092224, -1.0088998079299927, 0.20037201046943665, -1.0232815742492676, -1.5162270069122314, 0.7509674429893494, -0.8405502438545227, -0.4375815689563751, 0.4112781882286072, 0.11765532195568085, 0.26555898785591125, 0.46876049041748047, -0.7140993475914001, -0.4881676137447357, -0.8264901638031006, -0.44867777824401855, -0.221518412232399, 1.043481469154358, 0.06666303426027298, -0.21961618959903717, -0.38798925280570984, -1.032976746559143, -0.02581670880317688, 0.15275008976459503, -0.21125498414039612, -1.5405230522155762, -0.7693045139312744, 0.7219523191452026, -1.1022530794143677, 0.34095126390457153, -0.9113534688949585, -1.2489300966262817, 1.7944072484970093, -2.397362470626831, 1.1105061769485474, -1.2750405073165894, 0.508660614490509, 0.20820628106594086, -1.2752248048782349, 0.38020089268684387, 1.9921813011169434, 2.5964605808258057, 0.664368748664856, -0.3932861387729645, -0.4181402325630188, 1.053894281387329, 0.6802701354026794, -0.5562973022460938, -2.0719830989837646, 0.33261775970458984, 0.4770808517932892, 0.5513338446617126, 0.8727778196334839, 0.34778210520744324, -0.5047551989555359, -0.9303416609764099, 0.9731815457344055, 0.4358324408531189, -1.572331428527832, -0.5616283416748047, -0.35208645462989807, -0.36213865876197815, 0.06404759734869003, 0.9097355604171753, -0.2263336032629013, -0.3622964918613434, -0.24923035502433777, 0.6524377465248108, -1.755732536315918, 0.038155701011419296, 1.7093864679336548, 0.2083301544189453, 0.6533578038215637, -0.8733847737312317, 0.6102223992347717, 0.8990232348442078, 0.19712021946907043, -1.2537983655929565, -0.25473445653915405, -1.6862728595733643, -0.5369461178779602, -0.20975737273693085, -0.7016284465789795, -0.10043355077505112, 0.9571766257286072, -0.2694477438926697, -1.2925363779067993, 0.42366647720336914, -0.12669521570205688, -1.247761845588684, 0.9750475287437439, 1.3523695468902588, 0.1373082846403122, -0.20639076828956604, -0.07582052797079086, -0.3325357139110565, -1.17760169506073, 1.3663262128829956, 1.8655223846435547, -1.1711757183074951, -1.364355444908142, -0.8279528617858887, -1.766539454460144, 0.9042496681213379, 0.09391269087791443, 0.6922197937965393, -1.1525752544403076, 0.35547909140586853, 0.0432867705821991, -1.1814297437667847, 2.24186372756958, 0.43066027760505676, 0.539179265499115, 1.1823430061340332, 0.41576170921325684, -0.04089825972914696, 0.7548227906227112, 0.7421723008155823, -1.0299662351608276, 1.0026785135269165, -0.1525009125471115, -0.3059254586696625, 0.035911496728658676, 0.0444369837641716, 0.4001464247703552, -0.8112914562225342, 0.7046880722045898, 1.1276206970214844, 0.2562582492828369, 0.08545006811618805, -1.052519679069519, 0.09561210125684738, -1.039069414138794, -0.3485186696052551, 0.8425251841545105, 1.2256360054016113, -0.4632226824760437, 0.9322903156280518, 0.8214951753616333, -1.4527958631515503, -0.6435216665267944, -1.991963267326355, 0.16123752295970917, 0.4850162863731384, -1.154609203338623, 0.3525795042514801, -1.0318148136138916, -0.6721676588058472, 0.9691779613494873, 1.5064841508865356, 0.17137213051319122, 0.33444106578826904, -1.6694049835205078, 2.0359714031219482, -0.7855820059776306, -2.3405139446258545, -0.3154752552509308, 1.5859382152557373, 0.5718521475791931, -1.5007866621017456, 0.0846814215183258, -0.19830210506916046, -1.000637412071228, -2.3816373348236084, -0.004508729558438063, 0.18506629765033722, 1.9527435302734375, 0.9946749806404114, -1.2605266571044922, 1.3068318367004395, -0.13477005064487457, 1.0401828289031982, -1.048974871635437, 0.7887189984321594, 1.2124909162521362, -0.005824182648211718, 0.5383015871047974, 0.7619235515594482, -1.2561179399490356, 2.4757115840911865, 0.25899583101272583, -0.37172719836235046, -1.0237078666687012, -0.007944660261273384, -0.20533345639705658, 0.8128923773765564, 0.25955677032470703, 0.20810306072235107, 1.636102318763733, -0.6616578102111816, -0.06895137578248978, -0.3927728533744812, 0.3129514753818512, 1.4666602611541748, 0.6076236963272095, 0.49588316679000854, 0.37784504890441895, 0.356122225522995, 1.327085256576538, 0.2761039733886719, -0.32645392417907715, -1.0043076276779175, 1.6828455924987793, 0.43621641397476196, 0.42747992277145386, -0.32341399788856506, 1.4580329656600952, 0.471662312746048, 1.0196495056152344, -0.325178325176239, -0.5529873967170715, -0.6682029366493225, -0.527438223361969, 0.7044124603271484, 0.3995738923549652, -0.29970696568489075, -1.6839183568954468, -0.5049467086791992, 0.32166990637779236, -0.03722909465432167, -0.3561457693576813, -3.5053577423095703, -1.8463884592056274, -2.043191432952881, -0.7845311164855957, -0.7134417295455933, 0.4738869071006775, 0.8365707993507385, -0.37639158964157104, -0.5324496626853943, -1.020929217338562, 0.08156317472457886, 0.44759640097618103, 2.040743589401245, -0.7467266917228699, -1.154496192932129, -1.3679713010787964, 1.7522104978561401, -0.7899796962738037, 0.7885265946388245, 1.1473661661148071, 0.1856602132320404, -0.6893293857574463, -0.35325679183006287, 0.26720768213272095, -0.13153406977653503, 0.7219801545143127, -0.47434088587760925, 1.0654245615005493, 1.7103968858718872, -2.464885950088501, 1.9668697118759155, -0.2732046842575073, 0.652055561542511, -1.332737684249878, -1.4373642206192017, -0.8433976769447327, -0.33485743403434753, 1.7770469188690186, 0.012205115519464016, 0.6292145848274231, 0.10803654044866562, 0.29925262928009033, -0.4447990357875824, 0.4721301198005676, -0.45986008644104004, -0.45519691705703735, -0.34605684876441956, -0.39656010270118713, 0.33371496200561523, 2.6164698600769043, -1.7407599687576294, 1.1605629920959473, 0.5199568867683411, -1.9880081415176392, -0.03069911152124405, -0.03349285200238228, 0.5161588788032532, 0.20105968415737152, -0.9382009506225586, -1.190592885017395, -0.9843528270721436, -0.6672683954238892, -0.4189895987510681, 1.7868493795394897, -0.22383014857769012, -0.5902412533760071, 1.403655767440796, -0.07998088747262955, -0.7490061521530151, -0.5093677639961243, 0.2695891857147217, -1.3475655317306519, -0.10424963384866714, 0.14592276513576508, -0.441733717918396, 3.0599911212921143, 0.6000661849975586, -0.5452979803085327, 0.5440762042999268, 0.7908563017845154, -0.4766806662082672, -1.1742525100708008, -0.03930950164794922, 0.06610270589590073, 1.106020212173462, -1.2587357759475708, -0.9689773321151733, -0.06319411098957062, 2.1301205158233643, 1.110091209411621, 0.21516576409339905, -0.12943080067634583, -1.1674717664718628, -0.811837375164032, 0.25574037432670593, 0.5406073331832886, -0.12270309776067734, -1.995141625404358, -1.6556854248046875, -2.381007432937622, 0.3038063049316406, 0.7459529638290405, -1.9683623313903809, 1.0622377395629883, -1.431372046470642, -1.1632846593856812, 0.6320802569389343, -0.18772321939468384, -0.9805837273597717, -0.9359999299049377, 1.00974440574646, 1.8662673234939575, -0.32865607738494873, 0.488087922334671, -0.808966338634491, 0.35135889053344727, 1.5344133377075195, 0.9718496203422546, -0.1992889791727066, 1.2817672491073608, -0.9470125436782837, -0.04509817436337471, -4.285003185272217, 0.13925501704216003, 0.304128497838974, 1.469287633895874, -1.7034674882888794, -0.4054247736930847, -0.31139594316482544, 0.3936116397380829, 0.1555103361606598, -0.7910478115081787, -0.310724675655365, -0.011860397644340992, -0.8543281555175781, -0.34098467230796814, -0.11489471793174744, 0.9430398344993591, 0.41773369908332825, -0.42478230595588684, -0.14229579269886017, 1.0048201084136963, -0.10909130424261093, 0.5392141342163086, 0.1110776737332344, -0.4638799726963043, -2.1860883235931396, -0.37737807631492615, -0.1404264122247696, 1.4518197774887085, 0.4321325421333313, 1.2711960077285767, -1.1995223760604858, -0.6924490928649902, 1.484399437904358, 0.3478224277496338, -0.5949037671089172, 0.2584485411643982, -0.7255343198776245, 1.0739455223083496, 1.020043134689331, 1.600999116897583, 0.6784082055091858, -2.1907081604003906, 0.09814153611660004, 0.09461639821529388, -0.04702990874648094, -0.5421093106269836, -0.205368772149086, -0.5273029804229736, -0.8870252370834351, -1.5141443014144897, 0.12373580038547516, -0.08067134767770767, -1.5954750776290894, -1.1603639125823975, 0.29126009345054626, -0.39938798546791077, 0.2675987184047699, 0.1204102635383606, 0.08784791827201843, 1.5475319623947144, 0.5351004600524902, -0.27734413743019104, 0.8826554417610168, 0.13568395376205444, 1.3501530885696411, 1.1082292795181274, -0.02633805014193058, 0.13724596798419952, -0.9047400951385498, 2.0554845333099365, 0.18936708569526672, 1.3072972297668457, -0.9136936664581299, -0.13095398247241974, 1.4013240337371826, 1.6680583953857422, 0.4510689377784729, 0.08342638611793518, 0.19910603761672974, -0.7111049294471741, 0.1657186895608902, 1.8628262281417847, 1.9671413898468018, -2.197535991668701, 0.5401613712310791, -1.494850993156433, 1.1956583261489868, 0.6933900117874146, 0.4940950870513916, 1.5522319078445435, 0.10964415222406387, 0.5859596133232117, 0.20528577268123627, 1.5990512371063232, -1.0137401819229126, -0.7575262784957886, 0.7658751010894775, -1.6507294178009033, -0.33083221316337585, -1.0178005695343018, 0.7473611235618591, 1.4757901430130005, -0.6515170335769653, 0.9396520853042603, -0.08941242843866348, 0.8634227514266968, 0.1620367169380188, 0.4923127591609955, -0.19300046563148499, -1.22954261302948, -0.3887099027633667, -0.8043630719184875, -0.9656827449798584, 1.6166330575942993, -1.0871800184249878, -1.68211030960083, -0.4291364550590515, 0.7431110143661499, -0.22447313368320465, -0.3789900243282318, 0.6503729820251465, 0.44465717673301697, -0.4091053307056427, -0.7742249965667725, 0.5161921977996826, 1.495510458946228, 0.82391756772995, 0.040678542107343674, 0.6156430840492249, 0.09977921843528748, 1.0579500198364258, 1.5021363496780396, 0.6161386966705322, -0.0230401661247015, 0.38449496030807495, -0.8658498525619507, -1.6481516361236572, 1.1896047592163086, -0.5989429354667664, -0.04217145964503288, 0.7196037769317627, -1.4601714611053467, 0.5978773236274719, -0.2623714208602905, -1.071953296661377, 0.2861725389957428, -1.952284812927246, 0.8459485769271851, 2.717207908630371, 0.010095861740410328, 0.8848270177841187, -0.0925997793674469, 0.02480873093008995, -1.304451584815979, 0.3674662709236145, 2.054330587387085, -1.0405058860778809, -0.3235323131084442, 0.8502058982849121, 1.4931550025939941, 1.3292728662490845, -0.7504701018333435, -2.266868829727173, -0.2431517392396927, -1.587768793106079, -0.669998049736023, -0.1687164604663849, -1.9453215599060059, -0.0567210428416729, 0.7929615378379822, -1.0687795877456665, -1.259271264076233, -0.5621089339256287, -0.5800068378448486, -0.9153358340263367, 0.19118726253509521, -0.7905227541923523, 1.2182735204696655, -0.7307654619216919, 1.1206551790237427, -0.5224899649620056, -0.8878055810928345, -1.1766310930252075, 2.0843920707702637, -0.011745257303118706, -0.9604992866516113, -1.8800100088119507, 0.4362284243106842, 0.7941166758537292, 0.041724637150764465, 1.692228078842163, 0.7453632950782776, -2.102550983428955, 0.7171926498413086, 0.8199763894081116, -1.6795921325683594, 1.380790114402771, 0.9349879026412964, 0.9324105978012085, -0.7581900358200073, 0.9302734732627869, -0.3832720220088959, -1.4426770210266113, 1.6645971536636353, -0.864281415939331, -1.1503269672393799, -1.5110975503921509, 0.1278403252363205, 2.4743354320526123, 1.2466154098510742, 0.4704013764858246, 0.048236239701509476, -1.19654381275177, -0.5382625460624695, 0.10921113193035126, -1.7945635318756104, 0.5803008675575256, -0.04222610220313072, 1.4075301885604858, 1.126115322113037, -1.2747282981872559, -0.6200656294822693, 0.8743286728858948, -0.9457473158836365, 0.3110843002796173, -1.040035367012024, 0.5985739231109619, -0.8796834945678711, 0.7767607569694519, -0.6682871580123901, -0.3159574270248413, -0.15790696442127228, -1.9773749113082886, -0.6976026892662048, 0.3625084459781647, -0.9234994053840637, 0.2065880000591278, -1.0417287349700928, -0.5019141435623169, 0.5714113116264343, 0.7242333889007568, 1.247910737991333, 0.7707046270370483, -0.1882016658782959, -0.8672381639480591, 2.0494840145111084, 0.5504295229911804, 0.6369949579238892, 1.0957890748977661, -0.8571947813034058, 0.7058301568031311, 2.090674877166748, 0.2597234547138214, -0.5341302156448364, 0.4814968705177307, 0.6419861316680908, 0.8830218315124512, 1.0429590940475464, 1.5150625705718994, 0.6413035988807678, 0.6896514892578125, 1.9065861701965332, 0.3062938451766968, -0.7424265742301941, 2.0743911266326904, 0.6113988161087036, 1.0431793928146362, 0.516278088092804, 1.9903286695480347, 1.2501155138015747, 0.4648544192314148, 0.8340005278587341, -0.9668847918510437, -1.047620177268982, -0.14405612647533417, -0.2622312605381012, 1.208893895149231, 0.45546215772628784, 1.0562615394592285, 0.4639339745044708, 0.29757410287857056, -0.32071593403816223, -0.27512773871421814, -1.5182085037231445, 0.9509866237640381, 0.4586644768714905, 0.31383049488067627, 1.7289079427719116, -0.9455177187919617, 0.860325276851654, 0.8926994204521179, 0.09810846298933029, 0.16595488786697388, 1.7263829708099365, 1.4160202741622925, -1.4686225652694702, -0.6531388759613037, -0.5962240695953369, -0.5200324058532715, -0.6692991852760315, -0.1115206852555275, -0.12515398859977722, -0.9041940569877625, -0.8036901354789734, 0.1542024463415146, -0.26070165634155273, -0.0880596861243248, -0.8648644685745239, -0.6626338362693787, 0.3162744343280792, 2.7396867275238037, 0.30995693802833557, 0.0757751315832138, 0.4556442201137543, -0.3053363561630249, 0.8203139901161194, 0.5960628390312195, -0.5557623505592346, -0.865950882434845, 2.055405616760254, -0.23624111711978912, 0.5718521475791931, -1.5564481019973755, 0.8303678035736084, -0.019850675016641617, -0.2757318615913391, 0.28291818499565125, -1.3976876735687256, 1.0309040546417236, 0.03883439302444458, 1.9064819812774658, 1.1554971933364868, -0.33924996852874756, -0.9734700918197632, 1.986678957939148, -1.6980663537979126, 0.32248634099960327, 0.26927754282951355, -0.001438742969185114, -0.495049387216568, -0.22390536963939667, 0.8436705470085144, -0.20671047270298004, -0.5060784816741943, 1.7879304885864258, -0.03150424733757973, 0.5476888418197632, -0.8102918267250061, -0.3041240870952606, -0.9080838561058044, 0.6089667081832886, 1.24373459815979, 0.7449551820755005, 0.019021067768335342, 0.17549708485603333, 0.9480902552604675, -1.1054247617721558, 0.6755985617637634, 0.42408034205436707, 0.7797581553459167, 0.3716374635696411, 0.6489632725715637, -0.4108329713344574, -1.488491177558899, -0.7189127802848816, -0.18817993998527527, 1.686479091644287, 1.1693991422653198, 1.4442516565322876, 0.7648747563362122, 1.3128316402435303, -0.8386143445968628, -0.5253933668136597, -0.36564549803733826, -0.42307615280151367, 2.13598370552063, -0.9855665564537048, 2.8177340030670166, -0.7309829592704773, 0.03756054490804672, 2.360351800918579, -2.9642326831817627, -0.5061904788017273, 0.23948147892951965, 1.5431755781173706, 0.2700372338294983, 0.28267303109169006, 0.6219564080238342, -0.6748366355895996, 0.8067360520362854, -1.2680244445800781, -0.7425534725189209, -1.6039283275604248, -0.5168778300285339, -0.3054666519165039, 0.9233758449554443, 0.40540793538093567, -0.3052942752838135, -1.050479531288147, 0.7647653222084045, 0.10213297605514526, -1.463260293006897, -1.095978021621704, 0.5393089652061462, -0.1460128128528595, -0.6497663855552673, 0.5906556248664856, 2.4102609157562256, -0.008278158493340015, -0.7428804039955139, -0.2939700484275818, -0.22628946602344513, 1.8192068338394165, -0.4883088767528534, 0.577559769153595, 0.2158580869436264, 0.5685973763465881, -0.5188103318214417, 0.871686577796936, 1.0879197120666504, -0.43531444668769836, 0.4718761444091797, 0.48430293798446655, -0.9164701104164124, 0.7055047154426575, -0.7869574427604675, -1.3467143774032593, 0.24537590146064758, 0.18004873394966125, 0.7218044996261597, -0.6170072555541992, -1.3709142208099365, -0.7116414904594421, 0.12053282558917999, 1.6992919445037842, -0.8536137342453003, -0.7517217993736267, 1.2645460367202759, -0.7670633792877197, -1.6654163599014282, 3.280435085296631, 0.15379482507705688, 0.20071296393871307, 0.38285204768180847, 0.03642180934548378, 0.5196754336357117, 0.5249837636947632, 0.5157359838485718, -0.5425580143928528, -0.6666278839111328, -0.484236478805542, 0.870475172996521, -0.10954146087169647, -1.0349271297454834, -1.5867047309875488, 0.5343127250671387, -0.7700005173683167, 0.8834981918334961, 0.04945722594857216, -0.5607588291168213, -0.17595338821411133, -0.6837952733039856, -0.3513469696044922, -0.2358037382364273, 1.3640575408935547, -1.0578395128250122, -1.2486010789871216, -2.3352174758911133, -1.1612690687179565, -0.5535138249397278, 0.5021557807922363, -0.5062165260314941, -0.8688451647758484, -1.44536554813385, -1.404161810874939, 0.5237935781478882, -0.5162546038627625, -0.10032365471124649, -1.0608195066452026, 0.8689850568771362, 0.36746469140052795, -0.6084353923797607, -0.8534342646598816, 0.2482483834028244, 0.18611426651477814, 0.0643814206123352, 0.8947107791900635, 5.9881145716644824e-05, -0.1807893067598343, 0.37194597721099854, -0.9469335079193115, 0.428439736366272, -0.0584668293595314, -0.6405572295188904, -0.5347888469696045, 0.7307714819908142, -0.5496848821640015, -0.9082833528518677, 1.0193822383880615, 1.928449273109436, -0.736257016658783, -0.9865074157714844, 1.9816229343414307, 0.5681129097938538, -0.6475206017494202, 1.1844606399536133, -0.860638439655304, 0.09837806969881058, 1.0419129133224487, 0.9411979913711548, 0.4613863527774811, -0.2945965528488159, 0.8967780470848083, 0.05137404054403305, -1.4569491147994995, 0.5316334962844849, 0.8479188084602356, -0.9779943227767944, 1.3103399276733398, -0.3719862103462219, -0.2835293710231781, 1.460193395614624, 0.27690836787223816, 0.15025116503238678, -0.28452640771865845, 1.1657460927963257, 1.498343825340271, 0.5831267237663269, -1.7329161167144775, 0.4774668514728546, 0.29644453525543213, 0.6796194314956665, 0.11398421227931976, -0.006971058435738087, -0.028039371594786644, 0.08043640851974487, -1.1641227006912231, 0.09542787820100784, 0.2944493293762207, -0.8257400989532471, 1.1182341575622559, -2.7367594242095947, 0.5571285486221313, 0.4823702573776245, -0.3196704089641571, 1.7493915557861328, 1.0489753484725952, 0.32697945833206177, -0.7878870964050293, -1.7263258695602417, 0.45137259364128113, -1.1714204549789429, 0.9689673185348511, -0.8342426419258118, -1.1507582664489746, -0.3565230667591095, 0.6217583417892456, 0.5441224575042725, -1.1616750955581665, -1.5874059200286865, 0.37827712297439575, -0.33917832374572754, -2.3574421405792236, 0.21383638679981232, 0.3175320327281952, -0.5318957567214966, -0.49866682291030884, -0.2616572380065918, 0.6250714063644409, 2.2253429889678955, 0.8176210522651672, -0.22230498492717743, 1.0968660116195679, -0.7670554518699646, -0.719548225402832, -0.3857930302619934, -1.705170750617981, -1.217371940612793, -1.2755563259124756, -0.8409321904182434, 0.03427218273282051, -0.4278712570667267, 1.5734989643096924, 2.000903844833374, -0.8174150586128235, -1.0242928266525269, 0.20432770252227783, -0.8674352765083313, 0.6030851006507874, -0.863472044467926, 1.3501245975494385, -1.0604153871536255, -1.0184149742126465, 0.8684442639350891, -0.34336087107658386, -0.5843663811683655, -1.4549943208694458, 1.2526557445526123, 0.17843233048915863, 1.5418950319290161, -0.8546741008758545, -1.5579355955123901, -1.526241660118103, -0.9378084540367126, 0.7768797874450684, -1.1172617673873901, -0.9633159041404724, -2.30098557472229, 0.3745060861110687, -0.9432379007339478, 0.3320675790309906, -0.4986269176006317, 0.21236871182918549, -0.15937261283397675, -0.6905102729797363, -0.09203535318374634, -1.175490140914917, -1.5328142642974854, 0.010541986674070358, -1.3772519826889038, -0.5207597017288208, 1.2630656957626343, -0.6468601226806641, 1.5986011028289795, -1.4785200357437134, 0.8538870811462402, 0.9574422836303711, 1.1904648542404175, -0.19327645003795624, 0.3937709629535675, -1.2189422845840454, -0.29027795791625977, 0.5415596961975098, 1.0260510444641113, -1.5024080276489258, -0.01930687204003334, -1.3698309659957886, -1.0485841035842896, 2.062941551208496, -0.5398268699645996, -0.6736852526664734, 1.0853520631790161, 0.09504702687263489, 0.849631667137146, 1.0401508808135986, -0.5890817642211914, -0.22867761552333832, 0.7413322925567627, 1.6681618690490723, -0.39363089203834534, -2.312385320663452, -1.246260166168213, 0.15971267223358154, 0.4209147095680237, 0.38122421503067017, -0.5447760820388794, -0.24278198182582855, -1.7081973552703857, 0.3727257549762726, 0.23790928721427917, -1.3307007551193237, -0.1681787073612213, 0.8527047634124756, -0.5977569818496704, 1.2023968696594238, 0.03498116135597229, -0.8606863021850586, -0.8256607055664062, 1.007993459701538, -0.9354823231697083, -0.5738944411277771, 0.4154832065105438, 0.16361144185066223, 0.5212408900260925, 1.3649369478225708, 1.2947661876678467, 0.17205365002155304, -1.2699528932571411, 0.35507866740226746, 0.13220512866973877, -0.02643873728811741, -1.8934904336929321, -0.3774305582046509, 1.2363989353179932, 0.9303391575813293, -0.1880396008491516, 0.12987902760505676, -1.5764200687408447, -0.23645082116127014, 0.37344464659690857, -0.05107298493385315, 1.2619129419326782, -0.8863538503646851, -2.0857813358306885, -0.3512289524078369, 0.27378714084625244, 0.1297406256198883, -1.1103808879852295, -0.2626921534538269, -0.8828880190849304, 0.5264204740524292, 0.41425764560699463, -0.5315410494804382, -0.8462487459182739, 0.5509164333343506, 1.6293389797210693, -0.17043794691562653, -1.3418664932250977, -1.077883243560791, 1.4011049270629883, -0.686323881149292, 0.1450147181749344, -0.2477913796901703, -0.9135870933532715, 1.035510778427124, 0.7006554007530212, 0.2626425623893738, 0.14868806302547455, -2.147371292114258, -0.7207433581352234, 0.46895167231559753, 0.9087201356887817, 0.29396629333496094, -0.06221911683678627, -0.3529984951019287, 0.6454614400863647, 0.5109838247299194, -0.15196572244167328, -0.12170757353305817, -0.1610153168439865, -1.4374181032180786, 1.074786901473999, -0.4436652660369873, -0.4900744557380676, -0.5968087315559387, -1.5330238342285156, 0.5841063857078552, -4.055375099182129, 1.1587008237838745, 0.9123848080635071, 0.059380631893873215, -0.01529342494904995, 1.0098793506622314, -1.339542031288147, -0.06118260324001312, 0.38861188292503357, 1.0652782917022705, -0.5338774919509888, -2.285959482192993, -1.2508469820022583, -1.157400131225586, -0.4155268967151642, -1.9113860130310059, -1.4297677278518677, 0.8408233523368835, -0.8787216544151306, -1.0839611291885376, -1.765113353729248, -0.27827051281929016, -1.9675720930099487, -0.7771732807159424, -0.26441988348960876, -0.7212086915969849, -0.7070788145065308, 0.1883690506219864, -1.3708006143569946, 1.4680360555648804, 0.1978493630886078, 0.42026686668395996, -0.6045377254486084, -0.1858249455690384, -0.09234606474637985, 0.023595867678523064, -1.2247869968414307, 0.3602912724018097, 0.9657421112060547, -1.7294360399246216, 0.555315375328064, 0.5525769591331482, -0.048220787197351456, 0.8905110955238342, -0.6740759015083313, -1.0744248628616333, 0.09720253199338913, -1.8095000982284546, 0.6584153771400452, 0.3619128465652466, 2.121124744415283, -0.9408626556396484, -0.5049437284469604, 1.0168355703353882, 0.09061315655708313, 0.44358333945274353, 0.4122043251991272, -1.3163466453552246, 1.7399269342422485, 0.006840062793344259, -0.29117241501808167, -1.1978367567062378, -0.29620838165283203, -0.9160305857658386, -1.8549193143844604, -1.3877686262130737, -1.1546472311019897, -1.810721755027771, 0.7401534914970398, -1.5655053853988647, -1.2209724187850952, -1.4730265140533447, 1.2025495767593384, 1.8009804487228394, -0.3779381811618805, -0.7563384771347046, 0.5411441326141357, 0.045158013701438904, 0.9507666230201721, -0.27092692255973816, 2.915182113647461, 0.14652836322784424, -0.6405749320983887, -1.3619227409362793, -0.07302830368280411, 1.071941614151001, 0.8261722326278687, 0.5353055000305176, -0.9634814858436584, -1.2796571254730225, -0.1615155041217804, -2.138761281967163, 0.19777324795722961, 0.9115054607391357, -1.4355003833770752, -0.429644912481308, 0.5436214208602905, -0.33746132254600525, -0.2731216251850128, 0.16749133169651031, -1.5083363056182861, -2.0449440479278564, -0.59702467918396, 1.3177577257156372, 0.39642244577407837, 0.2443993091583252, 1.6601396799087524, -0.6058192253112793, -1.0293347835540771, 0.874110996723175, 0.4597690999507904, 0.04829028248786926, -1.2670292854309082, -0.08303403854370117, 1.0760608911514282, 0.5183346271514893, 0.7630763053894043, 0.22378163039684296, 0.10775838792324066, -0.5043601393699646, -0.833258330821991, 0.06946371495723724, -2.062443971633911, -1.0944383144378662, 0.85674649477005, 0.4879865348339081, -0.07311498373746872, 1.213962435722351, 1.173654317855835, -1.671782374382019, -0.03709646686911583, 0.2729508578777313, -0.6851897239685059, -1.5842108726501465, -1.0181145668029785, -1.2173510789871216, -0.5793677568435669, 0.7302178740501404, -0.1028582826256752, 0.37525975704193115, 0.8328449130058289, -0.22857630252838135, 0.5220730900764465, -0.08921279013156891, -0.3088032007217407, 1.757918357849121, 0.6899684071540833, -2.025217056274414, -0.8900971412658691, -1.9076908826828003, 0.5013982653617859, -2.5753872394561768, -1.3955224752426147, -0.7523810267448425, 0.8577252626419067, -0.023276327177882195, -0.3597739040851593, -1.1003504991531372, 1.0279514789581299, -1.9633835554122925, 0.02723035216331482, -0.5454977750778198, -0.4715544581413269, -0.3904220461845398, 0.7840302586555481, -2.129045248031616, 0.8186637759208679, -0.5168048143386841, 1.5825504064559937, 0.2442052662372589, 1.3069651126861572, 2.1431925296783447, 0.07297142595052719, -0.683864951133728, -0.9866138100624084, 0.06418216973543167, -0.6483940482139587, -0.3420247435569763, -1.1307346820831299, 0.02923157811164856, -1.5283653736114502, -0.9567543268203735, -1.5458461046218872, 0.3783875107765198, -0.19113188982009888, -0.693128228187561, 0.8976243138313293, 0.20759429037570953, -1.5256001949310303, -1.7254408597946167, -0.5643952488899231, 1.3873331546783447, -0.33993324637413025, 0.3816031813621521, 0.4041825234889984, 1.0447858572006226, -0.5309009552001953, 0.4018443822860718, 0.9368240833282471, -0.7319372296333313, 0.31248173117637634, -0.23403441905975342, -0.43504637479782104, -0.12446273863315582, 0.06835424900054932, 1.9016542434692383, 2.0686049461364746, 1.5030723810195923, 0.40633970499038696, 1.6655126810073853, 0.6291332840919495, 0.8389356732368469, 1.3201048374176025, -0.8357093334197998, -0.5489302277565002, 0.3660510182380676, -0.5470144748687744, 0.4115772247314453, -0.9793429970741272, -0.4651525020599365, 0.05251956358551979, 0.7784755825996399, -0.4495921730995178, -0.3102588355541229, 0.11337129771709442, 0.861114501953125, 1.8298616409301758, -2.0531864166259766, -0.3049110174179077, -2.0645980834960938, 1.8875606060028076, 0.07239577919244766, 1.4161343574523926, -1.2070401906967163, 0.18580494821071625, -0.28999242186546326, -0.38974472880363464, 0.8343293070793152, 0.7303459048271179, -1.2554384469985962, -0.9346115589141846, 2.2501513957977295, 0.22181542217731476, -0.2874606251716614, 2.8341002464294434, -0.08469013124704361, -1.0209389925003052, 0.2012128233909607, -0.9989904761314392, -0.34884941577911377, -1.842052936553955, 0.3544134199619293, 0.9224851727485657, 1.417863368988037, -0.9805961847305298, 0.27750164270401, -0.20064374804496765, -0.6414433121681213, 0.8819357752799988, 0.20401600003242493, 0.2122965157032013, -2.3336198329925537, 0.6334027647972107, 0.5003812313079834, -1.2193312644958496, 1.3810391426086426, 1.9078291654586792, -0.77984219789505, -0.2661106288433075, 1.2697674036026, 1.173804521560669, -0.22290608286857605, -0.40915200114250183, -0.6829196214675903, 1.4232960939407349, 2.251210927963257, -0.19783610105514526, 0.1941969245672226, -0.21996250748634338, 0.6745211482048035, -0.2683589458465576, -0.13900914788246155, 1.7764049768447876, 0.6162108778953552, 0.5682903528213501, 0.7004988193511963, -0.31308043003082275, -1.22804856300354, 1.4986740350723267, -0.5779715180397034, 0.5438506007194519, 0.3873130679130554, 0.8089016675949097, 0.3457395136356354, 0.00535263167694211, 0.29798030853271484, -0.6503658294677734, 0.3690994381904602, 1.6031376123428345, -2.288118362426758, -0.8534812927246094, -0.24382922053337097, -0.10508892685174942, -1.4468077421188354, 0.0828246921300888, 0.6808818578720093, 1.672696828842163, -0.6558451652526855, -0.19017833471298218, 0.16317282617092133, -1.606790542602539, 1.0238394737243652, -1.6278586387634277, -0.5196982026100159, 1.0737112760543823, -0.17434921860694885, -0.11092600226402283, 0.2721051275730133, 0.761520504951477, -2.316197633743286, 1.4125335216522217, -0.19335559010505676, 1.4865690469741821, 0.7183796167373657, 2.5022668838500977, -0.27912572026252747, -0.8602782487869263, -0.10832328349351883, 0.07299240678548813, -0.044894881546497345, 0.15568765997886658, -0.7919881939888, -0.33068618178367615, 1.3903241157531738, -1.4793496131896973, -1.1965525150299072, -0.0148340268060565, 1.102785587310791, -2.0110018253326416, 0.38757020235061646, 0.11591056734323502, -0.17867574095726013, -1.7696596384048462, -0.34659212827682495, -0.8626081943511963, -0.5912308096885681, 0.23281314969062805, 0.059441231191158295, -0.5727414488792419, 0.486195832490921, -0.8839090466499329, -0.6659435629844666, -1.4968281984329224, -0.38544827699661255, -1.126017689704895, 0.20577391982078552, -0.7328284978866577, -0.8895429968833923, 0.6629744172096252, 0.06451684236526489, -1.144773006439209, -0.08705293387174606, 1.4570356607437134, 0.5189469456672668, 0.46803805232048035, -0.7624828815460205, 0.3962480425834656, 1.0169520378112793, -0.9366216659545898, 0.02134668454527855, -2.4155995845794678, -1.7656521797180176, -0.2698751389980316, 0.36565539240837097, 0.3449822962284088, 0.6604107022285461, -2.0533671379089355, -0.2681625187397003, -1.7299041748046875, 1.3636924028396606, -0.8237249851226807, 0.7138478755950928, -0.44947537779808044, -0.5400961637496948, 0.7861523628234863, -0.16232560575008392, 1.4551060199737549, -1.3156156539916992, 0.0781874880194664, -0.853645920753479, -0.5800244212150574, 0.8915367126464844, 0.9899783134460449, -0.2279970645904541, 0.7827451825141907, 0.8101817965507507, 0.6483469009399414, 0.7085885405540466, -0.2383592128753662, -0.7762365341186523, -1.5142560005187988, 1.2123233079910278, -0.9519500136375427, 0.2728559970855713, 0.20249857008457184, -0.3895661234855652, -0.05871710553765297, -0.23696233332157135, -0.19710300862789154, 0.7411778569221497, -1.1674257516860962, -1.1056889295578003, -2.2845208644866943, -1.0646617412567139, 0.04936670511960983, -1.1705812215805054, -0.9902814626693726, 2.4842302799224854, -0.7074950933456421, -0.0996042937040329, 1.1850378513336182, 0.5430627465248108, -0.2036135047674179, -0.703522264957428, -0.13684847950935364, -2.6628224849700928, -1.3448114395141602, -0.20563705265522003, -1.906134843826294, 0.47691264748573303, -0.9005521535873413, 1.1181824207305908, 0.24434693157672882, -1.462479829788208, -0.8186707496643066, -0.3253867030143738, -0.8800151944160461, 0.29344668984413147, -0.41469618678092957, -0.5123568773269653, -0.44816315174102783, -0.01706785149872303, 0.24296940863132477, 1.501072883605957, 0.20488370954990387, 1.5757495164871216, 1.539664387702942, -1.0184673070907593, 0.8630523085594177, 0.37566623091697693, -0.13870157301425934, -1.2174274921417236, -0.7170965075492859, -0.711131751537323, 1.1427637338638306, 0.3569711446762085, 0.3276490271091461, 0.6269324421882629, -0.8860862255096436, 0.10351850092411041, 0.8376155495643616, 0.4175429940223694, -0.3592057526111603, -1.2079256772994995, 0.2154032438993454, 1.2559270858764648, 0.8179827928543091, -0.2478695958852768, 0.4941735565662384, -1.0433505773544312, -1.002914547920227, -1.2009122371673584, -0.6492917537689209, 0.18673023581504822, 0.12755219638347626, -0.49492377042770386, 1.3490052223205566, -0.7361074686050415, 0.6637299656867981, 1.434982180595398, 0.4406272768974304, 0.567526638507843, -1.4553943872451782, -1.3277084827423096, 1.689978003501892, -1.0238226652145386, -1.4862431287765503, 0.8617251515388489, -0.06190066412091255, -0.2168169468641281, 1.472975492477417, 1.5342854261398315, -0.2372605949640274, 0.6628303527832031, 0.6897885799407959, -1.461058259010315, 1.6524471044540405, -0.09388534724712372, -0.15263080596923828, -0.8995851278305054, -0.8409920930862427, -0.7060756683349609, 0.6404060125350952, 1.219897985458374, -0.15456463396549225, -0.10019265115261078, 1.5405524969100952, -0.8282482624053955, 1.84550940990448, 0.059087879955768585, -0.9707667231559753, -0.2714196741580963, -0.5894991159439087, 0.826209545135498, -1.543495774269104, 1.9448721408843994, -0.5204768776893616, 0.7650542855262756, -0.27697545289993286, 0.38270577788352966, -0.08794847875833511, 0.5766671299934387, 1.4095752239227295, 0.6864627003669739, -0.8590651750564575, 0.21360886096954346, 0.0403372161090374, 0.7429018020629883, 0.6447984576225281, -0.7973900437355042, -0.19385573267936707, 0.5929270386695862, -1.8190293312072754, -0.8310151696205139, 0.862267255783081, 0.06040232628583908, -0.7058629393577576, -0.08429733663797379, 1.3145158290863037, 0.6185881495475769, 0.14721545577049255, -0.37477585673332214, 0.4009820520877838, -0.3256029486656189, 1.212281584739685, 0.28715780377388, 0.3722233772277832, 2.2304351329803467, -1.2161544561386108, -1.883683681488037, 1.835854172706604, 0.3112589418888092, 0.5386443734169006, -1.2843326330184937, 0.6600733399391174, -0.1826915740966797, -0.026054615154862404, 0.0024347917642444372, -0.8812490105628967, -1.2653241157531738, 0.905748724937439, 1.0672967433929443, 0.28244781494140625, -1.1286993026733398, 1.388657569885254, -1.2871415615081787, 0.36504602432250977, 0.08799954503774643, 0.8290435075759888, -0.34067967534065247, -0.02858843095600605, -0.28369027376174927, -0.255658358335495, 1.2646515369415283, 0.2486104816198349, -0.8394243717193604, 1.893363118171692, 0.45347926020622253, 1.7420756816864014, -2.278493642807007, 0.06309793144464493, 0.9066700339317322, 0.2534303069114685, -0.9784752726554871, 0.456900954246521, -1.1163831949234009, 1.3522716760635376, -1.606533408164978, 1.0783685445785522, -0.12200062721967697, -0.3861056864261627, 0.5127050876617432, 0.461914598941803, -0.6158980131149292, 1.4361133575439453, 0.39098960161209106, 0.8224003314971924, 2.8953442573547363, 1.4007765054702759, 0.6483895778656006, 1.2159353494644165, 1.0216867923736572, 0.4474296569824219, 0.1206943467259407, 1.4156239032745361, -1.719928503036499, 0.09377049654722214, 1.0852686166763306, 0.5618074536323547, 0.4574926793575287, 0.6169576048851013, 0.22275349497795105, -0.34410619735717773, 1.9662688970565796, -1.9009681940078735, -1.2486380338668823, 0.9076588153839111, -0.12840287387371063, 2.894761562347412, 1.488093376159668, 0.22708207368850708, 0.045001085847616196, -0.17179478704929352, 0.943053126335144, 1.2218785285949707, -0.08374571800231934, 0.5568880438804626, -1.0471785068511963, -0.1325637251138687, -0.6114419102668762, -1.5600665807724, 0.39608344435691833, 0.401780366897583, -1.1716711521148682, -1.2526580095291138, 0.5794832110404968, 1.3743125200271606, 0.46031633019447327, -0.010899193584918976, -0.5126707553863525, -1.0159683227539062, -1.1760823726654053, -0.6151277422904968, -2.1219732761383057, 0.09443680197000504, 0.05375342816114426, 0.6334609389305115, 0.6237130761146545, -0.7584778666496277, -0.5279342532157898, 0.8158818483352661, 0.8121228218078613, -0.4942646324634552, 0.3671833872795105, -1.2480465173721313, -0.46541914343833923, 0.7704491019248962, -1.4975452423095703, -0.494396835565567, -0.8759678602218628, 0.03207594156265259, 0.7459672093391418, 1.6983325481414795, 0.574332594871521, -0.7940585017204285, -1.2974752187728882, -0.5332942605018616, 0.295448899269104, -1.6432430744171143, 1.0009186267852783, -1.6190745830535889, -1.6145827770233154, -0.05450364947319031, 1.0648590326309204, -0.12850415706634521, 1.5648019313812256, 0.8085120320320129, 0.24348413944244385, -2.862880229949951, -1.167956829071045, 0.32617712020874023, -0.867180347442627, -0.11529979109764099, -0.5378938913345337, -1.4571950435638428, -0.478439062833786, -1.1458896398544312, 0.5212361216545105, 0.3550686836242676, 0.47703438997268677, 1.4954074621200562, 0.3419954776763916, -0.5447761416435242, 0.25951409339904785, 0.4273858964443207, -1.8011606931686401, 0.5197146534919739, -0.5834816098213196, -0.2475324124097824, -0.7259213924407959, -0.5642002820968628, 1.1211442947387695, 0.6757200956344604, -1.164522647857666, 2.017794132232666, 0.4613376259803772, 1.2682600021362305, -1.3421269655227661, -1.0985249280929565, 0.5409839153289795, 0.5288890600204468, -0.08636321127414703, 1.4415857791900635, 0.0626242607831955, -0.35543909668922424, 0.21554777026176453, 0.029387835413217545, -2.2030563354492188, 0.04233567789196968, 1.1902928352355957, -0.15210573375225067, 0.19642122089862823, 1.1926718950271606, 0.6344183087348938, 0.3757108747959137, -0.8520995378494263, -0.6273034811019897, -0.34460341930389404, 0.9002113938331604, -1.2629233598709106, -0.004727157298475504, 1.165178894996643, -0.22458164393901825, -1.2014366388320923, -0.39254724979400635, 1.4345258474349976, 0.2620534598827362, -0.6373937726020813, -0.5327737331390381, 1.6796783208847046, -0.5073012113571167, 1.0826659202575684, -0.29779574275016785, 0.3710865378379822, 0.06388073414564133, -0.13398033380508423, 0.8251303434371948, 0.04318815469741821, -0.12136497348546982, -0.33550646901130676, 0.8522875905036926, 0.45497775077819824, 0.5226205587387085, 1.0472291707992554, 1.312900424003601, 0.1537044197320938, 0.6034513711929321, 0.38025060296058655, -0.5868390202522278, -0.746846079826355, -1.9273364543914795, -1.2178261280059814, -0.6011734008789062, 0.27267515659332275, 1.0836776494979858, 2.5685200691223145, -0.48024892807006836, 0.86434406042099, -1.2072752714157104, -0.309699684381485, 1.5123192071914673, -1.4810396432876587, 0.3143337368965149, -0.6995273232460022, -0.4935213625431061, -0.6500373482704163, 1.0549975633621216, -0.21815542876720428, 0.12509121000766754, 0.5208398103713989, -2.1317834854125977, 0.533221423625946, -1.2869377136230469, 0.8311639428138733, -2.211252212524414, 0.8366757035255432, -0.06149611622095108, -0.1942363679409027, -0.40529727935791016, 0.4967302083969116, -0.6370391845703125, 0.7815971374511719, -0.5237573385238647, -0.6498413681983948, 0.8042795658111572, 1.2484304904937744, -0.21049436926841736, 0.4775013029575348, -0.7000338435173035, 0.01673758216202259, -0.07093524187803268, -0.7244497537612915, -0.6646530032157898, 0.48049935698509216, 1.0498117208480835, 1.2419326305389404, 0.7912235856056213, 0.7198880314826965, -1.6988807916641235, 0.518602192401886, -0.38877636194229126, -0.9541547894477844, -1.0397837162017822, -1.3211919069290161, 0.3517152667045593, -0.32284796237945557, -1.7737562656402588, 0.13281770050525665, -0.4295498728752136, -0.5302035808563232, 0.9194772839546204, -2.109534978866577, 1.3200355768203735, 2.0505969524383545, 0.4779840409755707, -3.0342721939086914, 1.461766242980957, -1.6181881427764893, 0.7609432339668274, -0.27232688665390015, -1.2070481777191162, 1.3691701889038086, 0.34982284903526306, 0.5937564969062805, 1.3666880130767822, -1.1178983449935913, -0.5705129504203796, 0.21070103347301483, 1.5116429328918457, -0.48556986451148987, 1.3921774625778198, -0.6623208522796631, 2.0688064098358154, -0.13941530883312225, 1.4075350761413574, 0.09555741399526596, -1.5334258079528809, 0.5508569478988647, -0.5162849426269531, 1.1421310901641846, 0.1356242299079895, 0.055641014128923416, -0.289690762758255, 0.6158003211021423, -0.8139230012893677, 0.8841105699539185, -0.7756422758102417, -0.641923725605011, 0.7138534188270569, -0.5757297873497009, -2.1345722675323486, -0.39474302530288696, -0.38720691204071045, -0.07276447862386703, 0.28174883127212524, -1.4272353649139404, -0.5463557839393616, 0.034124020487070084, 1.3509325981140137, 0.25836890935897827, -0.9649838209152222, 0.6124293804168701, 1.596323013305664, -0.8839308023452759, 0.44792482256889343, -1.9514461755752563, 1.3848694562911987, 1.3208905458450317, 1.0169693231582642, -0.8728095889091492, 1.964120626449585, 0.7935744524002075, 0.08501593768596649, 0.9526299834251404, 0.010753767564892769, -0.6062451004981995, 0.6214566826820374, -0.4122360348701477, -0.10573714226484299, 1.3031553030014038, -0.21724604070186615, -1.1548019647598267, 0.04973722994327545, 1.6411234140396118, 0.6923063397407532, 1.0541551113128662, 0.7032198905944824, -0.7816750407218933, -1.1993569135665894, -0.4380159378051758, 1.831323504447937, 1.4660378694534302, -2.5353939533233643, 0.4752952754497528, 2.3332366943359375, -1.0619534254074097, 0.05179618299007416, -1.0233772993087769, 0.7251533269882202, -0.4506250321865082, -1.949344277381897, -1.2456183433532715, -0.7567112445831299, -0.5799562931060791, -2.0676193237304688, -0.7466797232627869, -0.9842208027839661, -1.299177646636963, 0.7794790267944336, -0.023155637085437775, -0.07619288563728333, -0.7911916971206665, 0.7644121050834656, 0.24313819408416748, 1.3608824014663696, 1.2836323976516724, 1.8411802053451538, -1.3477709293365479, 1.1178358793258667, -0.34949517250061035, -0.24906380474567413, -1.1337076425552368, 1.5567798614501953, 1.9061119556427002, -0.2654117941856384}; -static float axpy_alpha_dram __attribute__((section(".data"))) = {0.487909197807312}; +static float axpy_alpha_dram __attribute__((section(".data"))) = {0.29660728573799133}; -static float axpy_GR_dram[4096] __attribute__((section(".data"))) = {0.4204666018486023, 2.5780770778656006, 2.276005983352661, 1.0468286275863647, -0.40630313754081726, -1.3710238933563232, -0.07221276313066483, 0.8156260848045349, -0.15469999611377716, 1.9103866815567017, 1.1204694509506226, -0.2606563866138458, -0.8474301695823669, 1.3833097219467163, 0.03601658344268799, 0.12912707030773163, 1.6647534370422363, -1.5270681381225586, 0.07158535718917847, -0.7908068299293518, -1.713442325592041, 1.7938342094421387, -0.9029932022094727, 0.07853174209594727, 0.2941935658454895, 0.9626489877700806, 1.2792891263961792, -0.8399866819381714, 0.4255181849002838, 1.3565633296966553, -0.6865202188491821, 1.083005428314209, -0.2441040277481079, -1.1979607343673706, -0.9120000004768372, 1.3023858070373535, -0.015311166644096375, 2.4996697902679443, 0.8115798234939575, -1.031951904296875, -0.032153546810150146, 0.5783532857894897, -0.6090530157089233, 0.4070837199687958, -1.8722476959228516, 1.944764256477356, -0.7601929903030396, -0.6771093606948853, 0.29301291704177856, -0.7893772125244141, -1.5582051277160645, -1.4106194972991943, 0.10073180496692657, 0.9562915563583374, -1.7263600826263428, 0.2045912742614746, 0.12051916122436523, 0.7624815702438354, -0.20488256216049194, 1.4374523162841797, 0.4670511782169342, 1.793482780456543, -2.089343547821045, -0.17642611265182495, 2.786935329437256, 1.485568881034851, -0.34545767307281494, -0.07654616236686707, 1.8745332956314087, 0.5096436738967896, 0.5976717472076416, 0.059966325759887695, -1.4485408067703247, 0.43473443388938904, 0.592866063117981, 0.5351923704147339, 0.2941244840621948, 2.378735303878784, 0.19639456272125244, -1.0006250143051147, 0.5737485289573669, 0.34575921297073364, 0.3211987018585205, 1.6917896270751953, -1.012470006942749, -0.5314127206802368, 2.6948587894439697, 0.36782774329185486, 1.3134337663650513, -1.7695121765136719, -0.6829865574836731, 1.274831771850586, -0.898947536945343, 1.6692445278167725, 0.2535327672958374, 0.03434246778488159, -1.3880906105041504, -1.0008748769760132, -0.6729422807693481, 1.2600854635238647, -0.4119564890861511, -0.7599594593048096, 1.0832303762435913, -0.04671783745288849, 1.90007483959198, -0.8143472671508789, 0.5014090538024902, 2.312971353530884, 0.4350855350494385, -0.008589982986450195, 0.7755917310714722, -0.6587414741516113, -0.41075167059898376, 0.12319190800189972, -0.20645320415496826, 0.34042954444885254, 1.4172954559326172, 1.8855162858963013, -0.758507251739502, -1.188265323638916, -0.26237720251083374, 1.2903447151184082, -0.36361023783683777, 0.07430359721183777, 0.6831667423248291, 1.827033281326294, -2.270984411239624, -0.23120354115962982, 0.8412442803382874, 0.054917484521865845, -2.6783459186553955, -0.587183952331543, -0.8537172675132751, 0.6813925504684448, 2.218810558319092, 0.7334235906600952, -0.02804875373840332, 0.18317142128944397, -1.0842193365097046, -0.8198364973068237, 0.6897699236869812, 0.6454169750213623, -1.7408263683319092, 0.719032883644104, 0.9837122559547424, -0.0639207661151886, 1.6871092319488525, -0.43145817518234253, -1.1784741878509521, -0.19883917272090912, -2.768350601196289, 0.041275326162576675, -0.4911225438117981, 1.2749544382095337, -0.29770517349243164, -0.7343242168426514, -1.009729266166687, -0.4506026804447174, -0.34699833393096924, 1.1581478118896484, -1.4335899353027344, 0.860821545124054, 1.0802901983261108, -2.8054237365722656, 0.8353890180587769, -0.6796223521232605, 0.6822738647460938, -1.1585140228271484, 0.8656311631202698, -1.0477209091186523, 0.725085437297821, -0.2609366774559021, 0.2620766758918762, -0.28875020146369934, -0.021529406309127808, -0.8085924983024597, -0.36090782284736633, 0.2912316620349884, -1.1252700090408325, -0.5050185918807983, 0.7515631914138794, -1.470086693763733, -2.0707058906555176, 1.7692304849624634, -1.0606275796890259, -0.6383615732192993, -0.6670498251914978, 0.6064852476119995, -1.9767398834228516, -0.06057515740394592, 0.5972254276275635, -0.38548725843429565, -0.5141779184341431, -0.6599733233451843, 1.3614976406097412, 0.018760457634925842, -0.06922805309295654, -0.832785964012146, -1.1057138442993164, 0.21025392413139343, 0.09655433893203735, -0.5781290531158447, -0.07342277467250824, 1.4094589948654175, -1.1107020378112793, 0.4495243430137634, 0.7813388705253601, 0.0748586654663086, -0.8480976223945618, -0.19168511033058167, 0.7396016120910645, -0.8288392424583435, -0.3452857434749603, 0.6220418214797974, -0.39145562052726746, -0.24851840734481812, 0.47043856978416443, -1.6637871265411377, -0.3844432234764099, 1.424139380455017, 1.7954028844833374, -0.3413639962673187, -0.46758878231048584, 1.7297446727752686, -0.9102908372879028, 1.972452163696289, -1.3922611474990845, 1.201794147491455, -0.6467239260673523, 2.3983566761016846, -0.2646045982837677, 0.9119117856025696, -1.2770111560821533, -0.4657267928123474, -3.04247784614563, -0.3369203805923462, 0.5842977166175842, -0.47959446907043457, 1.0139511823654175, 1.1860655546188354, -0.3963724970817566, 0.2935751974582672, 0.38817647099494934, 0.24576379358768463, 0.4224642813205719, 2.0619702339172363, -0.5532544255256653, -0.23177111148834229, 0.153499573469162, -1.0430703163146973, 0.7167336940765381, -1.1395561695098877, 1.5623725652694702, -1.5280910730361938, 2.0844409465789795, -0.6133701205253601, 0.2944926917552948, 0.20644468069076538, -1.9468681812286377, -1.3144242763519287, -2.2784950733184814, -0.989273726940155, 0.2126844972372055, -0.23139077425003052, 0.20460569858551025, 1.5680595636367798, 0.8555780649185181, -0.10739797353744507, 0.6716436743736267, 1.4350552558898926, -1.652608871459961, -0.0939861461520195, -0.296263724565506, 0.9693138599395752, -1.2021161317825317, 0.6872455477714539, -0.21997684240341187, -1.4566667079925537, 0.8587707877159119, 0.5221238136291504, 0.008735597133636475, 1.6350314617156982, 1.0322529077529907, 1.020272135734558, 0.023958340287208557, -0.19562458992004395, 0.6193963289260864, -1.4740344285964966, 1.4101173877716064, -0.1922319233417511, -0.798799455165863, -0.503813624382019, 0.8117501735687256, 1.6655325889587402, -1.1531373262405396, -0.3105182349681854, -0.2725234031677246, 0.2077675461769104, 1.4542856216430664, -0.41862115263938904, -0.38390666246414185, -0.11083619296550751, 1.3868992328643799, -0.1509426236152649, -0.10858483612537384, 0.6297146081924438, 1.3120388984680176, -1.3694885969161987, -0.0067204684019088745, -0.34004300832748413, -1.2790247201919556, 0.4042401909828186, 0.26732155680656433, -1.3098453283309937, 0.09314050525426865, 0.606128990650177, -0.9444642066955566, -1.0140697956085205, -1.0904300212860107, 1.3491222858428955, -1.190386414527893, -0.48443129658699036, -0.064980149269104, 0.32113271951675415, 0.39378297328948975, 0.3112652897834778, 0.3358650803565979, 1.0647445917129517, -0.9704301357269287, 0.5546207427978516, -0.765714168548584, 0.02808406949043274, 0.2417241334915161, 0.31187620759010315, -0.25173982977867126, -1.8551568984985352, 0.981529712677002, 0.2869184613227844, 0.05262547731399536, -1.349960207939148, 0.03298059105873108, -0.8419023752212524, 1.4128210544586182, 0.8235358595848083, 0.9926846027374268, -0.13658428192138672, 0.14389550685882568, 0.5683075189590454, -0.5610110759735107, -0.010529041290283203, -1.1229736804962158, -2.270575761795044, 0.13095638155937195, 0.8054376840591431, 0.06046626344323158, 0.7701494097709656, -0.18035337328910828, -0.5263971090316772, -0.5530673265457153, 0.8640477657318115, 1.2694250345230103, 0.6073128581047058, -0.08070007711648941, -0.6004042625427246, -0.35151082277297974, 0.05072680115699768, 0.3687232732772827, 0.9140568375587463, -0.6048370599746704, 0.5519604086875916, 0.4548584818840027, 0.41654229164123535, 0.700957179069519, 1.6311458349227905, -0.8893162608146667, -0.17442083358764648, 0.16845881938934326, 0.6411439180374146, -0.41108274459838867, 1.4670023918151855, 2.1728479862213135, 0.5582382678985596, 1.598568081855774, -0.40304434299468994, 1.2876123189926147, 0.009098488837480545, -2.1399667263031006, -1.0111138820648193, 0.5435363054275513, -1.5236210823059082, 0.6333605051040649, -0.21095936000347137, -0.11212751269340515, -1.4745378494262695, -0.0346982479095459, -1.2910171747207642, 1.1240026950836182, -0.8442868590354919, -2.039879322052002, 0.5591888427734375, -0.3503932058811188, -0.6475955247879028, -0.6787036657333374, -1.9125829935073853, 0.7039446830749512, -0.47215163707733154, -1.3277910947799683, -0.8849960565567017, 1.2946182489395142, -0.5934412479400635, 1.4839028120040894, -0.11758637428283691, -0.04167520999908447, -1.171857476234436, 1.3307331800460815, 0.7451470494270325, 1.1825950145721436, -0.17268207669258118, 0.2900947034358978, 0.2950883209705353, -0.7729737162590027, -0.11620974540710449, -0.7921454310417175, -1.8376108407974243, 0.4956430196762085, 1.311455249786377, 0.5088034868240356, 0.37254565954208374, 0.5529597401618958, 0.19757825136184692, -0.2768838405609131, -1.5166723728179932, -0.028088226914405823, 1.3606667518615723, 0.47678858041763306, 0.04422849416732788, -0.05895140767097473, 2.1957039833068848, -1.5421940088272095, -0.8643955588340759, -0.6766562461853027, 0.7253716588020325, 1.2078406810760498, -3.3510420322418213, -1.0813761949539185, -0.12193804979324341, 1.1810740232467651, 0.5307290554046631, -1.9017224311828613, 1.0236754417419434, -2.5846147537231445, 0.46990007162094116, -0.6484820246696472, 0.0045830607414245605, 0.6131054162979126, 0.47261011600494385, 2.2582590579986572, -0.9721582531929016, -1.8425990343093872, -0.5413964986801147, 0.8288487792015076, 0.6636419892311096, 0.8168990015983582, 0.8798882961273193, 0.8277186155319214, 0.6646190881729126, 0.9667597413063049, 0.08530473709106445, -0.5114307403564453, -0.019855201244354248, 0.05238980054855347, 0.037574172019958496, -0.9409482479095459, 1.475268840789795, -0.8647439479827881, 0.2742861211299896, -1.0679419040679932, 2.254016876220703, -0.3574759364128113, -0.12968218326568604, 1.8041846752166748, 0.3431588411331177, -0.16422344744205475, -1.0530372858047485, -1.0435234308242798, -0.988584041595459, 1.1055012941360474, -0.9998361468315125, -0.2827962040901184, 0.9147582054138184, 0.40186527371406555, -0.43245506286621094, 0.28959596157073975, -1.0795245170593262, -0.27788808941841125, -0.9371110200881958, 0.1748037040233612, -0.2505674362182617, -0.6339657306671143, 0.3264741003513336, -0.30252426862716675, -2.373192548751831, 0.487369179725647, 0.20085430145263672, -0.3725145161151886, -0.3632141947746277, 0.6922536492347717, 0.2860645055770874, 1.7598793506622314, -0.9244700074195862, 1.0677287578582764, 1.1316262483596802, 0.1010170578956604, 0.5266194343566895, -1.3161919116973877, 0.09203696250915527, 1.4063419103622437, 1.306948184967041, 0.47599703073501587, -1.3840272426605225, 1.212770938873291, 0.04609023034572601, 1.0805625915527344, 0.19391565024852753, -1.3766660690307617, 1.5683437585830688, -3.436603307723999, -0.9385287761688232, 0.20371147990226746, -1.2024993896484375, 2.104964017868042, 0.7194284200668335, 0.7634567618370056, -0.771056056022644, -0.44811972975730896, 0.9886729121208191, 0.930737316608429, 2.0186963081359863, 0.710166335105896, -1.2836132049560547, 0.44832509756088257, -0.4759540259838104, 2.0432732105255127, -2.105924129486084, -0.13337093591690063, 1.4113843441009521, -2.438779592514038, 0.5907920598983765, -0.1004323959350586, -1.0741444826126099, -0.6230951547622681, 2.8482954502105713, 0.390804648399353, -1.4466397762298584, 0.5961499810218811, 1.3027597665786743, 0.3725229501724243, -1.5907007455825806, -0.08690020442008972, -1.5548019409179688, -1.110224723815918, 2.1363525390625, 0.22659321129322052, -0.164347842335701, -0.7799159288406372, -0.49861547350883484, 0.08896715939044952, 0.540989875793457, -0.7435781955718994, 1.218689203262329, 0.13554143905639648, -1.5001500844955444, 2.523205041885376, 0.5949803590774536, 0.6504907011985779, -0.3251767158508301, -0.9601719975471497, -1.9715440273284912, 0.5938135385513306, -1.775813102722168, -3.677692174911499, 0.353507936000824, 0.11488746106624603, 2.559605598449707, 1.4574124813079834, -0.46843475103378296, 1.3852925300598145, 0.1450752317905426, -0.61615389585495, 1.2602572441101074, -1.2725622653961182, -0.8311624526977539, 0.4387381374835968, -0.0961199700832367, -0.11720499396324158, -1.0394409894943237, 0.4407041668891907, -0.9505095481872559, 0.8541309833526611, -1.169846773147583, 0.1839853823184967, 0.8468871712684631, -0.2594582438468933, -0.10579477250576019, -1.070460557937622, -0.2886291742324829, -0.5132678151130676, -0.3516789376735687, 0.9055565595626831, 0.6143345236778259, 1.0308806896209717, 0.7498043775558472, -0.5183684825897217, -1.0604839324951172, -0.8811536431312561, 1.466755747795105, -0.08988642692565918, -0.26355618238449097, 0.8705224394798279, 3.0928118228912354, -0.6441596150398254, -0.6560467481613159, 1.6712582111358643, -0.13573956489562988, 0.07900626957416534, 0.4058980941772461, -0.248314768075943, 0.6636486649513245, -1.1575192213058472, 1.3556134700775146, 1.3090628385543823, -0.7769296169281006, -3.9153389930725098, 1.9052585363388062, 0.669282078742981, 0.7005288600921631, 0.10753963887691498, -1.0251333713531494, 0.19430163502693176, 1.5161079168319702, -0.9672882556915283, 0.9690346717834473, 2.307013988494873, -0.16469170153141022, -0.47971272468566895, 0.14565470814704895, -1.7154959440231323, 0.8496116399765015, 2.14266037940979, 0.5972784757614136, -0.09672629833221436, 1.1254106760025024, 2.8519957065582275, -0.6320599317550659, -0.4076465964317322, -0.7086265087127686, -1.2070504426956177, -0.1791379302740097, -2.8417792320251465, -1.188226342201233, -0.4006149172782898, -1.2662019729614258, -0.5447571873664856, -0.5059073567390442, -0.7241185903549194, 1.3107404708862305, -1.1234605312347412, 0.4690892994403839, -0.938313364982605, 1.8264660835266113, 0.8864448070526123, 0.06899583339691162, 0.07375234365463257, -2.0809245109558105, 0.1016838476061821, -1.0214343070983887, -0.10669782757759094, 2.092721939086914, -0.2933387756347656, -0.44059112668037415, -2.3907341957092285, -1.3388501405715942, 0.5495352745056152, -1.1941877603530884, 0.2762133479118347, -0.22932666540145874, -1.9334636926651, 0.5433676242828369, -0.5991276502609253, 1.21526038646698, -1.0461361408233643, 0.3785378932952881, -0.7588944435119629, 0.16328346729278564, 1.1528979539871216, 0.5691478252410889, -0.2335093915462494, -0.30786195397377014, 0.5931289196014404, 0.23334956169128418, 1.1391152143478394, 1.477983832359314, 1.0218616724014282, -0.4772133529186249, -0.20547063648700714, 1.397361159324646, 1.5698790550231934, 2.0824925899505615, -1.0861114263534546, -0.627034604549408, 0.04955916106700897, -2.6851534843444824, -0.11648380756378174, -1.2890658378601074, 0.25305765867233276, -0.7423107624053955, 1.5455923080444336, 0.33921176195144653, -0.9776105284690857, 0.10510504245758057, -0.4112095534801483, -0.7595959901809692, 1.0833604335784912, 1.3579308986663818, -0.14100655913352966, 1.0687623023986816, 0.7671910524368286, 0.31685447692871094, 2.2265512943267822, 0.8877103328704834, -0.3999435305595398, 2.585066080093384, 0.5696768164634705, 0.975543200969696, -3.472676992416382, -2.0120644569396973, 1.0286749601364136, 0.08575671911239624, 3.308297634124756, 1.0977909564971924, -1.7743208408355713, -1.1144180297851562, -1.0480610132217407, -0.5334928035736084, 0.026956096291542053, 1.297762155532837, -1.0551316738128662, 1.9752558469772339, -0.3639953136444092, -2.5389792919158936, -0.0048084259033203125, -1.259063482284546, -0.2197512686252594, -0.8845546245574951, -1.7597143650054932, -0.5313978791236877, -0.40416836738586426, -0.5928868055343628, -1.2225010395050049, -0.41535043716430664, 0.6201586723327637, 1.0752832889556885, 1.3590093851089478, -1.6666202545166016, 1.325574517250061, -2.4800679683685303, -1.4122318029403687, -1.3654298782348633, -0.6216379404067993, -2.827247142791748, 0.5000516176223755, 0.23460161685943604, -0.5567465424537659, 1.3884422779083252, -1.871989130973816, -1.3552484512329102, -1.77805757522583, -0.48326432704925537, 1.2182583808898926, 0.44710594415664673, -0.3279876708984375, -0.6144781112670898, 0.004901468753814697, 1.4578052759170532, 0.03949962556362152, 0.3524845838546753, -0.8368210792541504, 0.4290790855884552, -0.17652344703674316, -1.8791087865829468, 0.6650873422622681, 0.011764347553253174, -2.0236051082611084, 0.7277984619140625, 0.161227285861969, -0.2127750813961029, 0.559033215045929, 0.6587212085723877, -0.5921124219894409, 1.102537989616394, 0.6968272924423218, -1.8932561874389648, 1.21101713180542, -1.0372257232666016, -0.8109680414199829, -0.06453832238912582, -0.5772552490234375, 0.7012530565261841, -1.8680181503295898, 1.0388370752334595, -0.6873090267181396, 0.48683980107307434, -0.7108317613601685, 0.3788032531738281, -1.3881123065948486, -0.12410867214202881, -1.857512354850769, 0.19909417629241943, -0.4129120409488678, 0.5987828373908997, -0.4894199073314667, -0.8248411417007446, 0.24024584889411926, 0.25565630197525024, 1.346531629562378, 0.23994287848472595, 0.22398445010185242, 0.740176260471344, -1.1258187294006348, 1.2522296905517578, -2.284374952316284, -0.4916565418243408, -0.24212023615837097, 0.8732649683952332, 1.4007447957992554, 0.9658454656600952, -0.3633304834365845, 1.3532828092575073, -0.48216164112091064, -0.0018278956413269043, -2.2301816940307617, -0.018994415178894997, 0.31846845149993896, 0.6239763498306274, 0.7860418558120728, -0.8576372861862183, -0.7377432584762573, -0.5184267163276672, -1.3497239351272583, 0.46933016180992126, -0.6618483662605286, -0.39830365777015686, 1.108910322189331, 0.6748485565185547, -0.3018920421600342, -0.30136457085609436, -0.08064550161361694, -1.5078058242797852, 1.322706699371338, -0.8887876272201538, -0.4342588186264038, -0.38266003131866455, 1.314274549484253, 2.4005424976348877, -1.53353750705719, -1.435893177986145, 0.021212458610534668, 1.519892930984497, 0.20015864074230194, 0.09285008907318115, 0.6414908766746521, 1.3027619123458862, 0.18278437852859497, 0.8163425922393799, 1.5359793901443481, 0.3983415961265564, -2.0722382068634033, 0.6045247912406921, -0.2913239598274231, -0.35733598470687866, 2.260512113571167, 0.36818069219589233, -0.23925095796585083, 1.0034725666046143, -0.32549628615379333, -0.6916550993919373, 0.8893599510192871, -0.2821102738380432, 0.5619949102401733, -0.7201910018920898, -0.10118504613637924, 1.4559111595153809, 0.061824649572372437, 1.3759995698928833, -0.711384654045105, -0.7240381240844727, 0.6348201036453247, 0.9097175598144531, 0.21062350273132324, -2.6634979248046875, -0.9978531002998352, -1.4570857286453247, 0.9039254188537598, 1.74860417842865, -1.7025625705718994, 1.0001469850540161, -1.227055549621582, 1.5251100063323975, -0.09051476418972015, 0.9485922455787659, -0.1373271346092224, -0.35785993933677673, 1.3034939765930176, -0.6247279047966003, -1.3821477890014648, -1.1003797054290771, 1.8796658515930176, 0.6134320497512817, 0.24385946989059448, -1.8509833812713623, -0.23656338453292847, -0.5190739035606384, -0.6886546611785889, -0.21497204899787903, -0.13366562128067017, -0.8879855871200562, 1.3323783874511719, -1.0961686372756958, 1.370834231376648, 0.16744868457317352, 0.24444973468780518, 0.9973227977752686, 1.1654659509658813, 0.32462915778160095, 0.4642641246318817, -0.12076681852340698, -0.6365362405776978, 1.5274256467819214, 0.002786695957183838, -0.6627093553543091, 0.5321441292762756, -0.7924323081970215, 0.49538514018058777, 2.526487112045288, 0.8790766596794128, -1.5214852094650269, -0.6135845184326172, -0.9931935667991638, 1.4749122858047485, -1.4497426748275757, 1.7557241916656494, 1.1743888854980469, -0.42022114992141724, 1.4546955823898315, 0.5343865156173706, -1.9252392053604126, -0.36011892557144165, 3.3502323627471924, 1.0029890537261963, 1.143787145614624, -0.9182085394859314, -1.452568531036377, -0.6300495862960815, -0.482763409614563, 0.3127690851688385, -1.7947075366973877, 0.22189152240753174, 2.6161084175109863, 0.2419465035200119, -0.2739505469799042, -1.1530778408050537, 0.4387536346912384, -0.829747200012207, 1.0994398593902588, -0.42629674077033997, 0.6872737407684326, -0.6844183206558228, 0.04350721836090088, 1.490365743637085, -2.344860076904297, -2.113445520401001, 0.1965961456298828, 0.8851426839828491, -0.32091057300567627, -0.6384368538856506, 1.5512574911117554, 1.8175761699676514, -0.36476463079452515, -1.3843138217926025, 2.3172850608825684, 1.169334053993225, -2.1306192874908447, 0.2255392074584961, -0.11108889430761337, 1.5808576345443726, -0.6280339956283569, -0.573915421962738, -1.5789313316345215, -1.0427041053771973, 1.0392106771469116, 0.9854620099067688, 0.8014862537384033, -0.08033204078674316, 0.12470260262489319, 2.4875621795654297, -0.18599611520767212, 0.9331415891647339, -2.396286725997925, 0.6446967124938965, -1.7720104455947876, -0.36669647693634033, -1.3429077863693237, -0.2171679437160492, 0.871161937713623, 1.2135698795318604, -1.387522578239441, -1.8221831321716309, 0.1052544116973877, -1.9410507678985596, -0.457518607378006, -1.0054192543029785, -0.14115405082702637, 1.2775280475616455, -0.05618548393249512, 0.06381016969680786, 0.4368259906768799, -0.9101346731185913, 1.3534644842147827, -2.0010664463043213, 0.6338562369346619, 0.549517035484314, -0.546342670917511, 1.3559887409210205, 0.06109948456287384, -0.10229183733463287, 0.2441314458847046, -0.5192173719406128, 0.4386775493621826, -0.6016159057617188, -1.6193710565567017, -0.6688116192817688, -0.8695055842399597, 2.6056833267211914, -3.1642045974731445, 1.165478229522705, -0.5696437358856201, 3.1532604694366455, 0.20822596549987793, 1.306739091873169, 2.937809467315674, -0.4679340422153473, -1.8575612306594849, -0.7992196083068848, 0.24905945360660553, -0.6445213556289673, 1.0653634071350098, -1.027712106704712, 1.2356626987457275, -0.9964569807052612, -0.19168707728385925, 0.4782562255859375, 1.7791781425476074, 2.589223861694336, 0.7891712784767151, 0.6780223846435547, 0.3261113464832306, 0.4598691463470459, 2.2050273418426514, -1.4872654676437378, -1.0506707429885864, -0.4603692293167114, -0.16151368618011475, 2.634368896484375, -1.1247035264968872, 0.5107626914978027, 3.5490429401397705, -1.314439296722412, -0.07457292079925537, 0.8173398971557617, 0.2999551296234131, -0.5483591556549072, 0.32817795872688293, -1.993949294090271, 1.5917770862579346, -0.4967483878135681, -0.8079980611801147, -1.5842669010162354, 1.1497547626495361, -0.9548661112785339, 0.12776513397693634, 0.16923068463802338, -0.8087931871414185, 0.2965162396430969, 0.5725000500679016, 0.5274403691291809, 1.4775164127349854, 0.4732241630554199, -0.6938443779945374, -0.23469993472099304, -1.7247827053070068, -1.1363763809204102, -2.211839199066162, 2.082310676574707, -0.7726583480834961, 0.44680219888687134, -0.6485607624053955, 0.8292096853256226, -1.5312588214874268, 1.250575304031372, 0.759397029876709, -0.141788050532341, 0.7224563956260681, 0.5058031678199768, 0.4924010634422302, -1.2927170991897583, -0.2202148139476776, 0.41562336683273315, -0.46782946586608887, -1.1917608976364136, -0.45617514848709106, 1.1289292573928833, -0.07649265229701996, 0.4365171790122986, 0.7246994376182556, 1.052078366279602, -0.34560704231262207, 3.1631765365600586, -0.8519402742385864, 2.3295400142669678, -1.041966199874878, -0.20779705047607422, 0.7376400828361511, 0.1102331280708313, 1.1927276849746704, 1.3658215999603271, 1.2685935497283936, 0.14635401964187622, -0.17832352221012115, -0.3051513731479645, -0.9052771925926208, 1.584797978401184, 0.8709964752197266, 1.255234956741333, -0.6628094911575317, -0.19749337434768677, 1.9873418807983398, 0.9218122363090515, 0.8793951272964478, -0.0677747130393982, 1.094651699066162, 0.07955630123615265, -0.6594890356063843, -1.8476204872131348, -1.5254489183425903, 0.38838738203048706, 1.9259088039398193, 3.013068675994873, 1.9494991302490234, 0.9103818535804749, 0.6739436388015747, 0.5021494030952454, -1.0850629806518555, 1.586357593536377, 1.0483672618865967, 0.5632672309875488, 0.7608349323272705, 2.0904810428619385, -0.08509726822376251, -0.1264839768409729, -0.4122299551963806, 1.1730222702026367, -0.33725959062576294, -1.4920034408569336, -0.02686983346939087, 2.2114078998565674, -0.2576923966407776, 0.19197499752044678, 0.8921405076980591, -1.821311116218567, 0.45062339305877686, 0.6199780702590942, -0.10010677576065063, -0.4826180338859558, -1.0136635303497314, 0.06042036414146423, 1.2671347856521606, 1.6819658279418945, -0.6411592364311218, 0.6214063763618469, -1.1490099430084229, 1.2949788570404053, -1.9298570156097412, 0.34272629022598267, -1.4421167373657227, 2.755679130554199, -0.893216609954834, 0.42844656109809875, 0.31925368309020996, 1.3998734951019287, -0.8888348340988159, -0.5430936813354492, 0.019352853298187256, -0.08814471960067749, 1.796809196472168, -0.9492945075035095, -0.5072004199028015, -0.7161752581596375, 0.11126160621643066, -1.7803415060043335, -2.346177101135254, 0.24300718307495117, 0.469321608543396, -0.36301544308662415, -0.8456493616104126, 0.06273216009140015, 0.631697416305542, 0.8928225040435791, 1.6020430326461792, 0.07449567317962646, 0.7169404029846191, -0.014861755073070526, 0.3647373616695404, -0.8378410339355469, 1.1829791069030762, -1.6396093368530273, 0.3041136562824249, 1.0424854755401611, -0.7094784379005432, 0.6538393497467041, 0.47094717621803284, -0.8084989190101624, 0.12054505944252014, 1.2125917673110962, -0.9358965158462524, -0.4161033630371094, -0.595127522945404, 2.602346181869507, -1.1886039972305298, 1.6577684879302979, -1.3970344066619873, 1.3368809223175049, -0.5491900444030762, -1.8381853103637695, 0.3053760826587677, 0.8264873027801514, 0.3900385797023773, 1.6676394939422607, -0.14565396308898926, 0.4518146812915802, -0.12265598773956299, 2.216932773590088, 0.12414272129535675, -2.4009506702423096, 1.1057860851287842, 1.4292008876800537, 0.5793436169624329, 1.3292293548583984, -2.4546518325805664, -3.077366828918457, -0.7661425471305847, -0.9562657475471497, 1.5538599491119385, 0.8904237747192383, 1.2434269189834595, -1.622375249862671, -1.547613263130188, 2.2709078788757324, 0.060445427894592285, 1.359163761138916, 1.8813893795013428, -0.2770634889602661, 0.33489635586738586, 2.100440263748169, -1.6656990051269531, -0.5146899819374084, -1.047989010810852, -1.6725190877914429, -1.3369688987731934, -0.503860354423523, -0.41839599609375, -0.7410094141960144, -1.1713696718215942, 0.9497714638710022, -0.46454739570617676, -1.0040379762649536, -1.748673915863037, 1.1985571384429932, 0.3586350381374359, -0.37921541929244995, -0.887438178062439, -1.1800450086593628, -1.4580116271972656, -1.9302196502685547, -1.3256704807281494, -1.426094651222229, -0.8387300968170166, -1.0314099788665771, -0.23548828065395355, 1.5379692316055298, -0.5078414678573608, -0.021078497171401978, -0.8853429555892944, -1.3689590692520142, -0.0784488320350647, 0.44173821806907654, -0.7171807289123535, -2.0461645126342773, 1.1915431022644043, 0.4166881740093231, 0.9986261129379272, 1.2226277589797974, -0.4127618670463562, -0.21677914261817932, 1.9045343399047852, 0.07055115699768066, -0.929797887802124, 1.9336780309677124, 1.039202094078064, -2.4886600971221924, 1.208983302116394, 0.620499312877655, 0.10675714910030365, 0.3819023668766022, -0.1830112338066101, -0.5725430250167847, 1.2318687438964844, -1.9805691242218018, 0.6931042671203613, -0.4764448404312134, -1.398645043373108, -0.2137831449508667, 0.16902823746204376, -0.4104766249656677, 1.0249897241592407, 1.3736741542816162, 1.003469705581665, -0.14557448029518127, 0.5768108367919922, 0.3465738594532013, 0.7957245111465454, 0.0897212028503418, 0.6652265191078186, 0.30207616090774536, -0.4276396930217743, -0.05770742893218994, 0.7065982818603516, -0.8937066197395325, -0.7393428087234497, 0.7855960726737976, 0.6506003141403198, -1.1896371841430664, -0.07707701623439789, -0.7152906060218811, -0.09569890797138214, -0.65301513671875, 0.8161396384239197, -1.4161007404327393, -1.5927523374557495, 0.08798211812973022, 0.28584346175193787, 0.45067864656448364, 1.6401374340057373, 0.6758580803871155, 0.027071714401245117, -0.8834035396575928, 0.30355048179626465, 0.6984937191009521, 1.4936565160751343, -0.6372406482696533, -0.0021750330924987793, 2.1100010871887207, -0.10510437935590744, 1.4876662492752075, 0.8287204504013062, -0.2225816547870636, -1.984823226928711, 0.09562277793884277, -1.9913809299468994, 0.627095103263855, -0.5560728907585144, -0.02614450454711914, -0.8443698287010193, 0.44826170802116394, -1.8554943799972534, -1.6186935901641846, 0.29802727699279785, -0.5831665992736816, -0.8534067273139954, 1.4148411750793457, -1.2382029294967651, 1.2406810522079468, 1.2341572046279907, -1.108673334121704, 2.4896061420440674, -0.02734055370092392, 0.827261209487915, 0.0743904858827591, 1.3475052118301392, -1.4872593879699707, -0.9787460565567017, 0.12757575511932373, -0.16489475965499878, 0.6848758459091187, 0.3182162940502167, 0.736073911190033, 0.17613664269447327, -0.49169042706489563, -0.24853166937828064, -0.3742183446884155, 0.23831921815872192, 0.17909950017929077, -1.4650142192840576, 0.47245433926582336, -0.3409905433654785, 0.41999688744544983, -0.29806309938430786, 1.451991081237793, -0.49056077003479004, -0.5601776838302612, -0.8198057413101196, 1.4299033880233765, -0.9897343516349792, -0.39604678750038147, -0.7397316694259644, -1.6115694046020508, -0.3194906711578369, 0.5104835629463196, -0.6061103343963623, -0.8259882926940918, 1.479103684425354, 2.2021021842956543, -0.7367551922798157, 0.5180906057357788, 0.3587489128112793, 0.27192267775535583, 0.6215199828147888, -1.0442808866500854, -2.4090473651885986, 0.11840558052062988, -1.7292157411575317, -0.19047150015830994, 0.24650517106056213, -1.795841932296753, 1.1147065162658691, 1.0918256044387817, 1.6243236064910889, -0.3895415663719177, -0.7838442325592041, -0.15404900908470154, -2.0019803047180176, -2.5585858821868896, 0.06058260798454285, -0.6595995426177979, 1.9880410432815552, -1.2549958229064941, 1.521134614944458, 0.03422515094280243, -0.849835216999054, 1.907207727432251, 0.2516566216945648, 0.2396664023399353, 0.581005334854126, 0.6634023189544678, 1.4316049814224243, -0.05531388521194458, -0.24690920114517212, -0.7556449174880981, 0.45334988832473755, 0.19683313369750977, -0.948042631149292, 0.11732469499111176, -0.5957080721855164, -1.7026103734970093, -0.7133539915084839, -1.0252512693405151, 1.566477656364441, 0.29403483867645264, 1.6876333951950073, 0.2921343147754669, 0.5328947305679321, -0.34112349152565, -1.1930692195892334, -2.0177271366119385, 1.7164504528045654, 2.4734702110290527, 0.371697336435318, 0.3726201057434082, -2.823930501937866, 0.037179261445999146, -0.8296937346458435, -0.32273638248443604, -0.2612118422985077, 1.1945078372955322, -0.917746901512146, -1.4462332725524902, -0.13456681370735168, -1.554914951324463, -1.3975954055786133, 0.5979264974594116, 0.9379322528839111, 1.663110375404358, -0.6522222757339478, -2.297133684158325, 0.5213735699653625, -2.218432664871216, 1.0265415906906128, -0.3480827510356903, -1.6721888780593872, 1.2871415615081787, -1.7150455713272095, -1.0720463991165161, -1.2043991088867188, -0.6619933843612671, -0.4642559885978699, 0.6547273397445679, -1.4421753883361816, -1.5702540874481201, -1.3125197887420654, 0.8887523412704468, 0.04696941375732422, -1.0415316820144653, 1.7299522161483765, -0.5484095811843872, 0.7563690543174744, -0.21138423681259155, -1.413233757019043, -3.8791301250457764, 1.0539436340332031, -0.8066950440406799, 0.8583014011383057, -2.2696735858917236, -2.2593419551849365, -0.12129819393157959, 0.2582066059112549, -0.6278120279312134, 0.29279062151908875, 0.8372360467910767, -0.700789213180542, -0.5400452017784119, 1.6067761182785034, -0.3574511706829071, 0.8426414728164673, 0.05891615152359009, -0.7092859148979187, 0.4967033863067627, 0.6232414245605469, -0.46568238735198975, -0.017431393265724182, 0.7918894290924072, -0.4732327461242676, -0.2954096794128418, -0.948910117149353, -0.448140412569046, 1.2099385261535645, 0.5137242674827576, 0.9541177749633789, 0.6152811050415039, 2.053896903991699, -1.169331431388855, 1.9192819595336914, -1.528951644897461, -1.2966867685317993, -0.3054785132408142, 1.6112158298492432, 0.9426800012588501, 0.6013141870498657, -0.5194271206855774, 1.53090500831604, -0.2152150422334671, -1.2407293319702148, -3.1138572692871094, -0.7054715752601624, 0.7795369625091553, -0.485517680644989, 1.1376242637634277, -0.8954377174377441, 1.455639362335205, -1.8442878723144531, 0.024387793615460396, 1.2958805561065674, -1.3098883628845215, 1.2296900749206543, 1.1646065711975098, -1.3010547161102295, -0.46435919404029846, -0.2497272789478302, -0.6646937131881714, 0.23331525921821594, -0.7608292102813721, 1.5033760070800781, 0.16205532848834991, 2.0399250984191895, -1.5282269716262817, 0.582878828048706, -0.3302435278892517, 1.5058118104934692, 0.25527897477149963, 1.6880581378936768, 1.3943792581558228, -1.5407967567443848, 0.8277341723442078, -1.5017064809799194, 1.4637954235076904, -1.1315081119537354, 0.6119596362113953, 2.619622230529785, -0.8313219547271729, 3.1399803161621094, 0.22506079077720642, -2.0851454734802246, 0.7222025990486145, -0.11149159073829651, 0.7390458583831787, 1.3848515748977661, -0.3407888412475586, 0.4089672565460205, 1.4063366651535034, 0.6526154279708862, -1.1595196723937988, -0.6519343852996826, -0.3764420747756958, -1.7466247081756592, 1.9218205213546753, 2.013273000717163, -1.0338406562805176, 0.07354527711868286, 0.931850790977478, -0.45876607298851013, 0.41310471296310425, 0.2817390263080597, -1.9432183504104614, 2.1616508960723877, -1.1812989711761475, -0.0321924090385437, -0.7591793537139893, 0.6316232681274414, 0.5437671542167664, -0.8181003928184509, 0.6077115535736084, -1.883389949798584, 1.474595069885254, -1.2334773540496826, 1.0551847219467163, 0.5939184427261353, -0.4509432911872864, 1.5517253875732422, 0.3527261018753052, -0.12871181964874268, -0.603179395198822, -1.0002235174179077, -0.7198967933654785, -0.6089146137237549, -1.54538094997406, -1.3117865324020386, -0.9850645065307617, -0.5216048955917358, 0.24578028917312622, 1.0097782611846924, 0.02582108974456787, 1.1670186519622803, 1.518966794013977, 1.4372649192810059, -2.74357008934021, -1.39119291305542, -0.986670196056366, -0.19269293546676636, 1.17036771774292, 0.9350281953811646, -0.4188823997974396, 0.5593635439872742, 0.48759976029396057, -0.8114511370658875, -1.6180675029754639, -0.6920815706253052, -2.0401976108551025, -0.10900652408599854, -0.621666431427002, -1.68924081325531, -0.9326422214508057, 1.2971560955047607, -0.6829656362533569, -0.4023369550704956, -1.6413917541503906, -0.03916582465171814, 0.4783105254173279, 1.1040009260177612, 0.233402281999588, -0.30408865213394165, 0.8895960450172424, 0.9707591533660889, -0.6693136096000671, 1.4361873865127563, -0.7888997793197632, -0.09357186406850815, 1.3998808860778809, 0.26157963275909424, -0.0686936303973198, 1.3151130676269531, 1.274161458015442, -0.1755242943763733, 1.6790515184402466, 1.0513675212860107, -0.2824926972389221, 0.1157124936580658, -0.7521083354949951, -1.1400249004364014, -0.40249162912368774, 0.8703166842460632, 0.007430721074342728, 0.16573268175125122, -2.4272403717041016, -0.8301478624343872, 0.750996470451355, -1.147499442100525, 1.9832754135131836, 0.7621718049049377, 0.5016969442367554, 1.1179234981536865, -1.0387946367263794, 0.09958487749099731, -1.3003132343292236, -1.5125411748886108, -2.4837865829467773, 0.12085240334272385, 0.6193929314613342, -0.5516098737716675, 0.6795780658721924, 0.8123407363891602, 0.9219774007797241, -2.438913345336914, 0.49876952171325684, 0.1236085593700409, -0.3671989440917969, 0.6898477673530579, -1.7971118688583374, -0.0879894345998764, -1.1530754566192627, 0.5771726369857788, 1.5029380321502686, -0.10289841890335083, 0.6475412845611572, -0.09593760967254639, 0.08104276657104492, -0.6086763739585876, 0.5430827736854553, 0.7542661428451538, 0.5687128305435181, -0.23669958114624023, 0.08208063244819641, 0.8422224521636963, 1.4317518472671509, 1.012770175933838, 0.7501825094223022, 0.7617068290710449, -1.2777400016784668, -0.5468950271606445, -0.2606605589389801, -0.1670374870300293, -0.3638277053833008, 0.10755553841590881, 0.419386088848114, 0.5801644921302795, -1.148110270500183, 2.1993837356567383, -0.45851752161979675, -0.6537670493125916, 1.0555185079574585, -0.19018572568893433, -0.06159096956253052, 0.07642295956611633, 0.8801193833351135, 0.21657288074493408, -0.25712719559669495, 0.7552475929260254, -0.7196686267852783, -0.9779220819473267, 1.1772427558898926, -1.2356395721435547, 0.9259517788887024, 1.8946013450622559, 2.5257182121276855, 1.3991917371749878, 1.381379246711731, 0.5149868726730347, -1.0097417831420898, -0.5742698907852173, -0.3505399525165558, -0.2693841755390167, -0.6356766223907471, -1.442860722541809, -1.2138162851333618, 1.0780224800109863, -0.8030118942260742, 1.7567336559295654, 0.49780768156051636, 1.2602620124816895, 0.27291372418403625, -0.3987119793891907, -0.856016993522644, -0.18264523148536682, -0.044207364320755005, 0.43511590361595154, -1.1825616359710693, -1.0622762441635132, -0.9141420125961304, -0.7653365135192871, 1.0966192483901978, -0.012892037630081177, -2.3376307487487793, -0.056275829672813416, -0.37722307443618774, 0.5348608493804932, 1.190258502960205, 0.6878196001052856, -1.8287118673324585, -0.8840792179107666, -0.8383562564849854, -0.2249167561531067, 0.19941318035125732, 1.4369277954101562, 1.7252590656280518, -1.5867598056793213, -1.5825010538101196, -0.8545782566070557, -1.049007534980774, -0.7534416913986206, -0.7272998094558716, -0.06503516435623169, 1.1302566528320312, -0.519235372543335, 1.3930943012237549, -0.7353042364120483, 3.3662195205688477, 0.8571209907531738, -0.8342981934547424, -1.3760242462158203, 1.6357566118240356, -1.4424018859863281, -1.2669453620910645, 0.801261305809021, 0.13695213198661804, 1.6816470623016357, 0.3034656345844269, 1.887203574180603, -0.804638683795929, 1.3779257535934448, -2.003715753555298, 0.6992509365081787, 0.750395655632019, 1.5982415676116943, -0.819520115852356, 1.3433321714401245, 0.5830867886543274, 0.31165966391563416, -0.18453627824783325, 1.180464267730713, 1.988309621810913, 1.9757444858551025, 1.2088050842285156, -0.35741111636161804, 0.16252678632736206, -0.01629611849784851, 0.19678567349910736, 1.4188528060913086, 0.46180588006973267, -0.5568119287490845, 1.8137589693069458, 0.10050296783447266, -0.04204891622066498, 1.3957360982894897, 0.12679040431976318, -2.752772808074951, 2.270519495010376, -1.4696612358093262, 0.7775188684463501, -0.5593052506446838, 0.5748428702354431, 1.2248084545135498, -0.33118924498558044, -0.1310180425643921, -0.3140714764595032, 1.0436029434204102, -1.2265257835388184, 0.2590641975402832, -0.7309644222259521, 2.76249623298645, -0.3476743698120117, 0.19210007786750793, -0.2875262200832367, -1.4894300699234009, 0.4145229160785675, 0.6562204957008362, -0.03257596492767334, 0.9901193380355835, 1.0498316287994385, -0.018116354942321777, 0.24356579780578613, 1.6684812307357788, 0.7928739786148071, 0.8827986121177673, 1.4500905275344849, 0.3668765425682068, 1.0903050899505615, -0.0714765414595604, 0.10829755663871765, 0.9504753351211548, 0.8991510272026062, -0.904450535774231, 0.18736842274665833, -0.45221617817878723, 2.1846909523010254, -0.5519098043441772, -0.3522678017616272, -0.7673223614692688, 2.382969856262207, 0.08174365758895874, -0.26895081996917725, -0.11742720007896423, 1.6186089515686035, 1.2458704710006714, -0.9644990563392639, 1.7333405017852783, -0.02338564395904541, -1.7772059440612793, 1.920016884803772, -0.36506444215774536, -0.7492126822471619, -0.000638812780380249, -0.4542427659034729, -0.06794379651546478, 0.7802069187164307, 1.6396342515945435, 0.7811343669891357, -0.24545422196388245, 0.12758126854896545, 0.5724137425422668, -1.1328487396240234, -1.6336894035339355, 1.2768361568450928, 0.4086047410964966, -0.11807096004486084, -0.6342392563819885, 1.6979100704193115, -1.0602766275405884, 0.6111361980438232, 0.08939861506223679, -1.090469241142273, 0.45130544900894165, 0.0813654288649559, 0.5893256068229675, 1.6101419925689697, 0.20792227983474731, 0.5209909081459045, -0.2776505649089813, 0.8775615692138672, -0.6553581953048706, 1.5778329372406006, 1.253373384475708, 0.7603258490562439, 2.893263339996338, -0.8661892414093018, -1.5388859510421753, -0.9751846790313721, -1.0309258699417114, -0.43931257724761963, 0.11348474025726318, 1.3135628700256348, 0.3858547806739807, -0.1634230613708496, 0.3047739863395691, 2.0818536281585693, 1.5981742143630981, -0.3081763982772827, -0.1360825002193451, 0.6143752336502075, 1.5849915742874146, -0.1831967532634735, 2.093783140182495, -1.271553635597229, -0.7574512958526611, 0.2319503128528595, 0.6100038886070251, -1.946474313735962, 1.2580032348632812, 2.1532514095306396, -0.9741672873497009, -0.5561453104019165, 0.7264840602874756, -0.012832380831241608, -0.5886494517326355, 0.014819934964179993, -0.07189160585403442, -1.959757685661316, -0.12609246373176575, 0.9056428074836731, 0.6625585556030273, 0.6043164730072021, 0.5863217711448669, -0.37848299741744995, 0.4081467390060425, -0.5437379479408264, -2.5326383113861084, -1.6981133222579956, -0.30475282669067383, 0.9802644848823547, -0.5409873127937317, 0.9609216451644897, 1.293662190437317, -1.7001469135284424, 0.9268836975097656, -1.4143524169921875, 0.21439498662948608, 1.483494758605957, -0.21786679327487946, -0.1622898280620575, -0.8208789825439453, 0.49936816096305847, 0.08292704820632935, -1.0824451446533203, 0.03808312118053436, -1.0495524406433105, -0.49613362550735474, -0.11613279581069946, -0.8657166361808777, -0.21477234363555908, 0.923772394657135, -0.4347210228443146, 0.8233934640884399, 0.23810842633247375, 2.02626371383667, -1.771878719329834, -0.8263170123100281, -0.31462129950523376, -0.17850303649902344, 1.3703175783157349, -0.6404584646224976, 1.3243733644485474, 0.37401077151298523, -0.47074711322784424, -0.04162895679473877, 0.09852432459592819, 1.7272676229476929, 0.7603280544281006, 0.7971105575561523, -1.5798184871673584, 0.15943506360054016, -0.14882329106330872, 0.6350556015968323, -0.6006526947021484, 1.2881264686584473, 0.9170711040496826, 2.801325559616089, -0.7811633348464966, -0.23641900718212128, 0.7563225626945496, -1.6097214221954346, -0.38158130645751953, -0.9271911382675171, 1.1244988441467285, -0.41916531324386597, 0.0611773319542408, -1.1477100849151611, -1.5379470586776733, 0.05063185095787048, -0.25937867164611816, -0.1936180591583252, -0.7062788605690002, 1.1367051601409912, -2.1174044609069824, -1.6312692165374756, 0.893892765045166, 0.26867741346359253, 0.11651483923196793, -1.076279878616333, -0.050127238035202026, -0.7970991134643555, -0.5836900472640991, -1.3499749898910522, -0.7395236492156982, -1.058056354522705, 0.4997941553592682, 0.2515330910682678, 1.5010383129119873, 1.226108431816101, -0.5096374750137329, 0.4526865780353546, 0.3315556049346924, 1.0266751050949097, 0.3729581832885742, -0.5648607015609741, -0.5555641651153564, 0.3787262439727783, 0.2776718735694885, 0.5323118567466736, 0.7964624166488647, -0.011611521244049072, -0.7726609706878662, 0.42487505078315735, -0.8881517648696899, -0.8699889183044434, -0.7141774296760559, -0.8822003602981567, 2.75063157081604, -2.0095601081848145, -0.008811533451080322, -1.1813359260559082, -0.17904745042324066, -0.649127185344696, 0.5713803768157959, -0.21762889623641968, 1.685456395149231, 0.055024292320013046, 0.32495802640914917, -1.0297856330871582, 1.604175329208374, 1.435794472694397, -0.40647515654563904, -1.1498671770095825, 1.5061099529266357, 0.2981489300727844, -0.8430845737457275, -0.8397216796875, -0.4560312032699585, -0.16215945780277252, -0.1790822148323059, -0.5291141867637634, -0.4530274271965027, 0.6081207990646362, 1.5579489469528198, 0.38946235179901123, -1.8830209970474243, -1.228735089302063, 0.014971926808357239, 1.217099905014038, 1.2099483013153076, 1.9862875938415527, 0.20660477876663208, 0.7564128637313843, -0.3061220943927765, 0.14494827389717102, -0.2834143042564392, 1.7286760807037354, -1.2892839908599854, -0.09934085607528687, -1.4217007160186768, 1.0896779298782349, 0.20632418990135193, 0.5738112926483154, 0.6679697632789612, 0.09904158115386963, 0.38968563079833984, -0.3651542067527771, 0.12037482857704163, 2.5624890327453613, 0.8276061415672302, -0.726147472858429, -0.5727111101150513, 1.4017424583435059, -0.6031616926193237, 1.7133214473724365, 0.44468170404434204, -0.6223747730255127, -1.2702982425689697, -1.5200470685958862, 0.9608349204063416, 0.05452411249279976, 1.2193875312805176, -1.0376354455947876, -1.6393954753875732, -0.5133378505706787, 0.43514400720596313, 1.236342430114746, 0.67991042137146, 0.04414188861846924, -1.0806694030761719, 0.5244247317314148, -0.0742841362953186, -2.263914108276367, -0.45397040247917175, 0.8036952018737793, -0.43128541111946106, 0.36476194858551025, 0.6223254799842834, -0.7594549655914307, -0.368777334690094, 0.19501221179962158, -1.7113776206970215, -2.678154230117798, -0.6485462784767151, -1.3199738264083862, -0.7350094318389893, 0.5902385711669922, -1.5003998279571533, -0.9733838438987732, 0.32661378383636475, -0.4044201970100403, -0.375119686126709, -0.4948315918445587, -1.8728437423706055, 0.32538580894470215, -1.3029930591583252, 0.011125892400741577, 1.2391953468322754, 0.9272079467773438, 1.2069092988967896, -0.9551587104797363, -0.7317222356796265, 1.3787262439727783, -0.19868919253349304, 0.14819437265396118, -1.3135749101638794, -1.3138844966888428, 0.8685688972473145, 1.841135859489441, 0.19568279385566711, 1.7662410736083984, -0.5641072988510132, -0.7930102348327637, 1.0913479328155518, 0.8051738142967224, 0.2030980885028839, -0.4132259786128998, -0.5167611241340637, -0.10057081282138824, -1.4133894443511963, 0.7004748582839966, -0.6266436576843262, 0.7283074855804443, 0.6633489727973938, 0.21114271879196167, 1.8067876100540161, 1.1947085857391357, 1.1719554662704468, 1.131065011024475, -1.7464977502822876, 1.9999641180038452, 0.2812342643737793, -0.6108799576759338, 0.29686057567596436, -0.25419825315475464, 0.7145718336105347, -1.2211382389068604, 2.498061180114746, 0.7352010011672974, 1.4503270387649536, -0.6676461100578308, -1.7037818431854248, -0.4559340476989746, -1.9437429904937744, -0.8996003270149231, 1.05220627784729, 2.573031425476074, 1.2138606309890747, 1.2101060152053833, -0.36277878284454346, 0.906303346157074, -0.04369283467531204, -0.31297165155410767, 1.1644611358642578, 1.309635043144226, -0.109031081199646, 0.05939561128616333, -0.17049843072891235, -0.5303383469581604, -0.2158234417438507, 0.9840472936630249, 0.7398130893707275, 0.3046703040599823, 0.7980911135673523, -1.265514612197876, 0.007991231977939606, -0.3487498164176941, 2.2633056640625, -1.2067079544067383, 1.2284668684005737, 0.6506547331809998, 0.16050979495048523, -0.0972333550453186, 1.5082987546920776, 0.4677770733833313, 1.9485145807266235, 1.7181451320648193, 0.3678058087825775, -0.010420223698019981, -0.9633554816246033, 0.6313419342041016, -1.1848814487457275, 1.4510751962661743, 2.014897584915161, -0.6275356411933899, 0.35132330656051636, -1.2975324392318726, -0.22097523510456085, -0.8898256421089172, -1.1482570171356201, 1.5279412269592285, -1.4579565525054932, 1.7232557535171509, 1.4194412231445312, -0.556119978427887, 1.849213719367981, 1.2777879238128662, 0.35773515701293945, -0.30218833684921265, -0.9218156337738037, -0.5993237495422363, -2.0410263538360596, 0.10073550045490265, 0.9473615884780884, 0.7602809071540833, -2.483940839767456, 1.24666166305542, 1.0386782884597778, 0.16533629596233368, -0.05580789968371391, 0.9913731813430786, -0.7372455596923828, 2.2032017707824707, -0.8805358409881592, 0.7990404367446899, 1.10455322265625, 0.2923875153064728, -1.696389079093933, -2.475283622741699, 0.9070432782173157, -0.4296819567680359, 1.2928332090377808, 0.8454558253288269, -0.2771514356136322, -0.5038141012191772, 0.19373303651809692, 0.6112309098243713, -0.18480002880096436, 0.6778189539909363, 0.3735376000404358, 0.582970142364502, 0.35827144980430603, 1.0472127199172974, -0.9902356863021851, -2.4454450607299805, -3.0399346351623535, -0.710675835609436, 0.9589908123016357, 0.061842143535614014, -1.4689009189605713, -0.6295369267463684, 1.0794813632965088, -0.9732703566551208, 3.263780117034912, 0.9791098833084106, -0.3946785628795624, -0.11255911737680435, 0.35343581438064575, 2.0660197734832764, -0.1689300537109375, -1.0817642211914062, 2.533456563949585, 0.7545477151870728, -1.835814118385315, -0.1632881760597229, -0.3226413428783417, 0.6479458212852478, -0.21765948832035065, 1.821357250213623, -1.1706411838531494, 0.23196780681610107, -1.1472736597061157, -0.9838906526565552, 0.847868800163269, -0.04537355899810791, -0.5675718784332275, -1.2319977283477783, 0.07892973721027374, -1.5267244577407837, -1.864912509918213, 1.1431671380996704, 1.8583217859268188, -1.9792287349700928, -0.6294945478439331, 0.9419758319854736, -0.2425847053527832, 0.42830079793930054, -0.8297032713890076, 2.6298766136169434, 0.13656553626060486, 3.475602626800537, 2.931861162185669, 0.41500481963157654, 1.7615115642547607, 1.178847312927246, -0.8736345767974854, 1.3051118850708008, -0.4773738384246826, -1.0635900497436523, -0.27560049295425415, 0.558099091053009, -0.20403563976287842, -1.8080637454986572, 0.4244868755340576, 0.15717428922653198, 2.0943992137908936, 0.43474385142326355, 1.5007511377334595, 0.5378114581108093, 0.23993468284606934, -2.0842747688293457, -0.5895218849182129, 0.7849262952804565, 0.6608325242996216, -0.7096250057220459, 0.4399201571941376, -1.695533037185669, 0.4518285095691681, 1.7446527481079102, 0.25107651948928833, -0.34095868468284607, -0.09030861407518387, 1.4454859495162964, 1.4198083877563477, -1.4376336336135864, -0.6336818933486938, 0.12840606272220612, -1.1489206552505493, -1.0638041496276855, 0.47626689076423645, -0.9509981870651245, 0.0471421554684639, -0.00908997654914856, 0.6416385769844055, -1.5371252298355103, -0.7067571878433228, 0.09719531238079071, 1.5461543798446655, -0.404252290725708, -0.5294170379638672, 1.910614252090454, 0.26502203941345215, -0.294985830783844, -2.2741456031799316, 0.5095974206924438, -1.584791660308838, -1.5539268255233765, 1.1617132425308228, -0.21071791648864746, -1.4319350719451904, -0.379669189453125, -0.9015541076660156, 1.2647358179092407, 0.9493454694747925, 1.3935163021087646, 0.34384649991989136, -0.3116597533226013, 0.3979327976703644, -0.3359162211418152, -0.29579365253448486, 1.843885064125061, -0.7411564588546753, 0.13433566689491272, -0.047612518072128296, -0.2678567171096802, -0.380585253238678, 0.37040290236473083, -0.8541932702064514, 0.7438188791275024, -0.9719732403755188, -1.4720721244812012, -1.034807801246643, 0.634529709815979, 0.1467619240283966, 0.6375320553779602, -1.0905969142913818, 3.166449785232544, 1.481508731842041, 0.3390873968601227, 2.7612802982330322, -0.09375225007534027, 1.2752008438110352, -1.2359161376953125, -2.234605073928833, -0.439066082239151, -0.5445155501365662, -2.552241563796997, -0.49605533480644226, 0.535818874835968, -0.11863896250724792, 1.408984899520874, 1.1357917785644531, -1.4972883462905884, -2.2335152626037598, -0.4835294485092163, 0.41786491870880127, 0.6740385890007019, -0.7654201984405518, 0.9591618776321411, 0.6810492277145386, -0.9849197268486023, 3.3730788230895996, 0.20477041602134705, 0.45188504457473755, -0.7752562761306763, -0.7087036371231079, 0.7434935569763184, 0.5616766214370728, 0.2907916307449341, -0.35905295610427856, 1.5133135318756104, 2.903895378112793, 0.9548726677894592, 0.31232136487960815, 0.07871878147125244, 2.019620895385742, 0.6093019247055054, -0.42409461736679077, 2.133831739425659, 1.3437293767929077, -1.0412888526916504, 0.45021331310272217, -0.48150938749313354, -0.4154118299484253, -1.9728609323501587, -0.9295821785926819, -1.171238660812378, -0.49974292516708374, -0.9506091475486755, 0.27873796224594116, -1.0298645496368408, 0.6122626066207886, -0.5947360396385193, -0.16335731744766235, -1.6556209325790405, -0.6624793410301208, 0.22356829047203064, 2.0053000450134277, -1.488531231880188, 0.8812522292137146, -0.9440290927886963, -1.443159580230713, -1.7411432266235352, -0.10485535860061646, -0.10242998600006104, -0.7712681293487549, 0.6030871868133545, 0.06608599424362183, -0.7798119783401489, 1.0979583263397217, -1.0672017335891724, -0.3944883346557617, -0.6542004346847534, -0.7212933301925659, -0.6121793985366821, -1.0272538661956787, -0.08162221312522888, 0.7533748745918274, 1.1320756673812866, -0.08273553848266602, -0.9265010356903076, -0.291110634803772, -0.6575239896774292, -1.625281810760498, 0.7985842823982239, -2.589107036590576, -2.283557891845703, 0.6776259541511536, 0.21105805039405823, 1.417738437652588, 1.9033069610595703, 0.22198285162448883, -1.076493263244629, -0.01652584969997406, -1.1644809246063232, -1.1465884447097778, -0.9389984011650085, -0.6367522478103638, -0.012037813663482666, -0.5809007883071899, -1.0297315120697021, -1.0272574424743652, -0.20459435880184174, 0.08871214091777802, -2.1698696613311768, 2.218258857727051, 1.4326386451721191, 1.13008451461792, -0.9859871864318848, -0.2396777868270874, 0.568059504032135, 0.6403981447219849, -2.296476364135742, -1.4771819114685059, 1.9254908561706543, 1.7181594371795654, -0.3858470022678375, 0.3815203607082367, -0.7538796663284302, 0.051316410303115845, -0.7014631032943726, 0.566185712814331, -1.181474208831787, -0.7385596036911011, -1.5328655242919922, 1.2606303691864014, 0.8764445185661316, -1.6327486038208008, -0.8208146095275879, 1.1535078287124634, -1.046281099319458, -2.1482739448547363, 1.0492364168167114, 0.6930463910102844, 0.19730247557163239, 0.755996823310852, -0.9407430291175842, -1.2476624250411987, -1.4178916215896606, 1.118961215019226, -1.6285921335220337, 0.6490224599838257, 0.5364723801612854, -0.7614779472351074, -1.568497657775879, 0.25494223833084106, 1.2898627519607544, -1.184605598449707, 0.7981887459754944, -1.5360604524612427, 0.30377471446990967, 1.099332571029663, -1.2347218990325928, 1.3584730625152588, -0.9481045007705688, 0.5733385682106018, 0.2409583181142807, 0.7959221601486206, -0.39996981620788574, -0.38832804560661316, -0.20388925075531006, -0.18175524473190308, 0.8729216456413269, 0.21033881604671478, -0.2982863485813141, 0.11970624327659607, 0.28639742732048035, -1.8012830018997192, -0.2179991602897644, -2.40016508102417, -0.4878200590610504, 0.5879251956939697, 1.5722072124481201, -2.387420892715454, 1.5060962438583374, 1.359497308731079, -0.8027967214584351, -2.3807971477508545, 1.7813396453857422, 1.1050382852554321, 1.7145164012908936, -1.1876931190490723, -1.2384167909622192, 0.4171876609325409, -0.42996490001678467, 1.09734308719635, -0.012629561126232147, -2.446178436279297, -0.6900911927223206, 1.7272799015045166, -0.41745126247406006, -0.08190783858299255, -0.9898653030395508, -0.3144463300704956, 0.10004785656929016, 0.7178489565849304, -0.8138241767883301, 1.5793910026550293, -0.1673271209001541, -0.33097973465919495, -1.1163028478622437, -0.38878852128982544, 0.3295469582080841, 1.542370080947876, -1.0544910430908203, -0.22786572575569153, -0.4677739143371582, -0.26378101110458374, -1.1647225618362427, -0.2580540180206299, -0.2732490301132202, -0.33221977949142456, 0.18342912197113037, -0.189662367105484, 0.8931522369384766, -0.31026485562324524, -0.049733757972717285, 0.5709988474845886, 1.1888338327407837, 0.782442569732666, 0.8804531693458557, 0.6618703603744507, 1.8327972888946533, 1.8864811658859253, 0.7162370085716248, -1.5958322286605835, 0.35598766803741455, 0.966018795967102, -0.632246196269989, -1.0344622135162354, 1.4466229677200317, -0.14178502559661865, -1.227855920791626, -0.46296703815460205, -0.32604214549064636, -1.5005537271499634, -0.0735464096069336, -0.34517747163772583, 1.0867480039596558, -0.21035310626029968, -1.2307075262069702, -1.7863218784332275, 0.11951349675655365, 0.35621505975723267, 3.130267858505249, -0.3838534653186798, -0.8817466497421265, -0.5780320763587952, -1.666460394859314, -0.5157321095466614, 1.0124608278274536, 0.618057906627655, -0.26484620571136475, -2.422682762145996, 1.5754973888397217, -0.13266611099243164, 0.887077271938324, -0.03760027885437012, -0.7671090364456177, -1.0155686140060425, 0.02447497844696045, -0.4838082492351532, -1.0880613327026367, 0.3596389889717102, 0.833246111869812, 0.4059460163116455, 0.5280319452285767, 1.854417085647583, 0.6440346240997314, -2.1132826805114746, -2.072622299194336, -0.9484285712242126, -2.502054214477539, 1.5205769538879395, -0.008322492241859436, -0.5303394794464111, -0.9968850016593933, 0.8842321634292603, 3.5798182487487793, 0.5942459106445312, 1.326467752456665, 0.4880070686340332, 0.3755989968776703, -0.868094265460968, -0.850557804107666, -0.5031828880310059, -0.33729830384254456, 1.9309613704681396, -0.282697856426239, 0.3881189823150635, -0.15825492143630981, -0.9822894334793091, -0.03155866265296936, -1.3793078660964966, 0.16077104210853577, 0.3738110065460205, 0.44696858525276184, -0.3928822875022888, 1.0578794479370117, 1.1428271532058716, 0.8472926020622253, -0.6276159286499023, -1.78644859790802, -0.3671827018260956, 0.716005265712738, -0.26391273736953735, 0.7597360610961914, -1.6372957229614258, 0.8883565068244934, 0.42573630809783936, -0.1965305209159851, -0.1476013958454132, -1.3524556159973145, 0.9564651846885681, 0.7484500408172607, 2.186593532562256, -1.0081781148910522, 1.5644965171813965, 0.24910372495651245, 0.26411497592926025, 0.17339099943637848, -0.2938600480556488, -0.20018811523914337, 0.7006025910377502, -1.0681445598602295, -1.083007574081421, -0.938271164894104, -2.5235862731933594, 1.6229629516601562, 1.3119863271713257, 0.1762135624885559, 0.9100358486175537, -0.5870417952537537, 0.2888089418411255, -0.48745855689048767, -0.21487462520599365, 3.1179347038269043, 0.7653390169143677, -0.6702870726585388, -0.7869534492492676, -1.2357810735702515, 0.4600549340248108, 1.969921350479126, 1.7048670053482056, -3.1219229698181152, 1.0973584651947021, -0.7500932812690735, -0.19303101301193237, 1.9535105228424072, 0.39944952726364136, -0.19907258450984955, -0.3987336754798889, 1.0341112613677979, -1.1620436906814575, 0.7552422285079956, -0.5478015542030334, 0.06677263975143433, -1.305531620979309, -0.3148733377456665, -0.919583797454834, -2.1722805500030518, 0.47367095947265625, -0.9118313789367676, -2.1178364753723145, -1.965640902519226, 1.5832685232162476, -0.23904067277908325, 0.06520107388496399, -0.7088584899902344, -1.3560678958892822, 0.43192365765571594, 0.7160024642944336, 0.8000747561454773, -0.06616297364234924, 2.805478096008301, 0.22110207378864288, -1.082430362701416, 0.8914957046508789, 1.3251243829727173, 1.6184406280517578, -1.1519804000854492, -0.43412864208221436, 0.81413334608078, 0.6255515813827515, -0.30881601572036743, 0.6358041763305664, 0.928500771522522, -0.8449949026107788, -1.468013048171997, -0.13530012965202332, -1.234350562095642, -0.4798353314399719, -0.6266302466392517, 1.052114725112915, 0.7846582531929016, -1.3016188144683838, -0.8550474643707275, 0.6411813497543335, -1.494004249572754, -0.8604415655136108, 0.8401024341583252, -2.428760528564453, 0.3806825280189514, 2.263314723968506, -0.9031051397323608, -0.49683624505996704, -0.014357879757881165, 0.8737905025482178, 0.02810831367969513, -0.42936834692955017, -0.8102476000785828, 0.21238744258880615, -1.5716831684112549, 0.3152637481689453, -0.15230408310890198, 0.26906877756118774, -0.21730123460292816, -1.1234102249145508, -0.08277106285095215, 0.1917964220046997, 0.9593571424484253, -2.1749818325042725, -2.5553178787231445, -0.9383721947669983, 2.3877291679382324, -0.17285603284835815, 0.2877720594406128, -0.8067053556442261, -1.569994568824768, 2.1952755451202393, 1.3889620304107666, 0.7828510999679565, 0.33586210012435913, 0.4977913796901703, 0.25394248962402344, -1.3405611515045166, 0.8485113978385925, 1.742868185043335, -1.6491191387176514, 0.9180973768234253, 0.059830714017152786, 0.2346351146697998, 1.1739015579223633, -0.4497617781162262, -1.724769115447998, -1.191237211227417, -2.1292362213134766, -0.4765958786010742, -1.7927563190460205, -0.23122915625572205, 0.7058506011962891, 0.6428244113922119, -0.2508997917175293, 1.158232569694519, -0.8918073177337646, -0.2421024739742279, 1.0483436584472656, 0.4613678455352783, -0.11066579818725586, 0.7756785154342651, 1.2710039615631104, -1.9805560111999512, 0.25826358795166016, -0.11319869756698608, 0.93235182762146, 0.9361356496810913, -0.7887208461761475, -0.03683073818683624, 0.05192440748214722, 1.5201770067214966, 0.05063670873641968, 0.20329047739505768, -0.38347721099853516, 1.3495376110076904, 0.44643205404281616, -2.46577787399292, -0.8565924167633057, -2.0820746421813965, 0.7296950221061707, 0.009287029504776001, -1.4590924978256226, 1.8228676319122314, 2.0074479579925537, 1.282422661781311, 0.10714523494243622, -1.2567228078842163, -0.9029618501663208, -0.48875880241394043, 1.3307921886444092, -1.197808861732483, -0.021524718031287193, 0.8420643210411072, -0.4057837724685669, 0.04099400341510773, 0.6212676763534546, -1.7905828952789307, 1.902167797088623, 0.12761211395263672, 2.1612439155578613, 1.0354796648025513, -0.11841817945241928, 1.3532863855361938, -1.260377287864685, 1.8961822986602783, 1.0149955749511719, -1.8393237590789795, 1.2322745323181152, 0.5341504216194153, -2.194946050643921, -0.43132978677749634, -0.9161906242370605, 0.6551011800765991, 0.3396948575973511, -0.7134070992469788, -0.29441285133361816, 0.0575910359621048, -0.7304409146308899, -0.3273389935493469, 1.4861527681350708, -0.1794855147600174, 1.355597972869873, -0.22535163164138794, 0.2446313500404358, 0.17404907941818237, -0.37570756673812866, 0.021627336740493774, -1.0802326202392578, 0.837286114692688, 0.12300755083560944, -0.7611643075942993, 0.533088207244873, 0.24808251857757568, -0.4031316339969635, 1.0780551433563232, -1.1990550756454468, 0.85535728931427, 0.7544978857040405, 2.344369411468506, 1.9939689636230469, -0.5867732167243958, 1.82454252243042, 0.16402071714401245, -1.2226401567459106, -0.8834404349327087, 0.2532505393028259, 1.2038440704345703, 2.403848886489868, -1.916383981704712, -0.2937641143798828, -0.6786873936653137, 0.3958128094673157, -0.412048876285553, -0.22337359189987183, 1.2005550861358643, 0.18001824617385864, -0.28553658723831177, 0.23417778313159943, 0.1822006106376648, -0.230263352394104, -1.1723997592926025, 0.025038808584213257, 0.361117422580719, 0.09197565913200378, 0.4724193811416626, 0.2612808346748352, 1.688225507736206, 0.8002026677131653, -0.5233410000801086, 0.700142502784729, -0.11493099480867386, -0.8705535531044006, 0.7107802033424377, 1.515049934387207, 1.2836120128631592, 0.16777163743972778, 1.1890614032745361, -0.47133561968803406, -0.949551522731781, -1.4391613006591797, -0.16682058572769165, 0.20523445308208466, -1.2023625373840332, 1.1126468181610107, -0.6704065203666687, -0.47584855556488037, -1.459475040435791, 2.2229039669036865, 0.4608909487724304, -0.08106989413499832, -1.8585299253463745, -0.9983507394790649, -0.5319302082061768, 0.4418681263923645, -0.7933517098426819, -1.8487467765808105, 0.6335668563842773, -1.5273667573928833, -0.492757648229599, -0.46924516558647156, -0.3473428785800934, 0.7423737049102783, -0.5801184177398682, -1.5539014339447021, 0.349782258272171, -0.6746906638145447, 0.5060946941375732, 0.9264804124832153, 0.589834451675415, -1.6858209371566772, 0.2279045283794403, 1.2880802154541016, -0.7653884887695312, -0.8527941703796387, 0.9057081341743469, -0.6766712665557861, -0.11199343204498291, -0.3867001235485077, -0.561156153678894, 0.3325127959251404, 0.7066540718078613, -0.7798643112182617, -0.39903682470321655, 0.059519827365875244, 0.5439923405647278, -1.1512478590011597, 0.7454091906547546, -1.9050408601760864, 0.2954959273338318, 0.5128929615020752, -0.0776900053024292, -1.0756852626800537, 0.270764023065567, 0.8518834114074707, -0.2973783016204834, 0.4526787996292114, 0.2375083565711975, -0.8420063257217407, 0.37079721689224243, -2.1879520416259766, -0.07214373350143433, 1.7736691236495972, 0.7288251519203186, 0.6778705716133118, 0.9190486669540405, -0.4163767099380493, 0.21629345417022705, 0.1766340434551239, -0.5276266932487488, 0.20942679047584534, 1.4060826301574707, 0.15454575419425964, -0.6628869771957397, -0.44953638315200806, -0.9047157764434814, -1.6831061840057373, 0.9313837289810181, -0.11610899865627289, -0.31938570737838745, 0.28136277198791504, 1.4711414575576782, 0.792587161064148, -0.3162093758583069, -2.022026777267456, -0.37766242027282715, -0.1716289520263672, 0.7833291292190552, 0.966576337814331, 0.07195071130990982, -0.40216708183288574, 0.6398876905441284, 0.3199714720249176, -0.2059578001499176, -1.2624908685684204, -1.2612534761428833, 0.9160876274108887, -1.8829761743545532, -0.5573579668998718, 1.7172584533691406, -0.44086673855781555, -0.4163271188735962, -0.49058446288108826, 0.7488439083099365, -0.3091769814491272, 2.4424710273742676, 1.2523616552352905, -1.1474778652191162, -2.7814111709594727, -0.37040841579437256, -0.45368093252182007, 0.7165024280548096, 0.573130190372467, 0.10838741064071655, -0.1847580075263977, -0.952964723110199, 1.1799447536468506, -0.3836769163608551, -0.7326301336288452, -0.19294707477092743, 0.5474146008491516, 0.7873899340629578, -0.8599940538406372, -1.5418386459350586, -1.729597806930542, 1.1279406547546387, -0.19725127518177032, 1.1428487300872803, 0.627444863319397, 0.22102677822113037, -0.98218834400177, -0.29708021879196167, 0.48278504610061646, -1.564239263534546, -0.03399492800235748, 0.348356693983078, -0.5565028190612793, 1.012246012687683, -1.4993335008621216, -1.5997949838638306, -1.146892786026001, 0.22275927662849426, -0.479900985956192, -2.090301513671875, -0.8490563035011292, 0.3503294885158539, 1.519385814666748, 1.1370960474014282, 0.08919628709554672, -0.008965730667114258, 0.5008522272109985, 0.7980954051017761, -1.2582056522369385, -0.2599714398384094, 0.7066138386726379, -1.690061330795288, 0.02048724889755249, -2.5771284103393555, -1.118945598602295, -2.54880952835083, 1.234832763671875, 1.030097246170044, -1.4803295135498047, -1.4031555652618408, 2.0529632568359375, -0.368854284286499, 0.2271694839000702, 0.7374969720840454, -0.7855672836303711, -0.06593459844589233, -0.6989453434944153, 0.2738868296146393, 0.7801563739776611, 1.4592558145523071, -0.30315515398979187, -0.44981276988983154, 0.22869712114334106, 0.2501470446586609, 2.193071126937866, 0.12676569819450378, 0.1089332103729248, 0.1799171268939972, -0.1390802264213562, 1.3393663167953491, 0.25033101439476013, -0.07469958811998367, 0.530577540397644, 0.5974900722503662, 0.3744732141494751, 0.1374121755361557, 0.646275520324707, -2.087739944458008, -0.8818409442901611, -0.38842663168907166, 2.045952320098877, -1.0144591331481934, 2.710948944091797, 0.07677751779556274, -0.7190827131271362, 0.3151412904262543, 0.27816781401634216, -1.4370362758636475, 1.021995186805725, -0.40295612812042236, 1.9964271783828735, 1.9374444484710693, 0.8090450167655945, 1.1718329191207886, 1.5178216695785522, 1.6724742650985718, 0.6115173697471619, -0.5114518404006958, -0.3381916880607605, 0.9647313356399536, 0.7399477958679199, 1.6163663864135742, -2.3020200729370117, 0.7532525062561035, -0.495315283536911, 0.6830228567123413, 1.4317070245742798, -0.3548884093761444, -1.282604694366455, -1.2309999465942383, 0.3604302406311035, 1.374038577079773, -1.6821551322937012, -2.1534266471862793, -0.9721776843070984, 1.7915098667144775, 0.38423699140548706, -0.9741911888122559, 0.8329921960830688, -0.9278520345687866, 0.9945698976516724, 0.22910091280937195, 0.17618483304977417, 0.6838681697845459, 2.4781646728515625, 0.8226027488708496, -1.4867607355117798, -0.7495395541191101, -0.5955816507339478, -0.7426730394363403, -0.9039792418479919, -0.7223341464996338, -0.1195397675037384, -0.9164078235626221, -0.30520543456077576, 2.374846935272217, -1.467206358909607, 0.13499519228935242, 0.8433859944343567, -2.056934356689453, 1.3657845258712769, 2.217395544052124, -0.6209298968315125, 1.2334738969802856, -1.1963481903076172, 0.9530908465385437, 0.6716890335083008, -0.5480914115905762, -1.2286988496780396, -0.9012012481689453, -1.4334185123443604, 0.005400232970714569, 1.8762283325195312, -0.21173125505447388, -0.3940809667110443, -0.8045427203178406, -0.8588156700134277, 0.01941680908203125, -0.24989628791809082, 0.7867456078529358, 0.34055203199386597, 2.130930185317993, -1.4956872463226318, 0.12873226404190063, 0.596966028213501, -1.1379142999649048, -1.2766149044036865, 0.932839035987854, -0.1612023413181305, -1.1113053560256958, 1.0222443342208862, 2.245100498199463, 0.8366156220436096, 1.0582478046417236, -0.16333487629890442, -2.043914556503296, 0.016496360301971436, -1.4880447387695312, 1.1105525493621826, 0.03468635678291321, 0.6459989547729492, 0.18397676944732666, -0.14001204073429108, 0.6532413363456726, -0.8881113529205322, 1.3212096691131592, -1.280813455581665, -1.0738621950149536, -1.3056442737579346, -0.8588602542877197, 0.7710193395614624, -1.9312727451324463, 1.034157156944275, -0.35495108366012573, 0.3734273612499237, -1.1098296642303467, -2.32771635055542, 0.7777329087257385, -0.13228477537631989, -1.9531725645065308, -1.8054378032684326, 0.8652844429016113, -1.9156708717346191, -0.10804128646850586, 0.8904775381088257, 1.1647875308990479, 1.833496332168579, -1.3277109861373901, 1.1133017539978027, -0.7823178768157959, -0.6984280347824097, -0.8842383027076721, -1.6705279350280762, 1.7162432670593262, 2.285743236541748, -0.4584854543209076, -0.936504065990448, 0.005484573543071747, 2.4245357513427734, 0.9987408518791199, 0.910377562046051, -2.431408166885376, 0.7864153385162354, 2.5739753246307373, -0.47059422731399536, 1.680787205696106, 0.50084388256073, -1.0743598937988281, -0.13893923163414001, 0.1066315621137619, 1.6186062097549438, -0.6670113801956177, -1.476135492324829, 0.8373488187789917, 1.4724986553192139, -0.37036028504371643, 1.3808848857879639, 1.4273462295532227, -1.6023800373077393, -1.1104635000228882, 0.599166214466095, -0.5345425605773926, -0.39756545424461365, -2.0766372680664062, -1.9098548889160156, 1.2657067775726318, 1.6071066856384277, -0.4162614345550537, -2.288001537322998, 0.5413503646850586, -0.8941299915313721, -1.0055090188980103, 0.39055493474006653, 0.4452972412109375, -0.42170244455337524, -2.1584110260009766, -0.6408499479293823, 1.06611967086792, 0.26015669107437134, 0.812415361404419, 1.0339877605438232, 0.1777106523513794, 1.7166576385498047, 1.5484344959259033, 0.7740990519523621, 0.3445020318031311, 0.7438612580299377, -0.8686081767082214, 0.7724757194519043, -1.1103168725967407, -1.5050565004348755, 1.3562757968902588, -1.322880506515503, 0.5814836621284485, 0.4360807240009308, -0.9734179377555847, 1.6041905879974365, -2.430501937866211, 2.167117118835449, 0.3673102855682373, 0.6022418737411499, 0.6147294640541077, -0.9147098660469055, -1.6118475198745728, -0.873611330986023, -1.3031861782073975, -1.1897958517074585, 0.8355821967124939, 0.43485206365585327, 0.3164917826652527, -0.6423488855361938, 0.3309254050254822, -1.509108066558838, -0.40594807267189026, 0.3068584203720093, -1.6518352031707764, -0.04779815673828125, 0.38246017694473267, 0.27881696820259094, -0.005600720643997192, 2.322371482849121, -0.5463500022888184, -0.09245973825454712, -1.8292932510375977, -0.6734917759895325, -0.088814377784729, -1.3525508642196655, 1.9305050373077393, 0.7714979648590088, 1.7145862579345703, 0.8161473870277405, 0.4524480998516083, -0.6516681909561157, -0.014031007885932922, -0.32824617624282837, -0.5926228761672974, -0.38504892587661743, -0.4512154459953308, -1.0252695083618164, 1.6076761484146118, 0.9019831418991089, -0.4869939386844635, 0.8617655634880066, -0.24308833479881287, -0.776730477809906, 1.475459337234497, 1.4018875360488892, -0.6605981588363647, -0.25730013847351074, 0.35652026534080505, 1.1232988834381104, 0.42799603939056396, -0.1592870056629181, 1.0023298263549805, 1.6342113018035889, 1.1389925479888916, -0.16678103804588318, -2.1192007064819336, 1.5508105754852295, 0.6290741562843323, 0.2745600938796997, -0.31067192554473877, -0.026718437671661377, 1.6769155263900757, 0.05090409517288208, 0.12005218863487244, 0.8054313063621521, 0.1708899736404419, 0.37802135944366455, 1.3199336528778076, 0.6536222696304321, -0.07416293025016785, 0.15894368290901184, 1.7285834550857544, -0.9375005960464478, 0.7416020631790161, 2.45615816116333, -0.04689355194568634, 0.08948523551225662, 1.4526304006576538, -1.516810417175293, 0.08634006977081299, 2.0680813789367676, 1.2560279369354248, 1.1352150440216064, -0.8100342750549316, -0.015271365642547607, -1.6489304304122925, 1.686424970626831, 0.6746878027915955, 0.3318158686161041, -0.554933488368988, -1.5914984941482544, -0.6772666573524475, -0.4369431436061859, 0.6224827766418457, -1.0082056522369385, -2.088162660598755, 2.0267608165740967, -2.295861005783081, -0.15015503764152527, 0.6735231280326843, 0.916083812713623, -1.199698567390442, -0.16199183464050293, -0.020229235291481018, 1.0126733779907227, -0.9165710806846619, 0.7518298625946045, -0.8992129564285278, -0.06982265412807465, 1.2775828838348389, -1.226167917251587, 0.9499251842498779, -2.391918897628784, -1.2366771697998047, -1.5338338613510132, 0.0073044151067733765, -1.0349578857421875, -0.04653686285018921, -0.9704656600952148, 0.607038140296936, -0.7405890822410583, -0.8396213054656982, 0.5588908195495605, -0.1526700258255005, -0.8659959435462952, 1.3570090532302856, 0.5604829788208008, -1.5957918167114258, 1.10667085647583, 0.5256549119949341, -0.4508018493652344, 0.8107564449310303, -0.7186897993087769, 0.31946611404418945, -0.8099740743637085, -0.24264901876449585, -0.3712729215621948, 0.6599651575088501, -2.324894428253174, -1.2186352014541626, 2.6242687702178955, 1.481851577758789, -1.886445164680481, 0.5877586603164673, -0.48696306347846985, 0.3167280852794647, -0.03639882802963257, -0.37861526012420654, 0.10518531501293182, -0.023711293935775757, -1.2551630735397339, 0.9851716756820679, 0.47794145345687866, -0.34095528721809387, -0.10108789801597595, -2.1424291133880615, -0.8999321460723877, -1.8394992351531982, 1.0414179563522339, -2.0781233310699463, 0.15159687399864197, 2.0311832427978516, 1.2459741830825806, 1.6325010061264038, -0.2957032322883606, -0.9184182286262512, -0.9805918335914612, -1.291245937347412, -0.0452275276184082, -2.030900239944458, 0.9345988631248474, -0.42660343647003174, 0.38288581371307373, -0.5856749415397644, -0.9784995317459106, -1.1205785274505615, -1.0725750923156738, -1.6733791828155518, 1.548349142074585, -0.4039846658706665, 0.8018884658813477, 0.040515005588531494, 2.7734737396240234, -0.8524696826934814, 0.3286667764186859, 2.8360979557037354, -0.4445238709449768, -0.3433505892753601, -0.10539045929908752, -0.4352123439311981, -1.4317476749420166, -0.5417471528053284, 1.0698322057724, -0.13429442048072815, 0.25512412190437317, 1.9610812664031982, -1.4231109619140625, 0.7601694464683533, -0.20874100923538208, -0.39922547340393066, 0.2362057864665985, 2.168590545654297, -0.2823770046234131, -0.7388042211532593, 0.07126714289188385, 1.078214168548584, -0.9346065521240234, 0.37584030628204346, -0.722296416759491, 0.9204840660095215, -0.5238734483718872, -1.546036720275879, 0.37987077236175537, 1.4154026508331299, 1.0749659538269043, 0.9645572304725647, 1.9380792379379272, -0.15411952137947083, 0.26475366950035095, -1.398406982421875, 0.37608516216278076, -0.7064774036407471, 0.43466079235076904, -1.2758605480194092, -1.60140061378479, 0.3762747049331665, 0.10221192240715027, -0.9655226469039917, 1.39864182472229, -0.9239463210105896, 0.35964709520339966, -1.000052809715271, 0.805129885673523, -0.7772634029388428, 0.40355831384658813, 0.3338989317417145, -0.32068049907684326, -0.8808202743530273, 1.064534306526184, 0.5072950720787048, -0.04173515737056732, -1.1016883850097656, -1.0628567934036255, 1.718901515007019, -0.851952314376831, -0.28899407386779785, -0.28541940450668335, -1.1492170095443726, -0.7481800317764282, 0.5919776558876038, -0.13677771389484406, -0.02074247971177101, 0.6721542477607727, -1.1262000799179077, 1.6391035318374634, 0.5889097452163696, -0.13291248679161072, -0.2645013630390167, -0.1374611109495163, 1.1894454956054688, 1.7862441539764404, 0.2452123761177063, 0.2165256291627884, -0.10662300139665604, 1.7712291479110718, 0.9763493537902832, -0.3257273733615875, 0.7494229674339294, 0.7516029477119446, -2.336357355117798, 1.8615636825561523, -0.8772395849227905, 1.7627168893814087, 2.0427193641662598, -0.18113669753074646, 0.7390871047973633, 0.4797648787498474, -2.0257010459899902, 0.19601285457611084, -1.4109023809432983, 0.05857503414154053, -0.3229137659072876, -1.1232365369796753, 0.12684816122055054, 0.6618789434432983, 0.04404275119304657, 1.150007963180542, 0.1363525539636612, 0.36816954612731934, -1.2307085990905762, 0.30815717577934265, -0.5622420310974121, 0.24969379603862762, 0.2804255187511444, -1.870215892791748, -0.5538569688796997, -0.5451791882514954, -0.6837337017059326, -1.9207905530929565, -0.9104546308517456, 1.8275039196014404, -0.3430502414703369, -0.3561214208602905, 0.2645956575870514, -1.5244207382202148, -0.3647538721561432, 0.6531186103820801, 0.5554582476615906, 0.08536350727081299, -1.0558550357818604, -0.9888015985488892, -0.03611350059509277, 0.7308785319328308, 2.192932367324829, -1.913604974746704, -1.2563773393630981, 0.6590953469276428, 1.3270982503890991, -0.032402388751506805, 0.18745851516723633, 0.5655080080032349, 0.5919226408004761, -0.3479205369949341, 0.034391939640045166, 0.9435868859291077, 0.1541835069656372, -1.9489234685897827, 1.7326023578643799, 1.0259590148925781, 0.24876627326011658, -1.0634068250656128, -1.2550442218780518, 0.6216419339179993, -0.6294782161712646, 0.12894049286842346, -2.553502321243286, 1.441894769668579, 0.9183392524719238, 0.4273906350135803, -0.0965442955493927, -1.4768280982971191, -0.9643045663833618, 0.31945475935935974, 0.39421898126602173, 0.007942765951156616, 0.3277274966239929, 0.7397446036338806, 1.4428880214691162, -1.8060719966888428, 0.6698952913284302, 0.5670657157897949, -1.972041130065918, 0.15092593431472778, -0.370613157749176, 0.9960005283355713, -0.8194012641906738, -0.1987464725971222, -0.542807400226593, -1.3273530006408691, 0.18837666511535645, 0.16262847185134888, -0.6455593705177307, -1.3731237649917603, 0.7838439345359802, -0.6716908812522888, 0.4651835262775421, -1.1583499908447266, 0.07850337028503418, 2.0687360763549805, 1.3004429340362549, 1.256696105003357, 0.18761034309864044, -1.2007602453231812, 0.5869839787483215, -0.17147955298423767, 1.9300510883331299, 0.07209897041320801, -1.033864140510559, -0.6595916748046875, -0.7387818098068237, -0.6522486209869385, -0.9442176818847656, -1.56515634059906, -1.5653808116912842, -0.052664756774902344, -0.9047913551330566, 2.02449631690979, 1.2303814888000488, -0.5852144360542297, 0.11486631631851196, -0.5367591381072998, -1.4243484735488892, 0.6773200035095215, -1.039574146270752, 2.63614821434021, -1.4236040115356445, -0.6481150388717651, -0.8288865685462952, -0.03425997495651245, 0.515681803226471, 0.9506253004074097, -2.0657849311828613, -1.273208737373352, -0.3341078460216522, 0.25363093614578247, 0.11433910578489304, -2.1486899852752686, 1.4653000831604004, 0.06412908434867859, -0.6202632784843445, -0.36518609523773193, -1.9121564626693726, -1.2525014877319336, 1.0126681327819824, 0.3645317256450653, 1.0766316652297974, -0.9271180629730225, 1.7345304489135742, -2.0138120651245117, 1.5872737169265747, 2.192056894302368, 0.07396745681762695, 1.2178722620010376, -0.36132580041885376, 0.24092143774032593, 0.1942380964756012, 0.3397212624549866, -0.19573968648910522, -1.715756893157959, 1.0586533546447754, 2.3396499156951904, 0.45380547642707825, 0.9143000245094299, -0.5185763835906982, -0.21127155423164368, 0.4436447322368622, 0.02684563398361206, 0.887639582157135, -0.3939429223537445, -0.0981605052947998, 1.4132614135742188, 0.9243191480636597, -1.792539119720459, -0.5314226150512695, -0.3122437596321106, -1.0328667163848877, -0.04677829146385193, -0.7679373621940613, 0.3839852213859558, 0.3574976623058319, -1.139101266860962, -1.051404356956482, 0.29568344354629517, 0.7029573917388916, 0.6101859211921692, 0.0041237473487854, 0.49855268001556396, -0.14085225760936737, -0.3120148479938507, -0.8325746655464172, 0.45115023851394653, -0.2278863787651062, -0.1454281210899353, 1.0305585861206055, -0.5437052249908447, -0.9017563462257385, -1.6484266519546509, 0.0479922890663147, 0.5276367664337158, 0.3161204159259796, -0.09819474816322327, -0.398000568151474, -2.041581153869629, -2.30706787109375, 2.1477346420288086, 0.539619505405426, 1.125880241394043, -0.9311553239822388, -0.020924419164657593, 0.2523033022880554, 0.27795231342315674, 0.0758744478225708, 0.9061996340751648, 3.4735264778137207, -1.5936516523361206, -0.467018187046051, 0.5069770216941833, 0.05687999725341797, 0.4632098078727722, -1.274104118347168, 2.291005849838257, 0.0074523091316223145, -1.2340047359466553, -1.599648118019104, 0.5980497598648071, 1.4510092735290527, -0.7318911552429199, -0.9366615414619446, 0.323955237865448, 0.3972838222980499, 1.629131555557251, -0.6437468528747559, 0.9607397317886353, 0.44549161195755005, 1.800329566001892, -1.554228663444519, -0.41161540150642395, 0.6506251096725464, 1.814716100692749, -0.42517751455307007, -0.21012839674949646, -0.8090988993644714, 0.2562800645828247, 0.47850605845451355, 0.44123297929763794, -0.6771644949913025, -0.5924792289733887, -0.28376680612564087, -0.14368636906147003, 0.870570182800293, -0.34553998708724976, 0.11158420145511627, 1.909347414970398, 1.5774781703948975, 0.3781302571296692, 0.14366212487220764, -0.39998745918273926, 1.3691027164459229, 0.8549723625183105, -0.23984044790267944, -0.10286939144134521, -2.8203585147857666, -0.4674856662750244, -0.32861101627349854, -0.9374839067459106, 0.11971399188041687, -0.82741379737854, -0.8193306922912598, -0.7291048765182495, -1.8793283700942993, -0.961923360824585, -1.187898874282837, 0.040956899523735046, 1.3808788061141968, 1.1108816862106323, -0.4059012234210968, 0.5300351977348328, -0.8059443235397339, 1.3464837074279785, 0.7561948299407959, 1.519094705581665, -1.1243840456008911, 1.0173863172531128, -1.2928413152694702, -0.046955406665802, -0.6367080211639404, -2.5435056686401367, 1.1454253196716309, 0.602878212928772, -2.7772374153137207, 0.29287463426589966, 1.0806127786636353, -0.5752434730529785, -0.22326913475990295, 1.9989969730377197, -0.04582822322845459, -0.22347572445869446, 0.5263015627861023, 2.142439365386963, -0.10724705457687378, 0.6528266668319702, 1.480082392692566, -0.5122614502906799, 0.37826624512672424, -0.32658183574676514, 1.3994698524475098, -0.969692051410675, -1.3918708562850952, -0.819121241569519, -0.12098127603530884, -1.0789833068847656, -2.223118305206299, 1.8507308959960938, -2.079784870147705, -0.1736128181219101, -0.08430352807044983, -0.8999945521354675, 1.0371716022491455, 0.8284103870391846, -0.18176496028900146, 0.1934872269630432, -0.8172650933265686, -3.031621217727661, -0.5794273614883423, -1.2709095478057861, -0.4425233006477356, -1.7752463817596436, 2.114291191101074, -2.2236907482147217, 0.11917459964752197, 0.9365009069442749, -1.3177127838134766, -0.6925630569458008, 0.020304590463638306, 0.34694093465805054, 0.6884918808937073, -0.3957751393318176, -0.7342161536216736, -0.9291764497756958, -0.6421106457710266, -1.0524171590805054, -1.8192880153656006, -1.1684352159500122, -0.7063382863998413, -1.340667724609375, 0.9588998556137085, -0.2461361587047577, -1.0955055952072144, -2.362804889678955, -0.7708003520965576, 0.22359061241149902, 0.5119814276695251, 0.229116290807724, 0.7610574960708618, 0.9473813772201538, 0.43529272079467773, 0.3544534146785736, -0.8511452674865723, 0.6186153888702393, 1.1250648498535156, 1.7110562324523926, -0.8324293494224548, 0.9036876559257507, 0.9926341772079468, 0.36783137917518616, 1.7367898225784302, -0.45394784212112427, 0.8141373991966248, 1.4456233978271484, 0.7516019344329834, 0.8184614777565002, -0.9922939538955688, -0.11898970603942871, 1.0721518993377686, 0.4724682867527008, 1.1534063816070557, 1.8505539894104004}; +static float axpy_GR_dram[16384] __attribute__((section(".data"))) = {-0.7331215143203735, 1.8918426036834717, 0.5114392638206482, -0.904402494430542, 0.3320249021053314, 0.6235462427139282, 0.2474789172410965, 2.3237860202789307, 0.8587915301322937, 1.4702259302139282, 0.15433849394321442, -0.16641150414943695, -1.7971484661102295, 1.2934759855270386, -0.5108513236045837, 0.2202078402042389, 3.135197162628174, -1.2625712156295776, -0.4179280400276184, -0.6219596862792969, -2.1877434253692627, -0.4601166844367981, 1.5428831577301025, -0.6977543234825134, 0.428882360458374, 1.4447722434997559, 0.9736112356185913, 0.8152753710746765, -0.32413554191589355, 0.643765389919281, -0.19494646787643433, -3.6571431159973145, 0.19327616691589355, 2.2684977054595947, 0.2228802740573883, 0.1797567903995514, 0.883449137210846, 0.3827080726623535, -0.6531281471252441, 0.09554298222064972, -0.24649080634117126, -1.100029468536377, -1.1625044345855713, 1.0820953845977783, 0.6018611788749695, 0.4697455167770386, -1.8677765130996704, 0.43067294359207153, 0.13113605976104736, 0.48479604721069336, -0.19969084858894348, 0.2131764143705368, 1.1129519939422607, 0.3953911364078522, 0.6137732863426208, -1.8845806121826172, -0.2941191792488098, -0.47038447856903076, 0.6540284156799316, -1.0365705490112305, -0.10494386404752731, 0.4063304662704468, -1.7824914455413818, 0.22541308403015137, 1.3153935670852661, -0.044773221015930176, 0.15778285264968872, 1.3893431425094604, 0.3928524851799011, 0.4440084397792816, -0.6073858737945557, 1.7519234418869019, -1.0085291862487793, 0.41215211153030396, 0.22927610576152802, 1.8977704048156738, 0.10830121487379074, 1.3131036758422852, -1.265209436416626, -2.1029036045074463, 0.16054667532444, -0.08959449827671051, 0.11959904432296753, 0.8285271525382996, 1.8795253038406372, -0.6458792686462402, 1.067729115486145, -1.1939985752105713, 0.10786979645490646, -0.2708967328071594, -0.546505331993103, 0.4989009499549866, 0.0976032018661499, -0.7065203785896301, 0.799721360206604, 1.5972912311553955, -0.5773298740386963, 1.2506521940231323, -0.45628154277801514, 0.23423025012016296, -0.18136876821517944, 2.993708610534668, 0.23163199424743652, -0.34189295768737793, 1.743666648864746, 2.9235494136810303, 0.8585062026977539, 0.3188978135585785, 0.7465423345565796, -0.37707340717315674, 1.0190645456314087, 0.07673635333776474, -0.6336302757263184, -0.08679713308811188, -0.8267913460731506, -0.096438929438591, -0.601543664932251, 1.3253846168518066, 0.7258281111717224, -0.7231889963150024, -1.7341642379760742, 0.32330048084259033, 0.38681483268737793, 1.306764006614685, -1.6260709762573242, 0.09032656997442245, 1.0313286781311035, 0.32001274824142456, 1.5294276475906372, -0.3349561095237732, 0.1174132227897644, 0.1940295398235321, 0.2390904426574707, 0.9395358562469482, -0.19349884986877441, 1.5885974168777466, -0.23952370882034302, 0.41915059089660645, -0.6563066244125366, -0.3546038568019867, 0.28017547726631165, -1.7006893157958984, -0.6090608835220337, -0.9334568977355957, -0.7777180671691895, 1.06105637550354, 1.0041083097457886, 1.9777021408081055, -0.2474232316017151, 0.11300568282604218, -0.9379635453224182, -1.1851928234100342, -0.030992329120635986, -1.6613860130310059, 1.052650809288025, 1.0538045167922974, -1.3648929595947266, -1.9958386421203613, -1.2078847885131836, -1.5999813079833984, -2.441988945007324, -0.4936446249485016, 1.0449308156967163, 1.0642690658569336, 0.781543493270874, 0.18646416068077087, 0.062497418373823166, 1.3014806509017944, -0.3841700553894043, -0.08951205015182495, 1.7395681142807007, 1.1688361167907715, -0.8988550305366516, -0.3141767382621765, 0.14746248722076416, -0.5828020572662354, -2.1225244998931885, 1.815366506576538, 1.4251282215118408, -0.6492358446121216, 1.1025201082229614, -0.46011945605278015, -1.0574631690979004, 0.7201299667358398, 0.17542825639247894, -0.5221239328384399, -1.6575850248336792, -0.856074333190918, 0.006335914134979248, 0.21665197610855103, -0.2955642640590668, 0.8752299547195435, 0.7486774921417236, 1.0023047924041748, -1.1683372259140015, -0.7288846373558044, -0.9509384632110596, 1.6000924110412598, -0.7922486662864685, -1.4849436283111572, 0.48125994205474854, -0.508884847164154, 0.06286324560642242, 0.6647831201553345, 0.2147262543439865, 1.6234558820724487, 0.9922029972076416, -0.21483635902404785, -0.08354903757572174, -0.5130374431610107, -1.1795637607574463, -1.857438087463379, -0.628534197807312, -0.5164150595664978, 0.4239876866340637, -0.11089634895324707, -0.6211437582969666, -1.381077527999878, 1.9432690143585205, 0.36067822575569153, 0.45565956830978394, -0.1777832806110382, 0.31516405940055847, 0.19599369168281555, 0.6325719356536865, 0.6771636605262756, 0.5007633566856384, 0.25208207964897156, 1.3701145648956299, -0.5744951963424683, -0.17719754576683044, 0.8361497521400452, 0.5973774790763855, 0.06321954727172852, 0.4615935683250427, -1.6531399488449097, 0.4948195219039917, 0.48084768652915955, 1.1333140134811401, -0.3340693414211273, 0.7602959871292114, 0.5454795956611633, 0.931982696056366, 0.644788920879364, -0.9927353858947754, 0.1504262536764145, -0.34290292859077454, 1.8586047887802124, -0.061225950717926025, 0.33060699701309204, 2.134014129638672, 0.1510971337556839, -0.2928423583507538, 0.421535849571228, -0.9343850016593933, -0.099286749958992, -0.9726694226264954, 0.9616515636444092, -0.5787035226821899, -0.03404831886291504, -0.3418534994125366, -0.012685760855674744, 0.8358181118965149, 0.8816347122192383, -1.4066141843795776, -0.04536035656929016, 0.9279475212097168, 0.7698281407356262, 2.0614523887634277, 0.6173325777053833, 1.7439093589782715, 0.03519953042268753, -1.0457135438919067, -0.6373569965362549, -3.012094020843506, 1.0116621255874634, 0.6007848381996155, 0.6935584545135498, -0.49948930740356445, 1.0329320430755615, -0.03552138805389404, 0.909697413444519, -0.3921453356742859, 0.10859186947345734, 1.231244683265686, 0.28847306966781616, 0.9237231612205505, -1.2948232889175415, -0.6468061208724976, 0.6180106997489929, 0.975452184677124, 1.7894079685211182, 0.951498806476593, 0.6421701312065125, -0.27977272868156433, 0.41148319840431213, 2.467487335205078, -0.7072304487228394, -0.09763160347938538, -0.037800729274749756, 0.17789655923843384, -1.2216060161590576, -2.370185375213623, 1.130204677581787, 0.37795859575271606, 0.3676666021347046, -1.4122642278671265, -0.7216264009475708, -1.3256778717041016, -0.013437032699584961, -1.4504098892211914, -1.0793874263763428, -1.800610065460205, -0.1940026879310608, -0.4108343720436096, -1.4807875156402588, -0.11989083886146545, 0.5138532519340515, -0.31015172600746155, -0.46819382905960083, 0.45630332827568054, -0.9504156112670898, 1.117953896522522, -1.0853583812713623, 0.27671727538108826, 0.7855215668678284, -0.6033886075019836, 0.42169103026390076, 0.7451820969581604, 0.8105179667472839, -1.0967442989349365, 0.0033069849014282227, 1.3195152282714844, 0.7026633024215698, -0.5314726829528809, -0.17782524228096008, 0.026526987552642822, 0.6746550798416138, -2.1758506298065186, -1.103527307510376, -1.4861087799072266, -0.004429817199707031, -0.04480019211769104, 0.7573074698448181, 0.39406818151474, 2.4712750911712646, 0.21357306838035583, -0.5263766050338745, 0.10270050168037415, -1.6319383382797241, 0.1763276904821396, -0.5026060342788696, -0.9026041626930237, -1.812423825263977, -1.3963805437088013, -0.3322407901287079, -0.1953366994857788, 1.761117935180664, -1.4983388185501099, 0.9062155485153198, 0.05494999885559082, -0.45786505937576294, 2.0368058681488037, -0.488666832447052, -0.7051011323928833, 0.015041515231132507, 1.4543784856796265, 1.6002423763275146, 0.6224560141563416, 0.08572761714458466, 0.5030869841575623, 0.3851279020309448, -0.15164880454540253, 0.6357038617134094, -0.05996522679924965, -0.3476751148700714, -1.4681930541992188, -0.3649781346321106, -0.7384711503982544, 0.46114635467529297, -1.0302776098251343, 0.46507740020751953, 0.8659602403640747, 0.3197392523288727, 0.07115249335765839, -2.290405511856079, 1.520488977432251, 0.5404987931251526, 1.4761967658996582, -0.7946354150772095, 0.633338212966919, 0.34541112184524536, -0.06835617125034332, 0.6010787487030029, 0.9074891805648804, -1.2955986261367798, 1.5475640296936035, 2.9675381183624268, -0.5275607705116272, 1.5840071439743042, 1.2744253873825073, -0.549843430519104, 0.07562857866287231, -2.584761619567871, 0.21647174656391144, -0.780022144317627, 0.7677961587905884, 1.9531885385513306, 0.04368285834789276, -0.6399641633033752, 0.9091212749481201, 0.07210689783096313, -1.7523561716079712, -1.0456370115280151, -0.2924044132232666, -0.4042091965675354, -0.7598017454147339, 0.026907354593276978, -1.1527767181396484, 0.5888433456420898, 0.7206168174743652, -1.6090705394744873, 0.8420432806015015, 0.23043093085289001, 1.2249118089675903, 0.17299148440361023, -0.4374370872974396, -1.2417534589767456, 0.04075503349304199, -0.4232739210128784, -1.816859245300293, 0.2823460102081299, -0.7066245079040527, 0.2552703619003296, -0.09300416707992554, 2.3624093532562256, 1.0305910110473633, -0.41679900884628296, 0.14617885649204254, 0.2725936770439148, -0.8100523948669434, -1.4062528610229492, -0.7804906368255615, -2.025883674621582, 1.6241563558578491, 0.2436201423406601, 0.5278657078742981, 0.5638159513473511, -1.3152440786361694, 0.8885815143585205, 0.10974705219268799, -0.8486016988754272, -1.3512296676635742, -2.39028000831604, 0.7169609069824219, -0.1882448047399521, 1.238256812095642, 0.7789041996002197, -0.32709822058677673, 0.8213919401168823, 1.1180816888809204, 0.9604438543319702, -0.664997935295105, 2.180603504180908, 1.2801032066345215, 0.7629693746566772, -0.3815062344074249, 0.2615987956523895, 2.246957778930664, -1.1199995279312134, -1.3207435607910156, 0.14027485251426697, -0.25449952483177185, 0.8480695486068726, 0.5901684761047363, -1.731423258781433, 0.3501179814338684, 0.909431517124176, 0.8978226184844971, -1.1749083995819092, 0.6416780948638916, -0.3140797019004822, -0.9953389167785645, -0.3978304862976074, 0.4015410542488098, -0.7529969215393066, 0.1021541953086853, -0.6686209440231323, 0.8562378883361816, 0.13673582673072815, -1.6753478050231934, 0.44878917932510376, -0.05692054331302643, 1.029813528060913, -0.018143534660339355, 0.30711230635643005, 0.8879200220108032, -0.9199073314666748, -1.89186692237854, 1.0937572717666626, -0.7996702790260315, 1.5877244472503662, 0.15924248099327087, 0.25379976630210876, -0.31030964851379395, -0.486774742603302, 1.4982481002807617, -0.5303281545639038, -0.705405592918396, 2.550605535507202, -1.2463068962097168, 1.4217134714126587, -0.1291179358959198, 2.3013033866882324, 0.3345811665058136, 0.869281530380249, 1.3504301309585571, -1.025353193283081, -0.2321264147758484, 0.6927525997161865, -0.12375468015670776, 0.6723867654800415, 0.17311349511146545, -0.05947069823741913, -1.0564519166946411, 0.46366193890571594, 0.5132960081100464, 1.5872905254364014, -0.14805293083190918, -1.812816858291626, 0.5608649253845215, -0.15423229336738586, 0.5835261344909668, -1.1917606592178345, -0.736759603023529, -0.9197105169296265, 1.2447913885116577, -0.18266093730926514, -1.3707283735275269, 2.0077288150787354, 2.656680107116699, 0.6277810335159302, -0.729034960269928, -0.23554307222366333, -2.5532214641571045, 0.057630326598882675, 0.861174464225769, -1.6803488731384277, -1.2930617332458496, -0.18222816288471222, 0.22115755081176758, 0.3242095708847046, 0.5649712085723877, -0.6774142980575562, -0.49652695655822754, 0.9480444192886353, 0.8504214286804199, -0.4850177466869354, 0.24900680780410767, 1.1687778234481812, 1.0341544151306152, 0.9633508324623108, -1.4877716302871704, 0.5445134043693542, -0.5943174958229065, 0.7422311305999756, 0.3825224041938782, -0.6064393520355225, -1.0493881702423096, -0.8272863030433655, 1.176682710647583, 0.9205241799354553, 1.2461127042770386, -1.3600380420684814, -1.117820382118225, 0.9585302472114563, -1.930945634841919, 0.5028394460678101, 1.1582434177398682, 0.5523319244384766, -1.5831894874572754, -0.9129830598831177, -1.458788275718689, 0.4836443066596985, 0.17913398146629333, 0.3007035553455353, 0.468066930770874, 0.031062506139278412, 0.4890138804912567, -0.6311303973197937, -0.8096520304679871, -0.43299832940101624, 0.8274683952331543, 0.5767326354980469, 0.059523046016693115, 0.41264867782592773, -1.2315220832824707, -0.9418061971664429, -0.6281483173370361, -0.3692038059234619, 0.018628939986228943, -0.612410306930542, 1.0216751098632812, 0.3623846769332886, -0.2859376072883606, -0.8397454619407654, 1.4920241832733154, 0.48975658416748047, -1.1530699729919434, 1.1007473468780518, 0.01128813624382019, 0.9298985004425049, 0.5709566473960876, -1.251490831375122, 2.1354126930236816, -0.08607236295938492, -1.3408353328704834, -0.027392446994781494, 1.1550204753875732, -1.2965106964111328, -0.9371095895767212, 1.5589362382888794, -1.2075036764144897, 1.5089805126190186, -0.8958786129951477, 1.426069974899292, -0.6004778742790222, -0.26890259981155396, -0.42887842655181885, -0.7581815719604492, 0.8399995565414429, -0.23619771003723145, -0.2307387888431549, -1.6293294429779053, -1.3335050344467163, 1.552215337753296, 0.028855687007308006, 1.999657392501831, -1.1546845436096191, -0.5175256729125977, -0.6077321767807007, -0.022460367530584335, 0.21116435527801514, -0.858334481716156, 1.5194119215011597, -0.23631061613559723, -1.2603904008865356, -1.073492407798767, -0.8933814764022827, -1.0048719644546509, 0.0023093819618225098, 0.7945256233215332, 0.7105231285095215, 0.15661761164665222, -1.172768473625183, -2.5855367183685303, -1.6893575191497803, 0.09765578806400299, 0.03196049481630325, -1.226884365081787, -0.16021212935447693, -0.16616427898406982, 0.5469016432762146, -0.24827057123184204, -1.1278645992279053, -0.6608017086982727, -1.7344837188720703, -0.4115684926509857, -0.6505687236785889, -0.45555174350738525, 0.1177394837141037, 0.8398466110229492, 0.2835503816604614, 0.12702906131744385, -1.2137116193771362, -1.1161447763442993, -1.8417397737503052, 1.0931921005249023, 1.28397536277771, 0.1843223124742508, -1.0957804918289185, 0.16105540096759796, -0.35349082946777344, -3.062448501586914, -0.7032161951065063, -0.3053814172744751, -0.6509722471237183, 2.154998779296875, 2.085336446762085, 0.4268151521682739, -0.5006571412086487, -0.028031885623931885, 1.0594021081924438, 2.2203922271728516, -0.09803696721792221, 0.28742849826812744, -0.3142453134059906, 0.13036218285560608, 0.04099386930465698, -1.5225781202316284, -1.1333523988723755, 0.7401434183120728, -1.3864991664886475, 1.2392622232437134, -1.2689956426620483, -1.5989958047866821, -0.7087392807006836, 1.4770264625549316, 0.8447731733322144, -0.15982842445373535, -0.6390411257743835, -1.470052719116211, 1.2640491724014282, -0.846853494644165, -0.09608638286590576, 1.4362989664077759, 0.8762681484222412, -0.8354078531265259, 1.6671584844589233, 0.28766992688179016, 1.3531955480575562, -0.5338529348373413, 1.9759422540664673, 0.938850998878479, -0.9801687598228455, 0.23160871863365173, 2.62186861038208, 0.6302381753921509, 2.156975507736206, 2.4518086910247803, -0.4987448453903198, -1.5595935583114624, -1.3708724975585938, -1.0139515399932861, -0.07830515503883362, 0.0111054927110672, 0.23287227749824524, 0.8645305633544922, 0.4008376896381378, 0.30297285318374634, -0.3157574534416199, 0.5265936851501465, -1.439476728439331, -2.085922956466675, 1.587137222290039, -0.1820954531431198, -2.0399255752563477, 1.1350584030151367, 3.1877517700195312, -0.5365620851516724, 0.8049309253692627, -1.03098726272583, -1.4952504634857178, 0.7410257458686829, -0.4618232250213623, 1.410937786102295, -0.9715007543563843, 0.7641716599464417, 0.4754326045513153, -0.3860738277435303, 0.8591268062591553, -1.0505294799804688, -0.1969749927520752, -1.3855608701705933, 0.6215174794197083, -1.7862739562988281, -0.6507749557495117, -0.8612536787986755, 0.9535750150680542, -1.3924933671951294, -0.5914004445075989, 1.261181354522705, -0.783724844455719, 0.4992051124572754, -0.6206467747688293, -0.003785461187362671, 0.8894118070602417, -0.6401121616363525, -0.8668293952941895, 0.6885328888893127, -0.34018203616142273, 0.7324551343917847, -1.8544807434082031, -1.0247180461883545, -0.8511939644813538, -0.7648818492889404, -0.02371320128440857, 0.24789384007453918, 1.294468879699707, 0.27540576457977295, -0.6283762454986572, 0.1236310601234436, 0.9960215091705322, -2.8625075817108154, -1.4369169473648071, 0.936028778553009, -0.44194239377975464, 1.4663022756576538, 0.4896256923675537, -0.46594443917274475, -0.19202706217765808, 2.6044232845306396, 0.7507373690605164, 1.0278626680374146, -0.20429964363574982, 0.5308202505111694, 0.5187535881996155, -0.990278959274292, -0.4141674041748047, -0.030531734228134155, 0.2378568947315216, -1.3238059282302856, 1.0063295364379883, 0.25250282883644104, -2.958338499069214, 1.2080796957015991, -0.6962461471557617, -0.11600357294082642, -1.6933153867721558, -1.3631380796432495, 1.1351572275161743, -1.3513619899749756, 1.388310432434082, 0.25500375032424927, 0.14385762810707092, -0.6978708505630493, 0.2693496346473694, 1.1291279792785645, -0.5541137456893921, 1.9523323774337769, -0.48203039169311523, -0.16376417875289917, -0.06427942961454391, -0.5396575927734375, 0.8676753044128418, 1.3925344944000244, -0.2573409080505371, 0.7100447416305542, -0.5715619921684265, 0.33294063806533813, 2.0825295448303223, 1.215746521949768, 1.3263670206069946, 1.207221269607544, -0.10319611430168152, 0.23549869656562805, 0.4976864457130432, 1.0390409231185913, 1.134597897529602, 0.01681649684906006, 0.5811664462089539, 2.2967379093170166, -1.1625179052352905, -1.5526299476623535, 0.4658091962337494, 0.504374086856842, -0.5339102149009705, 0.11664712429046631, -0.008125804364681244, 1.1457539796829224, -1.6096709966659546, 1.1611418724060059, 0.6253389716148376, 0.22146961092948914, -0.8114853501319885, -0.3061574101448059, 0.11443150043487549, -1.4350473880767822, -1.7013040781021118, -1.1610814332962036, -0.34933096170425415, 0.4015727639198303, -0.45283231139183044, 1.0107502937316895, -1.1720821857452393, -0.6921449899673462, -1.6675143241882324, 0.2327553629875183, -1.896856665611267, 0.55552077293396, 2.1318745613098145, -2.3635997772216797, 1.3186044692993164, -0.35673895478248596, -0.4979657530784607, 0.018217384815216064, 0.24060972034931183, -0.4677751660346985, -1.140980839729309, 0.496216744184494, -0.009358621202409267, 1.544140338897705, 0.5322068333625793, 0.4438404440879822, -0.8860745429992676, -0.9506009221076965, -0.37052586674690247, 1.9327809810638428, 2.0683960914611816, -0.3940610885620117, -1.2820727825164795, -1.4541471004486084, -0.39277148246765137, -0.34435275197029114, 1.5085225105285645, 0.8748364448547363, -0.15519587695598602, 0.17900824546813965, -0.9052853584289551, 2.256556510925293, 2.1691360473632812, -1.506806492805481, -0.4100726842880249, 0.41358262300491333, -1.2929848432540894, -0.7535859942436218, 1.1704047918319702, 0.43877604603767395, 1.1351208686828613, -1.7039214372634888, 2.710393190383911, -1.0094712972640991, 1.0917930603027344, -1.4982421398162842, 0.560640275478363, -0.7865119576454163, -0.44433245062828064, -1.9014192819595337, 1.1927703619003296, -0.4294976592063904, -1.1376334428787231, 0.17477665841579437, 1.6332731246948242, 0.15071821212768555, -1.0527276992797852, -0.5604339241981506, 0.5078811645507812, 1.0584396123886108, -0.3820655345916748, 0.4293215274810791, 1.4642384052276611, 0.3595834970474243, 0.38477110862731934, 0.6849043965339661, -1.7505989074707031, -0.16658347845077515, -0.3097228407859802, -0.053580835461616516, -4.5085835456848145, -2.227161407470703, 0.49142569303512573, 0.14529883861541748, -0.9049561619758606, -0.43120235204696655, -0.25926482677459717, 0.9260085821151733, 0.8078575134277344, -0.9855568408966064, -1.1219816207885742, 0.7935751080513, 0.08109426498413086, 1.3737010955810547, -0.13186007738113403, 1.6186563968658447, -0.8382569551467896, 0.8647583723068237, 0.7758790254592896, -2.5170907974243164, -1.0629158020019531, 0.7796342372894287, -2.0141444206237793, -0.37819233536720276, -0.9368836879730225, 1.4831242561340332, -0.9967280030250549, -0.24657538533210754, 0.24068017303943634, -0.3726049065589905, 1.9152045249938965, -1.8245383501052856, 0.0516989529132843, 1.34298837184906, -0.5063880681991577, -0.049526818096637726, 0.9695842862129211, -1.3801425695419312, -0.8459337949752808, -1.8579974174499512, -0.8554909229278564, 0.385700523853302, 0.7804571986198425, -0.42114898562431335, -0.4259490370750427, 0.6010086536407471, 0.5065269470214844, 0.23159080743789673, 0.7753083109855652, -0.8281002640724182, 0.9186862111091614, -0.3969988524913788, -0.3897360861301422, 0.30318206548690796, 0.9328970909118652, -0.0300464928150177, -0.7439373731613159, 0.2888627052307129, 0.8379854559898376, 1.043457269668579, -0.9809614419937134, -0.7156672477722168, 2.079394817352295, -1.2231694459915161, -0.38001590967178345, 0.24305854737758636, 0.20520314574241638, 1.4682472944259644, -0.11085501313209534, -0.46830642223358154, 0.4497416317462921, -1.6678483486175537, -1.2263139486312866, -0.635921835899353, -1.598681926727295, -0.16735589504241943, -0.8142105340957642, 1.4658504724502563, -0.42369404435157776, 0.1713109016418457, -0.5557224750518799, -0.3303234577178955, 0.9322013258934021, -1.2223912477493286, 0.9397724866867065, -0.2794800400733948, 0.5249043703079224, 2.269556999206543, 0.6147322058677673, 0.06363813579082489, 1.1806052923202515, -0.7225152254104614, -0.2826077938079834, 0.5639373064041138, 1.0251915454864502, -0.11927992105484009, 0.9829484224319458, -1.4644562005996704, 1.1921577453613281, 0.12604966759681702, -0.09902601689100266, 1.0307080745697021, 0.07622119784355164, 0.6653085947036743, 1.2842718362808228, -0.4516496956348419, -0.5739789605140686, -0.726858913898468, -0.25595730543136597, 1.709934949874878, -2.151883840560913, -0.8163269758224487, -0.5549975633621216, 0.18917113542556763, 1.5360279083251953, 0.14001186192035675, -0.223476842045784, 0.19703064858913422, 0.9648461937904358, -0.6402506232261658, 0.5325989723205566, -0.23318880796432495, -0.7013232707977295, -1.5902371406555176, -0.4852290451526642, -0.8062398433685303, -0.06129220873117447, 0.7385188341140747, -0.1884186565876007, 0.6081054210662842, -0.6041105389595032, 1.0933847427368164, 0.25529026985168457, -0.1406848430633545, 1.8473503589630127, 1.7106316089630127, -0.45298802852630615, 0.06598895788192749, 0.4083379805088043, 0.06724736094474792, -0.39616790413856506, 1.4972615242004395, 0.23227596282958984, 0.5076027512550354, -3.0470499992370605, 0.01501331478357315, 0.6192904710769653, 1.5846384763717651, 0.403298556804657, 0.3811532258987427, 0.6435822248458862, 1.7797931432724, -0.9558745622634888, -0.8436803817749023, -0.11927211284637451, -0.6549148559570312, -0.5736699104309082, -1.6232165098190308, 0.18039840459823608, -0.15354612469673157, 0.579870879650116, -1.2569470405578613, -0.3301622271537781, -0.347954660654068, -0.3203633427619934, -0.08612917363643646, -0.22910606861114502, 0.024038374423980713, 0.7034642696380615, -0.009403228759765625, 1.0242152214050293, 0.12289028614759445, 0.9546129703521729, -2.720968246459961, 1.0210297107696533, 0.1037311851978302, -0.6348046660423279, 1.0502204895019531, 2.0061545372009277, -1.5158917903900146, -0.1820133924484253, -1.4263455867767334, -0.7929126620292664, 0.12896111607551575, -0.3408268392086029, -0.5700436234474182, -0.8577724695205688, 0.32898736000061035, -0.15548235177993774, 0.12317630648612976, 1.2034159898757935, 1.0267705917358398, -0.07802204042673111, -0.46673181653022766, 0.058778345584869385, 0.812275230884552, 0.07146365940570831, 1.0297470092773438, -1.4489418268203735, -0.2363031506538391, -2.683645725250244, -2.0234808921813965, 0.07459229975938797, -1.5143910646438599, -0.6178522706031799, -1.6705917119979858, -0.39953193068504333, 1.2640087604522705, 0.14638873934745789, -0.8425230979919434, -0.512615978717804, -0.13685566186904907, 0.048579469323158264, -0.24445053935050964, 1.0236343145370483, -0.03009726107120514, 0.06921336054801941, 0.4521220326423645, 0.9243870973587036, -1.3018923997879028, -0.5100836157798767, 0.6239013075828552, 0.03847566246986389, -0.06119963526725769, 1.488400936126709, 0.543049693107605, 0.00030726194381713867, -1.5511218309402466, -0.7348522543907166, -1.068727970123291, -0.4237132668495178, -0.7826939225196838, 0.7431397438049316, -1.113715410232544, -1.133994460105896, -0.7428511381149292, 0.16423428058624268, 1.7270309925079346, 1.874983549118042, -0.22440075874328613, -1.0250442028045654, 2.2239043712615967, 0.038239747285842896, -1.0465238094329834, -0.20766249299049377, 0.9939294457435608, 0.798909604549408, 0.3820047378540039, 2.419374465942383, -0.37437647581100464, -0.9943427443504333, 1.140964388847351, 0.27686169743537903, 1.356237769126892, 0.13457652926445007, 0.8469070196151733, -1.7914130687713623, -1.3684086799621582, 0.846109926700592, -0.8122327327728271, -0.10335473716259003, 0.49487099051475525, -1.165886402130127, -0.015608251094818115, 1.2325855493545532, 0.9528136253356934, 0.5451643466949463, -2.0601441860198975, 0.2053644210100174, 0.22272615134716034, 0.06488829851150513, 0.8859880566596985, 0.054079361259937286, 1.5285604000091553, -2.263963460922241, -0.8561822772026062, -1.7329394817352295, -0.35188400745391846, 0.11567510664463043, 1.60519540309906, 0.3109760582447052, 0.48093733191490173, -1.527339220046997, -0.7121846079826355, -0.9262942671775818, -1.4331705570220947, -1.1999495029449463, 0.23300999402999878, -2.3760571479797363, -1.791808009147644, -1.4830507040023804, -0.03458535671234131, 0.35577645897865295, 1.4376509189605713, -1.2190135717391968, -1.2199814319610596, -1.7040349245071411, 2.079841375350952, -0.9964533448219299, 0.8214124441146851, -0.537567675113678, -0.6995136737823486, 0.3739663362503052, 0.44466373324394226, -1.7251206636428833, 0.8469569087028503, -1.2500561475753784, 1.6755156517028809, 0.2137046903371811, -0.908297061920166, 0.6957395672798157, 0.922802209854126, -0.7845879793167114, -1.5160943269729614, 0.06016528606414795, 0.27891844511032104, -0.01797163486480713, -0.33537089824676514, 0.8269864320755005, -0.6215165257453918, 0.763336181640625, 0.08501607924699783, 0.1406852900981903, 0.16430729627609253, 2.827573776245117, -0.5764439702033997, 0.5618679523468018, 0.7389814853668213, -1.172813892364502, -1.5172693729400635, 0.3617725670337677, -2.03837251663208, 0.14576038718223572, 0.24549853801727295, -1.97097909450531, -0.8823782801628113, -0.994326114654541, -1.7240601778030396, -1.044250726699829, 0.19370684027671814, -1.1645371913909912, -0.6475037336349487, -0.9844279289245605, 0.955989420413971, -0.5825369954109192, 0.17067468166351318, -1.268646478652954, 0.2443830370903015, 1.3100489377975464, -0.3487388491630554, -1.0265791416168213, -0.5574493408203125, 1.4410686492919922, 0.112312912940979, -0.14423710107803345, 1.0005080699920654, -1.229933500289917, 1.0386494398117065, -0.41399380564689636, 0.6065092086791992, -1.6325889825820923, 0.2526542842388153, 0.6001891493797302, -0.036682531237602234, -0.6553186774253845, 0.037442684173583984, -1.6536900997161865, -1.529693841934204, -0.49936509132385254, 1.0099999904632568, 0.47816354036331177, -0.09132790565490723, 0.8250181674957275, -0.301783949136734, -0.8615462779998779, -0.928253710269928, 1.1508240699768066, -1.0239461660385132, -1.0206286907196045, -0.5071249604225159, -1.9185248613357544, 0.4335421323776245, 0.6778618097305298, 1.059730887413025, -0.7434537410736084, -0.7410551905632019, -0.7425079345703125, 0.4492952227592468, 0.26623356342315674, 0.8763822317123413, 1.0586984157562256, -0.45714107155799866, 0.6098046898841858, -1.0801489353179932, -0.5040311217308044, -0.44985082745552063, 0.24836215376853943, 0.3637244701385498, -1.3380101919174194, -0.14177685976028442, 0.06364502012729645, -0.592957615852356, -0.8255922198295593, -1.5090402364730835, 0.3392000198364258, 0.4449455142021179, -2.5407700538635254, 0.6556995511054993, -0.026419341564178467, 0.4256598949432373, 1.5238703489303589, 1.0527667999267578, -0.3878280520439148, 0.8529946804046631, -0.0627756118774414, 0.6834099292755127, -1.3011653423309326, -0.7478473782539368, 1.0693323612213135, -0.11870979517698288, 2.0228142738342285, 0.2692084312438965, 0.48416396975517273, 1.4530774354934692, -0.7412545084953308, 0.5641489028930664, -1.5413035154342651, 0.8293213844299316, -0.8853701949119568, 0.45240917801856995, -0.33407849073410034, 0.11111894249916077, -1.9912712574005127, -0.15897086262702942, -0.6508582830429077, 0.31433162093162537, 0.0344679057598114, 2.0659666061401367, -0.16917173564434052, -0.9508640766143799, -0.3266265094280243, -0.11416877806186676, -1.2537665367126465, -2.0678179264068604, 1.0275722742080688, 0.08610793948173523, -1.1256321668624878, -0.808739423751831, -1.4887852668762207, -0.023596279323101044, 1.2459174394607544, -0.33513563871383667, 0.523922860622406, 0.7533875703811646, 1.073154330253601, 0.64497971534729, 0.4891635775566101, 1.126408338546753, -1.7922364473342896, -1.5150047540664673, -0.9855315089225769, -1.1580450534820557, -0.6683783531188965, -0.5060867667198181, 0.01149451732635498, 0.14228013157844543, -1.178574562072754, 0.8637271523475647, 0.4589257836341858, 0.011954687535762787, -0.2772010266780853, -0.9886578321456909, -0.9001954793930054, 2.4862825870513916, 0.7661492824554443, 0.5528508424758911, -0.2820044159889221, 0.32955843210220337, -0.792482316493988, -0.7736488580703735, -0.7641813158988953, 2.2370338439941406, 2.584289789199829, 0.6581319570541382, -1.2419829368591309, -0.35006144642829895, -0.06062298268079758, -0.5196089744567871, -0.04405204951763153, 1.3324980735778809, -1.0241515636444092, 0.4695909321308136, -0.545853853225708, -0.5451880693435669, -0.3841582238674164, -2.819007396697998, -0.8409544229507446, 0.9906513094902039, 0.49282583594322205, -0.11226820945739746, -0.4856730103492737, 0.2674521207809448, 0.6542177796363831, -1.8541259765625, -0.7563738226890564, 1.15944504737854, 0.8121036291122437, 2.402594566345215, -1.08753502368927, -0.4452390670776367, 1.5535029172897339, -2.066643714904785, 1.2017619609832764, 0.13900664448738098, 2.3907337188720703, 1.0050313472747803, 2.484224557876587, -1.0285468101501465, 1.0452406406402588, -0.09743770211935043, -0.33450251817703247, -0.675071120262146, 0.40278226137161255, -0.6842573881149292, 0.5514159202575684, -0.8657805919647217, 1.8116490840911865, 0.16949665546417236, 1.5255980491638184, 0.04056890308856964, -0.11789759993553162, 0.6761795282363892, -0.947080671787262, -0.3824116289615631, -1.1002717018127441, -1.1796565055847168, 0.3998579978942871, -1.199547529220581, -1.0334171056747437, -0.15696966648101807, -0.5071837902069092, -0.20353880524635315, 1.1118978261947632, -0.5555733442306519, 1.5050599575042725, -1.9897843599319458, 0.4106328785419464, -0.8639251589775085, 1.0363802909851074, -0.8927163481712341, -1.5252366065979004, 1.485827922821045, 0.5585411787033081, 0.23117437958717346, -0.5461780428886414, 0.8145131468772888, 1.2596112489700317, -0.47338688373565674, -0.6244604587554932, 0.31757330894470215, 0.14401483535766602, 0.7554428577423096, 0.9783563017845154, -2.0259060859680176, -1.2513679265975952, -0.25728872418403625, -0.7728936076164246, -0.017915308475494385, 0.0026994049549102783, -0.27264851331710815, -0.3235052824020386, -0.13767167925834656, 0.301186203956604, -0.06851953268051147, 1.7458789348602295, 0.3523661494255066, 1.974829077720642, -0.274577796459198, -1.474191427230835, 1.893655776977539, 0.2572746276855469, 0.09016625583171844, -0.5031505823135376, -0.9418530464172363, -0.16223907470703125, 0.31483694911003113, 0.8120948672294617, -0.1869952380657196, -1.8242881298065186, -0.7590624094009399, -1.9025620222091675, -0.35772430896759033, -0.6871950626373291, 1.3286954164505005, -3.1382100582122803, -0.6176248788833618, -0.7386904954910278, 0.03507344424724579, 2.3151285648345947, -1.1672641038894653, -0.006929844617843628, 1.4811742305755615, 0.5321809649467468, -0.734846830368042, -0.8805755376815796, 1.569429636001587, 0.25438815355300903, 1.084796667098999, 1.5487008094787598, 1.277755618095398, 1.1286084651947021, -1.0325614213943481, 0.11224883794784546, -2.319185733795166, 0.03345954418182373, -0.37810468673706055, -0.1826692819595337, 0.7363792657852173, -0.8545964360237122, -1.0880402326583862, -0.04080023616552353, -0.7966828942298889, 0.5904970169067383, 2.063143253326416, 0.6940831542015076, -0.8021867275238037, 0.07164323329925537, -0.6157777905464172, 0.9283327460289001, -0.2991671860218048, 0.4165874123573303, 1.0658103227615356, 1.9388716220855713, -0.6483705639839172, -0.4159993827342987, -0.7076788544654846, -2.0865414142608643, 0.5192680358886719, -0.9801399111747742, -1.2260011434555054, -0.966708242893219, -2.246222972869873, 0.6217824220657349, 1.0491902828216553, 1.0153617858886719, 1.833176851272583, -0.059707850217819214, 1.1278139352798462, 0.06894892454147339, 1.5816090106964111, 0.895374596118927, -0.2014421671628952, -0.36406856775283813, -0.1105448454618454, -0.10791176557540894, -0.5671288371086121, -1.108129858970642, 1.7466500997543335, -1.3957998752593994, 1.3699020147323608, 0.4245161712169647, -0.3738340735435486, 0.03312509506940842, -3.163604736328125, -0.938198447227478, 1.2535903453826904, -0.9586407542228699, 1.2822823524475098, -0.23083093762397766, 0.48157528042793274, 0.3651065230369568, 0.3924599587917328, 1.0250056982040405, 1.2494583129882812, 1.6069787740707397, -0.282622754573822, 1.8240221738815308, 1.2273483276367188, 0.1889539361000061, 0.723006010055542, 0.6087639331817627, -0.35523322224617004, -0.2125398963689804, 0.864507794380188, -1.4801735877990723, 0.16008058190345764, -0.6362801194190979, 1.1793715953826904, 0.6986144185066223, 0.9728045463562012, 2.869398355484009, 0.3534793257713318, 0.11419881880283356, -1.1335887908935547, 0.7887170314788818, 0.9213573932647705, -0.61122065782547, 0.8169351816177368, -0.11908354610204697, 1.1507045030593872, 0.32082903385162354, -2.052751064300537, 0.39817219972610474, 0.5323511958122253, 0.906259298324585, -2.0082812309265137, 0.46263495087623596, 0.2866817116737366, -1.6449116468429565, 0.5043456554412842, -0.018261998891830444, -0.24651603400707245, 2.06504487991333, -0.9168960452079773, 1.0237705707550049, -0.2969805896282196, 0.18586677312850952, 0.9382346868515015, 1.6547887325286865, -1.5627946853637695, 0.4030342400074005, 2.011798620223999, 0.4839321970939636, -0.9638151526451111, 1.2928955554962158, -0.24902421236038208, -0.7886723875999451, -2.31321382522583, 0.6005793809890747, 0.8844760656356812, -0.9810409545898438, 0.25918370485305786, -0.32778435945510864, -0.20107468962669373, -0.31868186593055725, 0.2726469337940216, -0.23536433279514313, 0.11294606328010559, 0.2366931289434433, 0.8168168067932129, 0.06837443262338638, -1.3754515647888184, -0.07630491256713867, -0.6169456839561462, 0.37710970640182495, 0.6276262998580933, 0.7211576700210571, 0.6946390271186829, 0.04238516092300415, 0.8981133103370667, -0.8012376427650452, -0.3818594515323639, -0.9275377988815308, -1.320120096206665, 0.27976444363594055, -0.4658432602882385, -1.030413031578064, -0.8715909123420715, -2.6199941635131836, 0.19243848323822021, -1.911888599395752, 0.04292517900466919, 0.8376937508583069, -1.5641239881515503, -4.269928932189941, 2.162611961364746, 0.04390227794647217, -0.021595343947410583, -0.8118712306022644, 1.5773950815200806, 0.0622900128364563, -0.9515442252159119, 1.4941333532333374, -0.695728600025177, -0.4869929552078247, 1.171176791191101, 1.6922247409820557, -1.213706135749817, -0.18037065863609314, -0.5145395994186401, 0.9691132307052612, -0.1621434986591339, -0.16046416759490967, 0.47714900970458984, -1.079001784324646, 1.2050201892852783, -1.2845295667648315, -0.8097062706947327, 1.7174333333969116, -0.14991039037704468, 1.3106125593185425, -0.8828926086425781, 0.47382572293281555, -1.0919430255889893, 0.06521618366241455, -1.8593478202819824, 0.0354304313659668, -0.2428683638572693, -0.25148606300354004, -1.3301752805709839, 2.2976138591766357, 0.8727655410766602, -0.37924790382385254, 0.7459098100662231, 0.09827381372451782, 0.90183424949646, -2.400704860687256, 0.12933476269245148, -0.5518770217895508, 1.668092966079712, -0.368131160736084, -0.6309340596199036, 0.039927251636981964, 0.9446424245834351, -0.8162224888801575, -2.7899744510650635, 1.6634087562561035, -0.0006974637508392334, -0.4367818832397461, -2.3564229011535645, -0.9355136752128601, -0.4673825800418854, 0.5524820685386658, -0.653046727180481, -0.059309229254722595, -0.10077212750911713, 1.0712943077087402, -1.4921033382415771, -0.7972152233123779, 0.6747643947601318, -0.7141918540000916, 1.0902544260025024, 1.4829405546188354, -0.4966472387313843, 0.3909432590007782, -1.694732666015625, 1.0398515462875366, -2.162419080734253, 0.32330024242401123, -0.0990278348326683, 1.3687998056411743, -0.5483273267745972, -0.5947034955024719, 1.0601474046707153, 1.5045795440673828, 1.8117344379425049, 0.12699086964130402, -1.2445918321609497, -1.6837071180343628, -1.044719934463501, -0.0702870786190033, -0.7763479948043823, -1.0432937145233154, 0.16269376873970032, -1.8973665237426758, -2.9959068298339844, 1.3604159355163574, -1.7583295106887817, 1.255889654159546, -0.08653730899095535, -2.964653253555298, 0.2627289593219757, 0.11613687872886658, -0.9539209008216858, 1.8460520505905151, 0.29775288701057434, -1.2209763526916504, -0.29267531633377075, -0.6401312351226807, -0.3935689926147461, -0.06316980719566345, 0.14471063017845154, 0.4118864834308624, -0.8090517520904541, 1.323109745979309, 0.5261790752410889, 1.0987542867660522, 0.3632870316505432, -0.5494871139526367, 0.5598461627960205, -0.5661919116973877, -1.06370210647583, 1.448685884475708, -1.7739795446395874, 0.32997050881385803, 2.150668144226074, -1.5869433879852295, -0.0037197861820459366, 0.05787785351276398, 1.0598353147506714, 0.6389243602752686, 0.22612375020980835, -0.15403349697589874, 1.0071099996566772, -0.18427997827529907, 0.8971861004829407, 0.9685724973678589, 1.8037364482879639, -0.6868994235992432, 0.38710764050483704, 0.47561711072921753, 0.14467282593250275, -0.40296751260757446, -1.1274094581604004, 0.4060707688331604, -0.7098191976547241, -2.080094575881958, -0.1692795753479004, -0.9634442925453186, -1.3723419904708862, 0.3617077171802521, 0.520326554775238, -0.37378790974617004, 0.19897335767745972, 0.2697162628173828, -2.354922294616699, -0.7740858793258667, -1.2371872663497925, 1.635148286819458, 0.6999713778495789, 0.17061246931552887, -0.9626752734184265, -0.8522255420684814, 0.48126721382141113, -0.49355727434158325, 0.9106681942939758, 0.07519904524087906, -3.487313747406006, 0.53846675157547, 0.6305179595947266, -0.43272721767425537, -0.21650438010692596, 1.7745704650878906, -0.30067601799964905, -1.0073552131652832, -2.403932571411133, -1.4570900201797485, 3.280776023864746, 0.3049994111061096, -0.9894078969955444, -0.49912819266319275, -0.7722440361976624, 0.8105374574661255, -0.16699428856372833, 0.27971532940864563, 0.8335734605789185, 0.27275487780570984, -0.9546399116516113, -1.1305155754089355, -1.1890870332717896, -1.6496522426605225, 0.3672506809234619, 0.5276319980621338, 0.24968969821929932, 0.18600113689899445, -0.5126234292984009, 0.4037635028362274, 0.24286742508411407, -0.13830061256885529, 0.2606891095638275, 1.3499860763549805, -0.7157782316207886, 0.01634947955608368, -0.3000248372554779, -2.598724365234375, 0.06863117218017578, 0.6875625848770142, -1.2044713497161865, 1.5134053230285645, 0.3283131718635559, 0.6756693124771118, 0.5902144312858582, 0.21708309650421143, 0.9710962176322937, 2.487008571624756, -0.5407600402832031, 0.8767248392105103, -1.152890682220459, 0.9267244338989258, -2.3386664390563965, -1.2945984601974487, -0.8753048181533813, -2.329136848449707, 0.26494842767715454, -0.48008114099502563, -0.034347712993621826, -0.47310131788253784, -0.8955565690994263, -1.009894847869873, 1.1966547966003418, 1.4279534816741943, 2.2487707138061523, -1.041555643081665, 0.9664396643638611, 1.1674261093139648, -0.30846261978149414, -1.82478666305542, 0.9944431185722351, 0.29685482382774353, -0.997064471244812, -1.4676170349121094, -0.17325261235237122, 0.885817289352417, 0.3920553922653198, 0.252130389213562, -0.6738627552986145, -0.611040472984314, -0.2243344485759735, 0.643581748008728, 0.8965579271316528, 1.4790546894073486, 0.8038199543952942, 0.7052330374717712, 1.6375160217285156, 0.20019873976707458, 0.6018565893173218, 0.7572967410087585, 0.0772152990102768, 3.0304155349731445, -1.6421581506729126, 1.0520731210708618, 0.08858823776245117, -0.9073700308799744, 0.65532386302948, 0.15905478596687317, -0.6373916864395142, 1.0944228172302246, 0.6774335503578186, -0.3729628324508667, 0.23716753721237183, -0.14202210307121277, -0.8789066672325134, 0.3438780605792999, 0.32917100191116333, 0.4079977869987488, -0.36237412691116333, 0.6864943504333496, 1.2932381629943848, -1.031468152999878, 1.9352574348449707, -1.0329512357711792, 0.028216831386089325, 0.3065909445285797, 0.8482487201690674, 1.1819990873336792, -0.040414005517959595, 0.5047900676727295, -0.6080710291862488, 0.8659219741821289, 0.05305492877960205, 0.29388466477394104, -0.054914653301239014, -0.033034682273864746, -1.022771954536438, -0.3450798988342285, 0.059726446866989136, -0.1747845709323883, -1.3753376007080078, 1.6473362445831299, 0.4576730728149414, 0.30651921033859253, -1.5352704524993896, -1.2362247705459595, 0.6569210290908813, -0.5297116637229919, 0.8247045278549194, 1.0052012205123901, -0.3390572965145111, -0.13524283468723297, -1.3537535667419434, -0.7819361090660095, -0.9022990465164185, -0.5292788743972778, -0.5486927032470703, 1.8954689502716064, 0.06192655861377716, -0.9784210920333862, -0.12088057398796082, 0.2758905291557312, 0.5296826362609863, 0.7151263952255249, -0.004864811897277832, -2.2752816677093506, 0.342501163482666, -1.7829906940460205, 0.5925124287605286, 0.9773615002632141, -1.3560606241226196, 1.3421337604522705, -0.2088787853717804, -0.658525824546814, -1.1881494522094727, 0.29246222972869873, 0.09014774858951569, 0.2379152774810791, -1.276903748512268, -0.17135649919509888, -0.3059944212436676, 0.25261858105659485, 0.5048535466194153, 0.253304123878479, -0.9239237904548645, 0.4468313455581665, -0.2228524088859558, -0.1670721173286438, -0.659371018409729, 0.3808131515979767, -1.0159556865692139, -1.4309301376342773, 0.5108880996704102, -0.19206193089485168, 0.07120497524738312, 1.6399540901184082, -0.35120418667793274, 1.1789252758026123, -0.46887147426605225, 0.4154384732246399, -0.8941100835800171, -1.2957813739776611, 0.17568747699260712, 0.15013103187084198, -0.22945283353328705, -1.6905049085617065, 1.6802791357040405, 0.7812893390655518, -0.6690218448638916, -0.8706939220428467, -0.9516478776931763, -0.773674726486206, 0.790019154548645, 1.2964462041854858, -1.3793754577636719, 0.6869667768478394, 0.6378693580627441, -0.23313742876052856, -0.5298475027084351, -0.024422764778137207, 0.025206685066223145, -2.2838833332061768, 0.8335074782371521, -1.2283955812454224, 0.722012460231781, -1.0178093910217285, -0.583235502243042, -0.2573375999927521, -0.009839624166488647, -2.2505428791046143, 0.8478955030441284, 0.9192250967025757, -0.8978976011276245, -0.24708819389343262, -0.26257404685020447, -0.46974271535873413, -0.9570455551147461, -0.015450570732355118, -0.14695891737937927, 1.0714442729949951, -1.8969390392303467, 0.31769534945487976, -0.825596809387207, 2.0621979236602783, 1.0376949310302734, 0.3804037272930145, -1.3768125772476196, -0.36421114206314087, -2.052543878555298, 1.6676967144012451, 0.00812840461730957, -1.1547315120697021, -1.8247900009155273, 0.47725170850753784, 1.3329710960388184, -0.4606073498725891, 0.6143984794616699, -1.5596611499786377, 0.3056645095348358, 1.161444067955017, -1.4821265935897827, -0.7709124088287354, -0.485302209854126, 0.15854986011981964, 0.1844160258769989, -0.416324257850647, -0.26682719588279724, 1.030729055404663, -0.04145233333110809, 0.5714970231056213, 0.10278920829296112, -0.5276520848274231, -0.8173211216926575, -0.9131057858467102, 1.1613693237304688, -0.28971296548843384, -0.11031556129455566, 0.23763059079647064, -1.1081387996673584, -1.3062633275985718, -2.202486991882324, 1.4725748300552368, 0.2016184777021408, -0.23835840821266174, -0.05651947855949402, -1.1360890865325928, 0.20824405550956726, 1.1894197463989258, 1.535090446472168, 0.17927739024162292, 0.7570960521697998, 1.5749783515930176, -0.19173789024353027, -0.6204133033752441, 0.38047081232070923, -0.6878417134284973, 1.3601893186569214, -1.5857925415039062, 0.6503778696060181, 1.198821783065796, 0.734481930732727, -0.30324429273605347, -1.3732922077178955, 1.8160492181777954, 0.05879124999046326, -0.8658415079116821, 1.378267526626587, 0.40931886434555054, 1.2692219018936157, 0.05654344707727432, -2.3175394535064697, -0.4363029897212982, -0.4342155158519745, -0.9656583666801453, 0.34905847907066345, -1.0248467922210693, 2.273993492126465, 1.3736919164657593, -0.5645713210105896, -0.25278910994529724, 0.7056102156639099, 0.44556015729904175, -1.30037260055542, 0.9883465766906738, -0.18438711762428284, 0.19775964319705963, -0.6137479543685913, 0.5278559327125549, 0.6513047814369202, -0.4417838454246521, 0.8209366202354431, 0.2749786376953125, 0.10093531012535095, 0.7493734359741211, 0.7419560551643372, -1.3166604042053223, -0.47503137588500977, -1.0548784732818604, -0.8803888559341431, 0.0908609926700592, -0.024464786052703857, -0.1980758160352707, 0.8153300881385803, 0.6321464776992798, -0.9554107189178467, -0.34463632106781006, 0.25924113392829895, -0.08700959384441376, -0.07020190358161926, -0.44282203912734985, -1.871302604675293, -0.044041186571121216, -0.25637078285217285, -0.377882719039917, 0.7984795570373535, -1.4283561706542969, 0.9483256340026855, 0.6626172065734863, 1.0216869115829468, 0.3335202932357788, -0.5884933471679688, 1.0072051286697388, 1.187990665435791, 1.0018551349639893, 1.0120718479156494, -0.6340399980545044, -0.8847255110740662, 1.153012752532959, -2.1174027919769287, -0.6679280996322632, -2.6367552280426025, -1.324042558670044, -0.177861288189888, -0.06569316983222961, 0.2435522973537445, 0.7548831701278687, -0.042878150939941406, 0.20609471201896667, -0.9890533685684204, 0.5038986206054688, 0.5857277512550354, -0.6108436584472656, 0.5826635360717773, 0.09544485807418823, 0.3605882227420807, -0.5153368711471558, -0.3015841841697693, 0.5475439429283142, 0.35617348551750183, -1.341692328453064, -0.33316758275032043, -0.6595079302787781, 1.5592981576919556, -0.08356569707393646, 0.5623977184295654, -0.32366904616355896, 1.0432196855545044, -0.7561143040657043, -0.5301934480667114, -1.6481671333312988, 0.5382989048957825, 1.3864235877990723, 1.5388209819793701, 1.499078631401062, -0.2164738029241562, 1.7202621698379517, -0.9516600370407104, 1.5187184810638428, -0.35408976674079895, -0.2158360481262207, -0.14006075263023376, 0.34833940863609314, -1.1968902349472046, 0.4525099992752075, 0.12815529108047485, 0.08308067917823792, -0.7809584736824036, 0.518456220626831, 0.6835764646530151, -0.18902641534805298, 0.3111484944820404, -0.2390664666891098, -0.10950914770364761, -2.4948105812072754, -1.0058434009552002, -0.7230333685874939, 0.011506855487823486, 0.9548673629760742, 1.7414915561676025, 0.06761884689331055, 0.6457616686820984, -0.993071436882019, -0.39298415184020996, -1.28981614112854, 0.4800525903701782, -0.4573569595813751, -2.3286569118499756, 0.3820931911468506, 0.3577400743961334, -0.9116578102111816, -0.8159615993499756, 0.19570204615592957, 1.3446226119995117, 0.27428993582725525, -0.30014777183532715, 0.12800511717796326, -0.17463859915733337, -2.4135653972625732, 0.19546979665756226, 1.2989262342453003, 0.9284518957138062, -1.1069310903549194, 0.28933683037757874, 0.11352398246526718, 0.1677093803882599, 0.8829355835914612, 1.0921472311019897, -1.6305489540100098, 1.0080410242080688, 0.45970380306243896, 0.5423163771629333, 0.8746914267539978, -0.15836644172668457, -0.38019099831581116, 0.1782265603542328, -0.5363171100616455, 0.24520689249038696, -0.1535162329673767, 0.7817689180374146, -1.6989778280258179, 1.3682773113250732, 0.47205039858818054, -0.1480657011270523, -0.887201189994812, -2.7709133625030518, 0.6481074690818787, 0.8334859609603882, 0.21302473545074463, 0.31393080949783325, -0.679519772529602, -0.5825196504592896, 1.1065058708190918, 0.3637125790119171, 0.7642136812210083, 1.1992976665496826, 1.6488723754882812, -1.8662227392196655, 0.12067770957946777, -0.5468382239341736, -1.3950748443603516, 0.2817727029323578, -0.6006610989570618, 1.0205856561660767, -0.05432784557342529, -1.4376320838928223, -1.28312087059021, 0.675491213798523, -1.5598376989364624, 1.1765400171279907, 1.562095284461975, 2.446350574493408, 0.13997149467468262, 0.9268214702606201, 0.26737135648727417, -1.2539339065551758, 1.6314644813537598, -0.875621497631073, 0.9096673727035522, 0.9157198071479797, 0.3262919783592224, -0.6931551694869995, 0.07786892354488373, -1.1471076011657715, 0.2903376519680023, 0.12001033127307892, 0.062389254570007324, 0.6090934872627258, 0.8767702579498291, 1.3770651817321777, -0.730350136756897, 0.624707818031311, -0.17471444606781006, 0.3886110782623291, 1.7224228382110596, -0.33055761456489563, -0.3097468316555023, 0.20480415225028992, 2.5031142234802246, -0.03214174509048462, -0.3485705554485321, 0.2300308346748352, -0.47702544927597046, -0.18117885291576385, -0.20578068494796753, 0.9375345706939697, -0.40873509645462036, 0.1705833077430725, 0.432828813791275, 0.398869127035141, 2.1116209030151367, 0.1871596872806549, 0.40206286311149597, -0.5921676754951477, 0.6563857793807983, 0.2753843665122986, -0.02305510640144348, -0.25886625051498413, -0.053037554025650024, -0.582036018371582, 0.07430639863014221, 1.167502522468567, 0.5152593851089478, 0.7225419878959656, -0.16182149946689606, -1.3820785284042358, -0.993520200252533, 1.6139616966247559, -0.14988237619400024, 2.140749216079712, -1.969299554824829, 0.25218304991722107, 0.8233705163002014, -0.3044467568397522, 0.14436453580856323, 0.7659984230995178, -0.18525764346122742, -1.272376298904419, 2.0307068824768066, 0.1832459568977356, -0.13599413633346558, 1.006394386291504, -0.12710674107074738, -1.2978471517562866, 0.3903616666793823, 0.4847201704978943, 0.16962958872318268, 1.1915534734725952, 0.39482244849205017, 0.6820147037506104, -1.1639800071716309, 0.19791863858699799, 1.045835018157959, 0.8956554532051086, -0.09608134627342224, -0.33982527256011963, -1.3174054622650146, 0.37021636962890625, 0.4047406315803528, 0.7680537700653076, 0.6340158581733704, 0.6004080772399902, -0.5963862538337708, -0.4164000153541565, -0.9288603663444519, -0.3002491295337677, 0.05355575680732727, 0.5947176814079285, -1.1552162170410156, -0.2074509859085083, -0.9588364958763123, 0.10079990327358246, 2.124404191970825, 1.6097958087921143, 0.15151557326316833, -0.32110095024108887, -0.5676243901252747, -0.028764501214027405, -2.0489425659179688, 1.4595229625701904, 2.5511679649353027, -0.15844783186912537, -0.7390384674072266, -1.4690485000610352, 0.41269659996032715, -0.13746926188468933, 0.09120689332485199, -0.4748295545578003, 0.3147941827774048, 1.511399745941162, -0.1598517894744873, 0.7979223132133484, 1.5068954229354858, -0.009806394577026367, -1.4188419580459595, 0.8363375663757324, -0.37641972303390503, 1.5627763271331787, 0.42123517394065857, 0.4959268569946289, 1.3889954090118408, -0.8282908201217651, 1.3933017253875732, -0.7495043873786926, -0.8357890248298645, 1.4322292804718018, -2.4225308895111084, 1.5672627687454224, -0.1636124551296234, -1.784118413925171, -1.5265213251113892, -1.0169401168823242, 1.0635584592819214, -0.6735657453536987, 0.35481685400009155, 0.5576391816139221, 0.9060043096542358, 0.08923633396625519, -1.4362725019454956, 1.4205775260925293, -0.624270498752594, 1.8028075695037842, 1.5600813627243042, -0.10453248023986816, -1.5397981405258179, -0.6738311648368835, 0.08765128254890442, 1.2670429944992065, 1.5045363903045654, -1.6693490743637085, 0.2724856734275818, -0.32492852210998535, -0.7147006392478943, 1.232024908065796, 0.24661600589752197, -0.4310089945793152, 0.10782548785209656, 0.06751183420419693, -0.4773559868335724, -0.47470855712890625, -0.2971498966217041, 0.8325501680374146, -0.3429109454154968, -0.28779882192611694, -0.2478235363960266, -0.13564835488796234, 1.3489909172058105, 0.7939767837524414, -0.46687471866607666, 0.6185177564620972, 0.8773587942123413, 0.25522786378860474, 0.18596801161766052, 0.6642296314239502, 0.017061982303857803, -1.2964140176773071, -1.4285600185394287, 0.5476030707359314, 0.31463825702667236, -0.32677796483039856, 0.8498404026031494, 0.6647202372550964, 0.024055225774645805, -1.6226378679275513, -1.7002203464508057, -0.09631694853305817, -0.9261342287063599, -1.1913695335388184, -2.217670202255249, 1.7657456398010254, -0.41221344470977783, 0.2161952257156372, 1.1014760732650757, 0.1920430064201355, -0.5431936383247375, -0.00650516152381897, 2.3580875396728516, -1.2437832355499268, -0.28579699993133545, -0.3813828229904175, 0.7253913879394531, 1.1948115825653076, 0.33573347330093384, 0.11069563776254654, -0.6815075278282166, -0.2605041563510895, -0.2881178855895996, -1.2060002088546753, -0.6512141823768616, -0.2506881356239319, 1.9086625576019287, 1.7702345848083496, -1.1542447805404663, 0.1755339503288269, 0.13426540791988373, -2.6094889640808105, -1.2510347366333008, -1.7544556856155396, 0.8219950199127197, -1.1398813724517822, -0.14170201122760773, 0.9793148636817932, -0.7696689367294312, -0.932373583316803, -0.23472973704338074, -2.3076934814453125, 1.5024397373199463, 1.9550480842590332, -0.5544615983963013, -0.3715665340423584, -0.10766983032226562, 0.828007698059082, -0.6388393640518188, 0.3013596832752228, 0.10852564871311188, -0.7892737984657288, -1.6604458093643188, -1.0264681577682495, -2.0927557945251465, 2.6901164054870605, 1.4779988527297974, -1.2226712703704834, 1.5762242078781128, 1.0508742332458496, 0.11693882942199707, 2.2977395057678223, -0.5609498620033264, -0.12618383765220642, -2.2529797554016113, -1.1789449453353882, 0.3508610725402832, 0.15674304962158203, 1.4581468105316162, 0.35551559925079346, -0.7703476548194885, -1.041557788848877, -0.7643089294433594, 0.01008574664592743, -1.8432484865188599, 0.3259914815425873, 0.5858462452888489, -1.888680338859558, 0.5484151840209961, 0.722840428352356, 2.2977612018585205, 0.15415431559085846, 0.5739303827285767, -0.3478492498397827, -1.3331791162490845, -1.0094361305236816, -1.616652011871338, -1.5776311159133911, 0.10755625367164612, 0.8178203105926514, 0.7289984226226807, 0.3067688047885895, 1.5176103115081787, -1.2609113454818726, -0.4654276967048645, -2.611419439315796, 1.1185686588287354, 0.3035905957221985, 0.38417187333106995, 1.5159356594085693, 1.0556955337524414, 0.9658714532852173, 0.8029505014419556, -0.3081093430519104, 0.9288529753684998, 1.4896320104599, 0.947682797908783, 0.28267577290534973, -0.1682804524898529, -0.6562703847885132, 0.27110540866851807, 0.0624527633190155, 2.391747236251831, 1.3050750494003296, 0.6789615154266357, 0.42590171098709106, -0.0756533294916153, 0.007244080305099487, 0.16039249300956726, -0.9416282773017883, 1.8898539543151855, -1.2596989870071411, -0.16162902116775513, 1.3450819253921509, 2.6215720176696777, -1.784000277519226, 0.5082153081893921, 1.2939581871032715, -1.445652961730957, -1.58392333984375, 0.3992875814437866, 1.0070595741271973, -0.8020802140235901, 0.35727638006210327, 1.6377547979354858, -0.6698758602142334, 2.2522764205932617, 0.17743605375289917, -1.0359458923339844, -0.7795773148536682, 0.3693179488182068, 1.078647494316101, 0.6036273837089539, 0.49891120195388794, 1.599564790725708, -1.609269142150879, -1.1403614282608032, 0.04574275016784668, -0.18246063590049744, 1.5876890420913696, -0.35009410977363586, 0.5304052829742432, -0.20075669884681702, -1.087543249130249, 0.7133713960647583, -0.7678564786911011, 0.8695088624954224, 0.8517400026321411, -0.8200236558914185, 1.2865248918533325, 0.9700894951820374, -0.14183175563812256, -1.3052040338516235, -1.3154349327087402, -0.14874666929244995, 0.6407933831214905, -0.6283358931541443, -0.3352522552013397, 0.11018592119216919, -0.16323882341384888, 0.8438217639923096, 0.9227245450019836, 0.03273111581802368, -1.619150161743164, 0.5193230509757996, 1.0997557640075684, 0.9827289581298828, -1.1143412590026855, 0.41064339876174927, -0.3603574335575104, 1.4431644678115845, 0.25562939047813416, 1.5754457712173462, -1.2337827682495117, 1.5303083658218384, 0.37694811820983887, -0.8173263072967529, -2.2079672813415527, 0.8328796029090881, -0.444509893655777, -0.32332897186279297, 0.4999658465385437, 0.7447874546051025, -1.0123441219329834, 0.6753909587860107, 1.0195317268371582, 0.722006618976593, 1.7690836191177368, -0.657523512840271, 0.3378574252128601, 0.11525624990463257, -2.30796480178833, -1.0077450275421143, -0.9112585783004761, 0.7614226341247559, -0.4641379714012146, 2.9087226390838623, 0.969344437122345, 0.8612058162689209, -0.6691532135009766, 0.40630456805229187, 0.09063304960727692, 0.3316115140914917, -0.25030025839805603, 0.15758925676345825, -0.27466288208961487, -0.1916566789150238, -0.17242997884750366, 1.8702064752578735, -1.175017237663269, 0.7481269836425781, 1.3568828105926514, 0.012345043011009693, -0.11510880291461945, 1.004927635192871, 2.6914055347442627, 1.2152678966522217, -1.0045082569122314, -2.0933804512023926, 0.4258253574371338, -0.33122777938842773, 1.0161930322647095, 0.12600430846214294, -1.3013676404953003, 0.6421507596969604, 1.2757470607757568, -0.055401843041181564, -0.661801278591156, -0.5133159756660461, 1.1775704622268677, -0.48600324988365173, -0.3002711236476898, -0.10553953051567078, -1.0840990543365479, 0.05976933240890503, 0.6797179579734802, 1.0016103982925415, 0.67665696144104, 0.5162825584411621, 0.2387915849685669, 0.08249394595623016, -0.34027376770973206, -1.181682825088501, 0.4816882610321045, -0.19218721985816956, -0.20480865240097046, 0.4129379391670227, -0.30047956109046936, -0.7065443396568298, -0.2706775367259979, 0.9935110211372375, 0.7926813960075378, -0.45659705996513367, 0.30413955450057983, -0.3332679271697998, -0.9915298819541931, 1.992324709892273, -0.6183133125305176, 1.0560792684555054, -0.6498240828514099, 1.3698997497558594, -0.6867738962173462, 0.20211532711982727, 1.033320665359497, -0.07774477452039719, -0.2196807861328125, -0.17462189495563507, 0.7844509482383728, -0.7874710559844971, -0.6999849081039429, 0.16393668949604034, 0.4956846237182617, 1.2490521669387817, 0.32924190163612366, -1.7729122638702393, -2.601269006729126, -0.6091810464859009, -1.224298119544983, 0.28296566009521484, -1.4392144680023193, -0.12701067328453064, -0.17084184288978577, -0.2526675760746002, -1.173436164855957, -0.16715535521507263, 2.3310422897338867, 1.429354190826416, 0.28809863328933716, 0.17662426829338074, 1.1047039031982422, 0.9312885403633118, -0.3028363585472107, -2.082359552383423, -0.24623475968837738, -1.378892421722412, 0.2731381058692932, 1.0435512065887451, -0.23014958202838898, -0.9173177480697632, 0.7559654712677002, -1.2530176639556885, 0.696868896484375, 0.45940762758255005, -0.440267413854599, 1.9465101957321167, -0.6301400065422058, -0.8721548318862915, -0.9902828335762024, -0.5020015835762024, -0.5224384665489197, 0.3185611963272095, 1.392098069190979, -0.09115268290042877, 0.87612384557724, -0.08055660128593445, -1.3700889348983765, 0.6311641335487366, -1.6763887405395508, -2.5791313648223877, -0.14652255177497864, -0.10513567924499512, 0.3785223960876465, -0.5827075242996216, 1.490486741065979, 0.5124617218971252, -0.050184547901153564, -0.6565068960189819, -0.12411697208881378, -1.612524151802063, -1.3623075485229492, 1.2211511135101318, -2.5912930965423584, -0.2042171061038971, 0.43176355957984924, 1.2348463535308838, -0.301092267036438, 1.619452953338623, 0.2441682368516922, 0.7621880769729614, -1.8720277547836304, 0.6522010564804077, 2.195401668548584, -1.2006410360336304, -0.511650025844574, 1.6428639888763428, -1.007209300994873, -0.889901876449585, 0.6504340767860413, 1.215804100036621, 2.147321939468384, 0.6630691289901733, -0.6897258758544922, -3.462137222290039, -0.29772818088531494, -0.5927885174751282, -0.6110056638717651, 0.03077062964439392, 0.299598753452301, 0.5665116906166077, -0.8879114389419556, -1.4265086650848389, -2.8233776092529297, -0.14007389545440674, 1.038205862045288, 0.2793053984642029, 1.1567444801330566, 0.8428646326065063, -0.1473592072725296, 0.7264243364334106, -0.2779451906681061, 0.6255134344100952, -1.544514775276184, 0.9140233397483826, -0.5619670152664185, 0.25987884402275085, -0.7308276891708374, 0.41408708691596985, -0.6901374459266663, -0.6750816702842712, 0.789099931716919, -0.5265368819236755, 0.9634668827056885, -0.7871490120887756, -0.8195868134498596, -1.223469614982605, -0.5019591450691223, 1.33651602268219, -1.219005823135376, 0.24667997658252716, -0.10115063190460205, -0.5661007761955261, -0.10301678627729416, -0.02560029923915863, -0.7131830453872681, -1.704052448272705, 0.22993934154510498, 1.5273628234863281, 1.7890758514404297, 0.6516233682632446, -0.29066258668899536, -0.6737031936645508, 0.6784336566925049, -1.8584325313568115, 1.9625825881958008, -1.2818946838378906, -0.03339163959026337, 1.1646673679351807, 0.2633110582828522, 0.3302375078201294, -1.0530459880828857, -0.36170536279678345, -0.4281868636608124, -0.09290018677711487, 0.05030277371406555, -0.2829159200191498, -0.9921164512634277, -0.18358924984931946, 0.9500864148139954, -0.15039193630218506, 0.8194932341575623, 0.3587680757045746, -0.0368770956993103, 2.131345510482788, -0.6595188975334167, 0.17174799740314484, -0.3264297842979431, -0.6547827124595642, -0.5985379219055176, -0.515831470489502, -0.14618974924087524, -0.37148433923721313, -1.8573005199432373, -0.6334338188171387, -1.409368634223938, -2.508174419403076, 0.5920620560646057, 1.2442926168441772, 0.4909296929836273, 0.8027499318122864, 0.28806358575820923, 0.152839794754982, 1.6738873720169067, 0.9256676435470581, -0.9117511510848999, 0.44619613885879517, 1.6751132011413574, 0.4060720205307007, -1.586721658706665, -0.5617780685424805, 1.37047278881073, 0.10640554130077362, -1.0762088298797607, 0.8734747767448425, 0.9381198287010193, -0.7911386489868164, 1.708695888519287, 0.2405673861503601, 1.273491621017456, 0.9536228179931641, 0.40470364689826965, 0.8916477560997009, -0.12896813452243805, 0.6490507125854492, 0.24896010756492615, 0.931081235408783, 0.27095305919647217, -0.04212431609630585, 0.560551643371582, 1.2747185230255127, -0.48887044191360474, 1.1065406799316406, -0.6800877451896667, 2.456550359725952, 2.2153303623199463, -2.1579296588897705, 1.0574240684509277, -0.29157552123069763, 0.8018338680267334, 1.0137044191360474, 1.499140739440918, 1.3107166290283203, -1.7525770664215088, -1.6854991912841797, -1.3656212091445923, 0.09997020661830902, 0.30823370814323425, -1.363715410232544, 0.02318457141518593, -0.6425934433937073, -0.837502121925354, -0.4931783974170685, 0.7176622152328491, 1.0379204750061035, 1.0007908344268799, -0.517067015171051, -0.20112444460391998, 0.664745569229126, -2.2531068325042725, 0.7223868370056152, 1.8205095529556274, 1.3231618404388428, -0.6883658170700073, 1.0381622314453125, 1.1162059307098389, -0.11573176085948944, -0.4997791647911072, -0.7341058254241943, -0.10804131627082825, 1.053397536277771, -0.778931736946106, 0.10029488801956177, 1.49067223072052, 1.426941990852356, 0.9680342674255371, 0.4729978144168854, -0.49779391288757324, 0.5909712314605713, -1.2285078763961792, -1.4947139024734497, -0.02954714745283127, -0.9590139389038086, 0.8534717559814453, -1.0018036365509033, 0.5459101796150208, 1.3420535326004028, -0.9754826426506042, 1.2108180522918701, 1.204764723777771, 1.2167876958847046, -1.5033503770828247, 0.8222101926803589, 0.21135136485099792, 0.8212434649467468, -0.5865034461021423, 0.5672536492347717, -0.11319038271903992, -0.039941638708114624, -1.0027025938034058, 0.17589089274406433, -1.2560091018676758, -1.0489225387573242, 0.13472360372543335, -0.27404138445854187, -0.706244170665741, 2.5564136505126953, 0.8956105709075928, -0.21052145957946777, 1.124800682067871, 1.5117005109786987, -1.4644235372543335, 1.377891182899475, 0.7250597476959229, 1.1837550401687622, -0.7300922870635986, 0.6727203130722046, 0.8370386958122253, -1.179459810256958, 0.4329775869846344, -1.7715375423431396, -0.9275992512702942, 1.4879816770553589, 0.6140176057815552, -1.1266396045684814, -0.6919684410095215, -0.7022204399108887, -0.3258431851863861, 2.6879780292510986, 0.5787676572799683, -2.2120866775512695, 0.9043521285057068, 1.5279169082641602, 2.047767400741577, -0.6974560022354126, -0.4755346477031708, 0.2569086253643036, -0.956211507320404, 0.2584497630596161, -0.16877177357673645, -0.9109164476394653, 0.6558810472488403, 1.1484698057174683, 0.2884708642959595, -1.2354456186294556, 0.5256295204162598, 0.9469800591468811, -0.7677595019340515, 0.6951013207435608, -0.12827634811401367, 0.20198318362236023, -0.7748067378997803, 1.9710991382598877, -0.5018083453178406, -0.19177550077438354, -0.20538896322250366, -0.3450469374656677, -0.4884302616119385, 0.7412697672843933, -0.5232952833175659, 0.6671794652938843, -0.7880142331123352, -1.8061686754226685, 1.6576662063598633, -1.788088321685791, -2.7055699825286865, 0.4669298827648163, -0.1925356537103653, 1.0416624546051025, -0.6844828724861145, -0.43163642287254333, -0.4939309358596802, -1.2085916996002197, -0.7638311386108398, 1.0508677959442139, 0.012204671278595924, 0.3780912756919861, 0.8160287737846375, -1.611339807510376, -0.1716679036617279, 0.9162229299545288, 0.7761631011962891, 0.05531947314739227, -0.5983638167381287, -0.23287449777126312, -1.1876122951507568, 0.0031155943870544434, 0.6923729777336121, 1.4750306606292725, 1.0493310689926147, -2.0273241996765137, 1.5474309921264648, 0.03814679756760597, -0.9480246305465698, 1.9015580415725708, 1.2554370164871216, 0.7902560234069824, 1.7985681295394897, 0.6038282513618469, -0.5184286236763, 1.2491250038146973, 0.12568652629852295, -0.2366737574338913, 1.5636591911315918, 1.19333016872406, -2.3920180797576904, 1.2436946630477905, -0.4427723288536072, 1.0251458883285522, -1.4280672073364258, -1.6279922723770142, 1.5958517789840698, 0.5904039144515991, 1.8148796558380127, 0.7811117768287659, -0.6185129880905151, -0.19987109303474426, 0.4940298795700073, 2.2353131771087646, -0.7756003141403198, 0.6001786589622498, 0.22743678092956543, 1.1238503456115723, -1.345533013343811, 0.309050053358078, 0.08734626322984695, -0.89399254322052, -0.5632179975509644, 1.0982489585876465, 0.31186312437057495, 2.970780372619629, -0.2644039988517761, -0.14973466098308563, 0.3456052541732788, 0.38379260897636414, 0.6141148209571838, 0.10036404430866241, 0.297744482755661, 0.13424894213676453, -1.413856029510498, 0.2658458650112152, -0.41869550943374634, 1.1209274530410767, -0.2033279836177826, -1.0269248485565186, 0.6779094338417053, -0.5349206328392029, -0.4095727801322937, 0.4366159439086914, 0.2605135440826416, -1.3073132038116455, -1.1008808612823486, 2.1658718585968018, -0.5226389765739441, -0.93576979637146, -0.45708224177360535, -0.9499383568763733, -0.09400855749845505, -0.9087546467781067, -0.567768931388855, 0.10775184631347656, 1.7767448425292969, 0.705230712890625, 0.010387629270553589, 0.10532436519861221, 2.122654914855957, 0.7956809997558594, 2.130189895629883, 1.4842243194580078, 1.4286388158798218, -1.5518242120742798, -1.250563621520996, 1.062331199645996, -0.24787642061710358, 0.8637509942054749, 1.0926437377929688, -0.7309629917144775, -0.1849142163991928, 0.2488628625869751, -0.446465402841568, -1.7833294868469238, 1.4021821022033691, -1.34280264377594, 0.07758906483650208, -1.57931649684906, -0.8271145224571228, -1.2618422508239746, 1.2150907516479492, -1.2115992307662964, 0.36941152811050415, -2.195664644241333, -0.3796236515045166, 1.3155678510665894, -0.6730490326881409, 0.48896634578704834, -0.713172972202301, 1.6099226474761963, -0.6620416641235352, -0.4102949798107147, 1.3339811563491821, 1.8201905488967896, -1.3479630947113037, 0.47775307297706604, -1.7375481128692627, 0.9685782194137573, 0.2763667702674866, -0.09391466528177261, -1.5726369619369507, 2.5862820148468018, -0.48823457956314087, 0.5990911722183228, 0.7724893093109131, 0.09398753941059113, -0.1337834596633911, 0.36212867498397827, 0.880183219909668, -1.0275720357894897, -0.30441027879714966, -0.06184542179107666, 0.9744994640350342, 0.6889920830726624, 0.012052297592163086, -0.051225051283836365, 0.750096321105957, -1.2364699840545654, 0.0036740272771567106, -0.1394849717617035, -1.0467064380645752, -0.7788447737693787, -1.2719829082489014, -0.5262124538421631, -1.371458888053894, 0.5466960072517395, -0.011115163564682007, 0.03487958759069443, 0.7475144863128662, 1.0325965881347656, 0.754982054233551, 0.4737201929092407, -0.34009218215942383, -0.15091504156589508, -0.19140638411045074, -0.05563810467720032, -1.8262498378753662, 0.3981193006038666, -0.7956588864326477, 0.6632610559463501, -1.3037728071212769, -0.5493230223655701, -1.9043363332748413, 1.3131093978881836, -0.366646945476532, 0.6139228940010071, 2.110222101211548, 1.1695798635482788, 0.8081393837928772, -0.6708064675331116, -1.4320363998413086, -0.9391325116157532, 2.7888600826263428, 1.529327154159546, 0.13311421871185303, -0.8413599729537964, 0.523816704750061, 1.099372386932373, 3.4521472454071045, 0.18618692457675934, 0.6418929100036621, -0.024134010076522827, 0.014690309762954712, 0.9087653160095215, 0.4816291332244873, -1.9595614671707153, -0.30944129824638367, 1.0492641925811768, -1.6712664365768433, -0.012704133987426758, -0.003760695457458496, 0.3341476023197174, -0.3372252583503723, 1.3137316703796387, -0.40160518884658813, 1.3745002746582031, 1.266994833946228, -1.2707712650299072, 1.5266772508621216, 0.25407448410987854, 0.03967692703008652, 1.5522592067718506, -0.31478214263916016, 0.4077666997909546, -0.19247502088546753, -1.0889307260513306, 1.143050193786621, -0.016115128993988037, 1.4595080614089966, 0.024687737226486206, 0.06618337333202362, -1.6047370433807373, 0.48072659969329834, -1.306091547012329, 0.9165812730789185, 1.165070652961731, 1.5532877445220947, -0.3510487377643585, -0.5026353001594543, 1.4251091480255127, -0.19296351075172424, 1.2950960397720337, 0.13854742050170898, -1.0667897462844849, 0.7890518307685852, 0.04523668438196182, 1.4857447147369385, 0.8013470768928528, 1.528275966644287, -0.7212387323379517, 0.22498518228530884, 1.3825352191925049, -2.3124701976776123, 0.5884401798248291, 0.08046858012676239, 1.128052830696106, -0.03207859396934509, -0.30043545365333557, -0.5358250141143799, 0.8924348950386047, 0.8899391889572144, -0.8833787441253662, -1.3096036911010742, -0.5301872491836548, -0.14166447520256042, 0.45556220412254333, -1.0289539098739624, -1.1160523891448975, -0.12107419967651367, -0.0248703733086586, 1.6280258893966675, -1.5812366008758545, -0.05353069305419922, 0.9809447526931763, 1.0121140480041504, 1.6775754690170288, -0.28463685512542725, 0.6916899681091309, 0.14158552885055542, -0.2859426736831665, 1.10079824924469, 0.886215329170227, -0.310749351978302, 0.5064910650253296, 0.6794331073760986, -1.2302323579788208, -0.1283620446920395, 1.4897047281265259, -0.5598424077033997, 0.9403271675109863, -0.22190125286579132, 0.3172386586666107, 0.1660955548286438, 0.029697522521018982, 0.8826290965080261, -0.839440643787384, -1.5410503149032593, -0.5733014345169067, -0.7151548862457275, 0.3662572503089905, 1.4369096755981445, 0.6766616106033325, 1.5283422470092773, -0.36950749158859253, 0.524520754814148, 0.34656137228012085, -0.9466335773468018, 1.6939082145690918, -0.4528571367263794, -2.0513017177581787, -0.803010880947113, -1.6292465925216675, -1.1120874881744385, -0.9704166650772095, 0.0461263507604599, -0.29091620445251465, 1.2526111602783203, 0.4437482953071594, -0.6988551616668701, 2.134805679321289, -0.6601983904838562, -0.28336235880851746, 0.31628209352493286, -0.01105162501335144, -0.5178740620613098, -0.7535921931266785, 0.26178836822509766, 1.5339163541793823, 2.409653663635254, 1.8896903991699219, 0.794771671295166, 0.27280718088150024, -0.4363862872123718, -0.5500456094741821, -0.18489903211593628, 1.113908052444458, -0.3803021311759949, 0.048860251903533936, -0.3337477147579193, -0.28494498133659363, 0.4160110056400299, -2.226850748062134, -0.9262185096740723, 1.7283267974853516, -0.4405783414840698, 0.5571056008338928, -1.9233801364898682, 0.1637662649154663, 0.3948586881160736, -0.06104248762130737, 0.027274109423160553, -0.16322392225265503, -0.3072602152824402, 1.5243372917175293, -0.6369577646255493, -0.13517838716506958, 1.409433126449585, -0.7672737240791321, 0.49402761459350586, -1.3888959884643555, -1.0385339260101318, -1.2817151546478271, 1.1120758056640625, 0.8655762672424316, 0.37295207381248474, -0.7740777134895325, 0.2702175974845886, 0.9069231748580933, -1.4164447784423828, 1.6056735515594482, -0.6486098766326904, -0.6012149453163147, -0.8660777807235718, -0.7975958585739136, -0.3375663161277771, 2.4751455783843994, -0.44201037287712097, -0.06026577949523926, 0.47579148411750793, 2.253425121307373, -0.3124091625213623, -1.6899628639221191, 1.0735704898834229, -0.21049177646636963, 0.3034677803516388, -0.7203301191329956, -1.0838459730148315, -0.2882806062698364, -1.7587491273880005, 0.2551736533641815, 0.05540432780981064, 0.2074016034603119, -0.5565213561058044, -1.6806567907333374, 1.5868180990219116, -0.12463074922561646, -1.4374467134475708, -1.2037818431854248, -0.003957077860832214, -0.16954225301742554, -0.8726847767829895, 0.8620461225509644, 2.253127336502075, -0.24026061594486237, 1.9095343351364136, 0.20293541252613068, 1.1048064231872559, -0.36885714530944824, 1.2503076791763306, -0.6644515991210938, 0.11815836280584335, -1.8622784614562988, 0.8301907777786255, 1.1285715103149414, -0.7957260012626648, -0.14588946104049683, -0.48186561465263367, 0.4240953028202057, -1.161667823791504, -0.5224815607070923, -1.5944418907165527, -1.7169380187988281, 0.750527024269104, 0.9813903570175171, 1.086830973625183, 0.7476662397384644, -1.7423450946807861, -0.48133689165115356, -0.3571627140045166, -1.041664481163025, -2.5327324867248535, -0.024204783141613007, 1.4540830850601196, -1.644321084022522, 1.3184471130371094, -1.4467179775238037, 0.5910034775733948, 1.5483205318450928, 0.5465156435966492, -1.8568800687789917, 0.4613363742828369, -0.3214189410209656, -1.7710647583007812, 1.6767385005950928, 0.22060608863830566, -0.6470525860786438, 0.4292196035385132, 0.16368740797042847, -0.4395793378353119, 0.5982961058616638, -0.15785622596740723, -0.1660219430923462, -0.41574251651763916, -0.3410150408744812, 0.6646735668182373, 0.45826005935668945, -0.3250918984413147, -1.4156161546707153, 0.9714183211326599, -1.0975632667541504, -1.696613073348999, 1.162142038345337, -0.11270412802696228, 0.20989549160003662, 0.33531901240348816, -1.299252986907959, -0.1666499674320221, -0.4547624886035919, 0.7326602935791016, 1.1967660188674927, 1.0479754209518433, -1.480200171470642, -0.8550708293914795, -0.38136908411979675, -0.9201147556304932, -1.3304736614227295, 0.7844923734664917, 0.1282786726951599, -0.89554762840271, 0.6988481283187866, -0.6956454515457153, 1.690762996673584, 0.9358872175216675, 1.6659883260726929, -0.8006415367126465, 0.9563252329826355, -1.9542803764343262, -2.126223087310791, 0.5036104321479797, 1.1853954792022705, 0.9281667470932007, -0.8260451555252075, 0.48925164341926575, 1.759780764579773, 0.5508871674537659, -1.28376305103302, 0.5015473961830139, 0.7856893539428711, -0.0834256187081337, 0.5056959986686707, -1.322736382484436, 1.1351617574691772, 1.8294503688812256, 0.6240506768226624, 1.5508666038513184, 0.9116572737693787, -0.7582432627677917, 0.02853146195411682, -0.6700940132141113, -0.6926169991493225, 0.7475082874298096, 1.8799759149551392, 1.382193684577942, -1.3900506496429443, 1.3484745025634766, -1.4841536283493042, -1.3460297584533691, -0.681545615196228, 0.5384628772735596, 0.778462827205658, -0.7437303066253662, -0.36453282833099365, -0.42550989985466003, -0.2565993666648865, 0.6979206800460815, -0.32928037643432617, 1.5667496919631958, -1.1622071266174316, 0.807442307472229, -0.4375905394554138, -1.1431145668029785, -0.13458074629306793, 0.7254250049591064, -0.346542626619339, -1.9833050966262817, 0.7770261764526367, 1.8898746967315674, 1.7916603088378906, -0.2478337287902832, -1.2092491388320923, 0.1450658142566681, 1.23628830909729, 1.1733124256134033, -1.0050971508026123, 1.500268578529358, 0.7790775299072266, 0.08444827795028687, 1.174131155014038, 1.6421778202056885, -0.4192647635936737, 0.278028666973114, 0.7856909036636353, 0.2419135868549347, 1.3900506496429443, -0.09067836403846741, 1.1167248487472534, -0.7888070940971375, -0.09740844368934631, 0.4408353567123413, -0.6397950649261475, 0.38432279229164124, 0.17393609881401062, 1.3562121391296387, 0.5907047986984253, 0.606217622756958, -1.570692539215088, 0.38522598147392273, 1.9471244812011719, -0.48604950308799744, -0.459758996963501, -0.4856683909893036, 2.190593957901001, -1.5878221988677979, 0.8377416133880615, -1.0427005290985107, -0.09733894467353821, -0.9194062948226929, -0.8197341561317444, 0.2542443573474884, -0.8898054361343384, 2.008608341217041, -0.5175367593765259, -0.41435569524765015, -0.5985146760940552, 0.07503709197044373, -1.598867654800415, 0.47696685791015625, 1.4262748956680298, 0.6487835645675659, 0.8103246092796326, 1.085413932800293, -1.822940707206726, -0.015159264206886292, -0.2889208495616913, -1.150161862373352, -1.1164333820343018, 0.2484271377325058, 1.8834617137908936, -0.17869576811790466, -0.04705575108528137, -0.17990811169147491, 0.4859806001186371, -1.9635722637176514, 0.7032661437988281, -1.2499228715896606, 0.08185534924268723, -0.34292182326316833, -0.8809691071510315, 0.4856669008731842, -0.541309118270874, 0.4157477021217346, 0.6489452719688416, 0.1979966014623642, -0.6790480613708496, 0.29016879200935364, 1.924241065979004, 0.4614039957523346, -1.1297677755355835, 0.5470197200775146, 0.5796244144439697, -0.8600472211837769, 0.16437913477420807, -1.0023562908172607, 1.6787830591201782, 2.056159734725952, 1.2995727062225342, -0.0898941159248352, 1.6887987852096558, -0.6666710376739502, -0.28490352630615234, -0.033113278448581696, -1.2414438724517822, 2.8194973468780518, 0.12283753603696823, 0.385262668132782, 0.9178061485290527, -2.0606441497802734, 1.437880277633667, 0.18519753217697144, 0.10156188905239105, -1.886250376701355, -0.4650205969810486, 0.21707314252853394, -2.047680377960205, 1.724541187286377, 1.060889720916748, 0.6321489214897156, 1.8932695388793945, 0.7201223969459534, 0.15529145300388336, 0.3386451303958893, 1.2688058614730835, 0.3080436587333679, 2.5922114849090576, -0.7937081456184387, -0.5207766890525818, -0.8782093524932861, -0.21268928050994873, 1.206347942352295, -2.5350754261016846, 0.45655885338783264, -1.7765159606933594, 0.9540027379989624, 2.488725185394287, 0.7128145694732666, -0.17155563831329346, -0.8409457802772522, -0.04067332297563553, 0.08261257410049438, 1.4551434516906738, 0.22146637737751007, 0.02411860227584839, -0.09106281399726868, 2.061574697494507, -0.5221368074417114, 1.0090473890304565, 1.1123857498168945, -3.0525946617126465, 0.00550822913646698, 0.15357361733913422, 0.998708963394165, 1.4336034059524536, -0.8788485527038574, -0.6358886361122131, -1.4168028831481934, 0.0937497615814209, -0.34341850876808167, 0.18172124028205872, -1.4551621675491333, 0.8280413746833801, 2.5449905395507812, -0.7448151707649231, 0.16640758514404297, 1.8678148984909058, 1.7736477851867676, 0.44447004795074463, 1.4678982496261597, -2.1410715579986572, 0.3798147141933441, -0.104367196559906, 0.14544740319252014, 0.5033841729164124, -0.46950533986091614, 1.078022837638855, -1.5141394138336182, -0.08177664875984192, 1.132573127746582, -1.7592382431030273, -0.7463860511779785, -1.1375852823257446, -0.43609073758125305, 0.3783663809299469, 0.28015920519828796, -0.6804327964782715, 0.2614290118217468, 1.295107364654541, -0.36629343032836914, 0.621501088142395, -0.1250065714120865, -0.37836942076683044, 1.2336536645889282, -0.1723666787147522, 0.3410337567329407, 1.0742381811141968, -1.5867266654968262, 0.6663558483123779, 1.7624198198318481, -1.041752815246582, 1.4565539360046387, -1.4001902341842651, -1.5377665758132935, -2.0087904930114746, -0.5201331973075867, 0.6399250030517578, 2.033571720123291, -1.2681903839111328, -0.3260197639465332, -1.9181218147277832, -0.14140906929969788, -2.1048810482025146, 0.6762965321540833, 1.1019871234893799, -0.4961516857147217, -0.34973856806755066, 0.5174972414970398, 0.46141529083251953, 1.5095033645629883, -1.125872254371643, -0.007330991327762604, -1.393704891204834, 1.0635203123092651, 0.21309331059455872, -2.034369945526123, -1.7202577590942383, -2.1970860958099365, -1.1956822872161865, -0.9930722117424011, 1.2835241556167603, 0.7115116119384766, -0.6007819175720215, -1.1723909378051758, -0.04391489923000336, -1.435304880142212, -0.6602363586425781, -1.0658440589904785, 0.565112292766571, -1.3803327083587646, 0.5671827793121338, -1.2507884502410889, 0.463138222694397, 1.660839319229126, 0.9548265933990479, -0.9102864265441895, 1.0034213066101074, -2.388854503631592, 0.7661476731300354, 0.2019864320755005, 0.15464848279953003, 0.5998543500900269, -0.9863440990447998, 0.2723599672317505, -0.3752501606941223, -0.8449499011039734, 0.6249012351036072, 0.303232878446579, -1.7468407154083252, 0.15915942192077637, 0.6955534219741821, -1.3055429458618164, 0.3448501527309418, -0.3018910586833954, 2.058279037475586, 0.9714469313621521, -1.3470203876495361, -0.9164896011352539, 0.7027632594108582, 0.38141247630119324, -0.9861198663711548, 0.5574029088020325, -0.06351357698440552, 0.8031004667282104, -0.03479071706533432, 0.2886374592781067, -0.5722203254699707, 0.7974159717559814, -0.08382758498191833, -0.8692561388015747, 0.9401360154151917, 0.5713664293289185, 0.6630544662475586, 0.03624129295349121, -0.8493227362632751, -0.383070707321167, 0.8088115453720093, 1.5755212306976318, -0.9384028315544128, -1.701348900794983, 1.7248953580856323, 0.6153063774108887, -1.8033510446548462, 0.967613697052002, -1.3968539237976074, 0.3950507342815399, 0.4843279719352722, -0.31600382924079895, 1.6740467548370361, 1.3145639896392822, -1.602546215057373, 0.12338230013847351, -0.0982709527015686, 0.8786377906799316, -0.37065696716308594, -1.4617422819137573, 2.360720634460449, -1.1218727827072144, -2.1137094497680664, 0.3638927936553955, 1.2805521488189697, -2.7057242393493652, -0.18050318956375122, -2.628952741622925, -1.1330561637878418, 0.06863066554069519, 1.179222583770752, 0.01437487080693245, -0.6702091693878174, 0.37453028559684753, 0.3186876177787781, 1.832449197769165, 0.2737247943878174, -1.3523248434066772, -0.6689488291740417, 0.32220226526260376, -0.4943029284477234, 0.16967511177062988, -0.09121161699295044, -0.48844674229621887, -0.7069390416145325, 0.027692481875419617, -1.0417685508728027, -0.3281823396682739, 0.2616696059703827, 1.0512802600860596, 2.1087095737457275, 2.114758014678955, -0.8264093399047852, 0.23399920761585236, 0.09329494833946228, -1.0907883644104004, 0.21889013051986694, -0.07440456748008728, -0.023670047521591187, -0.6021836400032043, -1.2623538970947266, 0.7595924735069275, 0.25394028425216675, -0.8914819955825806, -1.4275619983673096, 0.938990592956543, 1.4964158535003662, 1.6583976745605469, -0.5290248990058899, 0.03663957118988037, -1.0646209716796875, 0.81090247631073, -0.9496232271194458, -0.4334203898906708, 0.3502781391143799, 0.1219770610332489, -1.0034301280975342, 1.0789241790771484, -1.1858845949172974, -1.1464736461639404, 1.390573263168335, 0.0242709219455719, 0.17711128294467926, 0.7811194658279419, -0.607397198677063, 0.42943838238716125, -0.4320172965526581, 0.482563316822052, -0.4686960279941559, 0.6706427931785583, 1.8568660020828247, 0.0041047800332307816, -0.3486173152923584, -1.5750699043273926, 0.8700655102729797, 0.9298930168151855, 0.8472719192504883, -0.007507055997848511, 0.4526435136795044, -1.4471412897109985, -0.2796488106250763, -1.2157243490219116, 0.031129121780395508, -1.4555943012237549, 0.8111848831176758, 1.1750437021255493, -0.49084728956222534, 2.4817728996276855, 1.660150408744812, 0.4784506559371948, -0.44738852977752686, 0.18281838297843933, -1.1310193538665771, 0.8571744561195374, 1.3963593244552612, 0.2776377201080322, -1.0475022792816162, -1.2924548387527466, 1.8366235494613647, 0.22719819843769073, -0.13407796621322632, 1.3577322959899902, -0.36066561937332153, 0.5412937998771667, -0.25274890661239624, 1.1679381132125854, -1.3415143489837646, 1.3512403964996338, 0.37825697660446167, 0.37395358085632324, -2.5185513496398926, -0.7768408060073853, 1.2658538818359375, -0.4196550250053406, 1.7169873714447021, 0.21096572279930115, 0.6344748139381409, 0.40769755840301514, 1.399902105331421, -0.1038990318775177, -0.04402806609869003, 0.5895555019378662, -1.0273722410202026, 2.439788341522217, 0.49375513195991516, -0.0269327312707901, -0.33632248640060425, 0.03580808639526367, 0.2884911298751831, 0.5776411890983582, 0.4312807321548462, -2.265040397644043, 0.537470817565918, -0.38388293981552124, 0.9267081022262573, -0.7203127145767212, 1.134578824043274, 0.5023574829101562, -1.040947437286377, -0.0689607784152031, -2.068899154663086, -0.04728028178215027, -0.7174060344696045, -1.7111680507659912, -1.2933940887451172, -1.5978714227676392, 1.1825908422470093, 1.648722529411316, -0.4848332405090332, 1.0816353559494019, 1.2249308824539185, -0.5045979022979736, -2.2830142974853516, 0.34497323632240295, -0.052325546741485596, -0.03756296634674072, -1.9323134422302246, -0.679859459400177, 0.427889883518219, 1.2283883094787598, 1.232243537902832, -0.10256251692771912, -1.7832833528518677, 0.21159158647060394, -0.0892288088798523, -0.10833091288805008, 0.43411245942115784, 0.0874897837638855, 2.57588529586792, -1.4905123710632324, 1.7364757061004639, 0.40765392780303955, -0.7012128829956055, -0.757158100605011, -2.6642465591430664, 1.315824031829834, 0.6073312759399414, -1.2765496969223022, -0.7981299757957458, -1.1457700729370117, -2.14123272895813, -0.493294894695282, -0.5223530530929565, 0.5356631278991699, 0.5608340501785278, -1.2373554706573486, 1.0546084642410278, -0.7864006757736206, -1.4378492832183838, -0.07844549417495728, 1.1678005456924438, 0.2102556824684143, -1.624974250793457, -0.1279934048652649, 0.9386190176010132, -2.770226001739502, 1.435418963432312, 0.18889552354812622, -0.7391828298568726, 0.5568147897720337, 0.9792259335517883, 0.21119357645511627, -0.1898711919784546, -0.4859658181667328, 2.0012476444244385, -1.172278642654419, 0.36213356256484985, 0.7627066373825073, -1.2872538566589355, -0.1997985690832138, 1.6170985698699951, 1.0225958824157715, 0.9691017866134644, -0.02731650322675705, -1.3933942317962646, 1.2243058681488037, -0.5371392965316772, -0.36074936389923096, -0.6907898187637329, 0.29970046877861023, -0.4436042308807373, 1.3095771074295044, 0.839769721031189, 1.0100188255310059, 1.46803879737854, -0.148795947432518, -0.23259365558624268, 1.4277297258377075, 0.934454619884491, -2.1679913997650146, -0.0038722753524780273, 1.999061107635498, -0.4023960530757904, -0.2759701907634735, 0.221882626414299, 0.7829412221908569, 0.6124684810638428, -1.7152502536773682, -1.267175316810608, -0.35294684767723083, -0.3102351725101471, 0.132521390914917, 0.15236550569534302, 0.2474919557571411, 1.5081846714019775, -1.058840036392212, -0.9064552187919617, 1.4886329174041748, -0.5080116987228394, 1.1262481212615967, 0.685599684715271, -0.6676007509231567, 2.1650617122650146, -1.0194625854492188, 1.633861780166626, 0.48222672939300537, -0.6094292402267456, 0.7434005737304688, 1.3835554122924805, 1.6337597370147705, 0.39939311146736145, 2.58125901222229, 0.3138192296028137, -0.2359684407711029, -0.5442214608192444, -0.6718295812606812, 0.26846468448638916, -2.453275680541992, -0.7057628631591797, -0.3037711977958679, -0.30303245782852173, -0.4170879125595093, -0.8651001453399658, 0.7804460525512695, -1.952033281326294, 1.732467532157898, -0.7105236053466797, -0.5989785194396973, -1.2276389598846436, -0.1406547576189041, 1.1232120990753174, -1.5101227760314941, -0.5447315573692322, -0.5549491047859192, 0.8414894342422485, 1.7554177045822144, -0.9268835783004761, -1.1462329626083374, -2.167642831802368, -0.27720406651496887, 0.057047199457883835, 0.7039343118667603, -1.5461021661758423, -0.12712371349334717, 0.8226878643035889, -0.6420587301254272, 0.9067549109458923, 0.13443247973918915, -1.2225826978683472, 1.45756196975708, 0.6438167095184326, 1.1888285875320435, 2.2049720287323, -0.4455523192882538, -1.0721431970596313, 0.5046850442886353, 1.302664041519165, -0.8846785426139832, -0.5466198325157166, 0.4472302794456482, 1.2813060283660889, -0.10071659833192825, 0.07869398593902588, 0.030625656247138977, -0.3453086018562317, 1.9565014839172363, -1.11127769947052, 1.5110929012298584, -0.5079441070556641, 1.2504627704620361, -0.11678164452314377, 0.45466944575309753, 0.5769065618515015, 1.2683982849121094, -0.6025078892707825, -0.16517210006713867, -0.9176837205886841, 0.3484683334827423, 1.4863134622573853, -0.5867971181869507, -0.8113911747932434, -0.9245947599411011, -0.23446328938007355, -0.42877840995788574, -0.3171333372592926, 0.4487488567829132, -0.07691264152526855, -0.5839303731918335, -0.3942459523677826, -0.7205556631088257, 0.746786892414093, -1.9911195039749146, 0.2127121239900589, -0.6933160424232483, 0.012894988059997559, -0.43776294589042664, 1.73744535446167, 0.9327987432479858, 0.3371686041355133, -1.865189552307129, -1.173398733139038, -1.3700132369995117, -0.5653423070907593, 0.16133494675159454, -2.6840970516204834, -1.2910254001617432, 0.08335764706134796, -1.1392954587936401, 0.8327281475067139, 0.8951324224472046, 0.8722847104072571, 0.07594500482082367, 1.1772961616516113, 1.2675621509552002, -0.00613553449511528, -0.47505271434783936, 0.8219582438468933, 0.010221242904663086, -0.7028942704200745, -0.4568282961845398, -1.2100640535354614, -0.5458672046661377, 1.1216087341308594, 0.2053462564945221, 0.42345547676086426, 1.160941243171692, -1.7721030712127686, -1.870711088180542, -0.12935665249824524, -0.735393226146698, -0.9224960207939148, 0.6715972423553467, 1.1427775621414185, 0.37302613258361816, -1.0294971466064453, 0.18160264194011688, 1.1731549501419067, -2.113755464553833, -1.0624611377716064, -0.35153666138648987, -0.6352936029434204, 1.1851582527160645, -1.2616745233535767, 0.5483748316764832, -0.845646858215332, -0.5636667013168335, 0.8039084076881409, -1.9003968238830566, -0.2834446430206299, -1.0951099395751953, 1.553083896636963, -0.3702254891395569, 0.9096361994743347, 0.6228262782096863, -1.2223907709121704, 2.033724069595337, -0.5982528924942017, 2.1120431423187256, -1.6034390926361084, 0.9157926440238953, 1.9867557287216187, 0.04617472365498543, 0.13156670331954956, -0.8417311906814575, 1.402543067932129, -0.46741241216659546, 0.2582743167877197, 0.2864687442779541, 1.0220078229904175, 0.5782310366630554, 0.9007393717765808, 1.5962440967559814, -0.5947611927986145, 0.6116024851799011, 0.41640031337738037, -1.0731655359268188, 0.17721056938171387, -0.675453782081604, 0.7372201681137085, -1.3107621669769287, 0.3893551826477051, -0.5915107727050781, 0.3821972608566284, 0.40724921226501465, -0.47215744853019714, -0.4655739665031433, -0.5635335445404053, -0.545194149017334, 0.1574098765850067, -0.04073716700077057, -0.13846759498119354, -1.4320039749145508, 1.5610566139221191, -1.426861047744751, -0.0029670442454516888, 0.7464962601661682, 0.2506067156791687, 1.0206960439682007, 0.14276862144470215, -0.8232603073120117, -0.10067912191152573, 2.7608425617218018, -0.35375311970710754, -0.8549705743789673, -0.14892323315143585, -0.5615230202674866, -0.4238482117652893, -0.25669804215431213, -2.395010471343994, -1.627715826034546, -0.736464262008667, 1.1265572309494019, 1.6876394748687744, 0.2013549655675888, -0.034532010555267334, 0.8038784861564636, -0.15081194043159485, 2.6261544227600098, 0.2128811776638031, -0.17112261056900024, 1.9029399156570435, -0.5214881300926208, 2.0757806301116943, -1.6273224353790283, -0.5478225946426392, -1.3594036102294922, 0.09291189908981323, 0.24688926339149475, -0.23279739916324615, 1.6617980003356934, 1.5395781993865967, -1.260537028312683, 0.05173005536198616, -0.04218071699142456, 1.7403045892715454, -1.4083924293518066, -0.837415337562561, -1.663608193397522, 1.94008469581604, -1.1507469415664673, -0.225943461060524, 0.6398762464523315, -0.5549169778823853, -1.5243632793426514, 0.9304763078689575, 2.3687024116516113, -0.5775703191757202, 0.6986238956451416, 1.5917037725448608, -0.5836998224258423, 1.2836122512817383, 0.694329023361206, -0.3962540626525879, 0.7347297668457031, 0.7040537595748901, -0.3440268039703369, 0.2788303792476654, -0.6850157380104065, 0.7980057597160339, 0.12773755192756653, -1.510993242263794, 0.7258918881416321, -2.0606491565704346, 1.286670207977295, -1.7352452278137207, 0.9474118947982788, 0.9025201797485352, -0.6785471439361572, 0.08797942101955414, 1.4252758026123047, -0.5366550087928772, -0.6026450991630554, -0.244194895029068, 0.6529708504676819, -1.5458247661590576, -0.140962153673172, -0.517493486404419, 0.5412957668304443, -0.8278151154518127, 0.307452917098999, 0.7624435424804688, 0.4281787574291229, 0.7550657987594604, 1.2016615867614746, 0.19992896914482117, 0.13198916614055634, 0.7219029068946838, 1.6563115119934082, -1.2315263748168945, -0.09060798585414886, -0.014472544193267822, -0.3176365792751312, -1.3202779293060303, -1.7945441007614136, -0.3048812448978424, 0.4649224579334259, 0.9529329538345337, 0.28052717447280884, 0.40055522322654724, -0.6386685967445374, 0.5598114728927612, 0.014370650053024292, 1.0308791399002075, 1.0742566585540771, -0.7045133709907532, -0.7290542125701904, 0.9305169582366943, -0.38885587453842163, -0.3252275288105011, -0.7200510501861572, 1.3326562643051147, 0.48596063256263733, 0.24590812623500824, -0.9405546188354492, -2.1377434730529785, -0.6275399327278137, -2.4149506092071533, 0.818088173866272, -0.46075427532196045, 0.28021618723869324, -0.23758473992347717, -0.1565982848405838, -0.35515666007995605, -1.493816614151001, -3.0733566284179688, 0.39166349172592163, 1.7689123153686523, -0.5485585927963257, -0.8496185541152954, 1.3194221258163452, 2.0646519660949707, -0.4193118214607239, -0.7650449275970459, -0.34254640340805054, -1.0024628639221191, -0.3371311128139496, -0.40447402000427246, -0.9189549088478088, -0.3350004255771637, 0.6291002035140991, -0.08132386207580566, -0.4159697890281677, -0.2318044900894165, -2.481706380844116, 1.4918512105941772, -0.7611783742904663, 1.1000258922576904, -0.040706586092710495, -0.01172703504562378, -1.5809917449951172, -0.2770804464817047, 1.3648872375488281, -0.6423338651657104, 0.7895798683166504, -0.3142112195491791, 0.750076174736023, 0.3776073455810547, 1.8573203086853027, -2.072526693344116, 0.23124009370803833, 0.07835426926612854, 0.5393027663230896, -0.08611714839935303, -0.6071557402610779, 0.6739261746406555, 2.4323017597198486, 0.7194105386734009, -0.5316007137298584, -2.041735887527466, 0.04713223874568939, 1.7245209217071533, 0.2955922484397888, 2.0106048583984375, 0.9262859225273132, -0.4192839562892914, 0.7946511507034302, -0.2003960758447647, 1.3306257724761963, 0.16489313542842865, -0.7882798910140991, 1.853725790977478, -1.6221133470535278, 1.1077418327331543, -2.109253168106079, 0.6142875552177429, 0.9733474254608154, -0.8470278978347778, -1.6383864879608154, -0.9972081184387207, -0.7118693590164185, -0.38157469034194946, 1.764678716659546, -1.6872539520263672, -1.9924715757369995, 0.7548943161964417, 0.24106410145759583, 1.309259295463562, 0.6665602922439575, -1.0342826843261719, -0.7373186349868774, -1.427910327911377, -0.45057472586631775, -1.0676313638687134, -2.1750268936157227, 0.6821004748344421, 2.2007439136505127, 0.6280255913734436, 0.5512745380401611, -1.7401697635650635, -0.3969799876213074, -1.5572482347488403, 1.5595594644546509, 1.7237187623977661, 0.8183319568634033, 1.5408220291137695, -1.1413129568099976, 0.8101035356521606, -0.021845459938049316, 1.4457223415374756, -0.6572551727294922, -0.45665672421455383, -0.5598598122596741, -0.6519483327865601, 1.5711826086044312, 0.4798504114151001, -0.1484527289867401, -1.2972612380981445, 0.1923038512468338, -1.418133020401001, -1.7945586442947388, -0.33232057094573975, -0.38780370354652405, 0.2645872235298157, -0.3520898222923279, 0.006100758910179138, 1.1654956340789795, 0.5073299407958984, -0.4085874557495117, -1.1200121641159058, -1.2628495693206787, -1.443744421005249, 2.2282798290252686, -0.5821318626403809, -0.08538515120744705, -0.5527020692825317, -1.4298516511917114, 0.2495878040790558, -1.6180990934371948, 1.9657862186431885, 0.2408343255519867, 0.7476643919944763, 0.4662942886352539, -2.933884620666504, 0.6716095805168152, 0.5047322511672974, 0.8647397756576538, -0.8808672428131104, -0.0880184918642044, -1.8132736682891846, -0.47823745012283325, 0.482713520526886, 0.7782537937164307, -0.44407567381858826, -1.5130563974380493, 0.5823785662651062, 0.19138310849666595, -0.13035404682159424, 0.8224536180496216, -1.4021790027618408, 1.7327595949172974, -0.40386614203453064, -1.3463820219039917, -1.8532469272613525, -0.7002511620521545, -0.8284475207328796, 0.5613154768943787, 3.2396183013916016, -1.2992980480194092, -0.9823554158210754, -1.0259348154067993, 0.4449648857116699, 0.09880973398685455, -0.4566456973552704, -1.0854480266571045, -0.536091685295105, 0.1830953061580658, 0.06654679775238037, -0.2518172860145569, 0.28863561153411865, 0.8939752578735352, 0.11956717073917389, 1.3784847259521484, 0.10209393501281738, 1.9033135175704956, 0.3942427337169647, 0.8396487832069397, 1.2460434436798096, 0.6124226450920105, -0.9869868755340576, -0.9514082670211792, 0.5904257297515869, -2.74737811088562, -0.7829769849777222, 1.4894710779190063, -0.26343825459480286, 1.1801003217697144, -0.6512966156005859, -0.27575379610061646, -0.5786670446395874, 0.20454417169094086, -0.586520254611969, 0.3676691949367523, 2.146998405456543, 0.10635697841644287, -1.2279521226882935, 0.4674946665763855, 1.1705604791641235, -0.6919053792953491, 0.27905625104904175, -0.3065968155860901, -1.6695611476898193, -0.34198659658432007, -1.511855959892273, -0.25236666202545166, -0.8154767751693726, -0.20986245572566986, -2.5833945274353027, -0.02230725809931755, -1.5890272855758667, 0.1634368747472763, -0.5350196957588196, -0.739910364151001, 0.1204250156879425, 0.4582973122596741, 0.5264433026313782, 1.2692537307739258, 0.07774576544761658, -0.5998478531837463, -0.9665595293045044, 0.7267707586288452, -0.023150742053985596, 0.5175895094871521, 0.9124255180358887, -0.39862489700317383, 0.185978502035141, 1.8324072360992432, 0.47785428166389465, 1.912919521331787, -0.47320854663848877, -1.6866862773895264, -0.2520860433578491, -1.4200916290283203, 1.7797257900238037, 0.3317927122116089, 0.20863980054855347, 1.2141571044921875, 0.9111329317092896, -0.08895018696784973, 0.2417319118976593, 1.168647289276123, -1.1196939945220947, -1.947206974029541, 0.5738023519515991, 1.2736408710479736, 0.5759979486465454, -1.8601226806640625, 1.00468909740448, 0.33687490224838257, 1.6633108854293823, 1.4881088733673096, 0.9079463481903076, -1.1118052005767822, 0.5175843834877014, 0.5978026390075684, -0.6922741532325745, 0.1469619870185852, 1.0781935453414917, 0.3470636010169983, 1.390958309173584, 1.1787210702896118, -0.7176747918128967, -0.12946707010269165, 0.6956914067268372, -0.5183091759681702, -1.0767778158187866, 1.3119068145751953, 0.7342171669006348, 1.0091733932495117, -1.2933632135391235, -0.4854776859283447, -0.5421879887580872, -1.3521517515182495, -1.8732492923736572, -0.5956766605377197, -1.959365963935852, 0.43520665168762207, -1.0804321765899658, -0.9225053191184998, 0.25460776686668396, 0.29847800731658936, -0.598970890045166, -0.5434712171554565, 0.9135928750038147, -0.08959648013114929, 1.1118505001068115, -2.452458381652832, 0.11128583550453186, 0.01837560534477234, -0.6873030066490173, 0.637719988822937, -0.01736927032470703, 0.24665914475917816, 0.9664930105209351, 2.453425884246826, 0.543379545211792, -0.47937116026878357, 0.20058810710906982, 0.8836631774902344, 1.2271697521209717, 1.5195512771606445, 0.7134804725646973, 0.043403178453445435, 0.5738794207572937, -0.13741451501846313, -0.47763389348983765, -1.555202603340149, -0.6302826404571533, -1.2313528060913086, 0.1002693772315979, -0.33420613408088684, 1.5099560022354126, 1.013249397277832, -1.163250207901001, -1.3036863803863525, 1.0442254543304443, -1.1990602016448975, 0.6869946718215942, -2.7639827728271484, -0.45399439334869385, 0.2110172063112259, 0.8941130638122559, -1.587367057800293, 2.7324674129486084, 0.5207331776618958, 0.1883271187543869, -1.0729658603668213, 2.2463462352752686, -0.4321504831314087, -1.080139398574829, 0.4545455873012543, -0.48013266921043396, 0.2912130057811737, 1.2988002300262451, 1.0307881832122803, -0.12689226865768433, 0.04815798997879028, -0.18004994094371796, 2.065340518951416, -0.8650797605514526, 0.7070794105529785, 1.1863294839859009, -1.4158997535705566, 0.06933195888996124, -0.13103942573070526, 0.6144334077835083, 0.7458866238594055, -1.9656791687011719, 0.8922695517539978, -1.2642396688461304, -0.9457705020904541, 0.9672162532806396, 1.0844863653182983, -1.3646025657653809, 1.3000926971435547, -0.07109147310256958, 0.8623489141464233, 0.16967393457889557, 0.5707399845123291, 0.16003727912902832, -0.08213375508785248, -0.1838723123073578, -0.07415974885225296, 2.348637580871582, -0.18920306861400604, -0.47622913122177124, -0.12210360169410706, -1.4686497449874878, 0.28332939743995667, -1.7235209941864014, 0.6657570600509644, -1.521321415901184, 0.13852129876613617, -0.5524705648422241, -0.4962902069091797, 1.511473536491394, -0.6047532558441162, 1.3986141681671143, -0.6599565744400024, 1.3094929456710815, 1.4575889110565186, -1.8978705406188965, -0.9480475783348083, 0.9305477142333984, 2.3715858459472656, 0.5936894416809082, -1.8910144567489624, 0.4173436760902405, 0.5108222365379333, 0.5727652907371521, -0.9611034393310547, 1.4143075942993164, 2.838968276977539, 0.1893804967403412, -0.2816794216632843, 1.3790998458862305, 0.1468266248703003, 0.7433484792709351, 1.1432394981384277, 0.3111184537410736, 0.1627141535282135, -1.4391257762908936, -0.9643430113792419, 0.1253814995288849, 0.46235325932502747, -0.06235310435295105, 1.1904033422470093, 0.17709864675998688, 0.10058680921792984, 0.0749279335141182, -1.389531135559082, 0.8305233120918274, 1.143622636795044, -0.9744578003883362, -0.4849574565887451, 0.8541910648345947, -1.660423994064331, -0.8249404430389404, -2.821981191635132, -0.7731839418411255, -2.085888385772705, 1.6036427021026611, 1.209795594215393, 0.1791103631258011, -0.13437548279762268, -0.4660867154598236, 0.2547690272331238, 0.04371151328086853, -1.2941936254501343, -0.27740171551704407, -1.1807020902633667, 0.8872188329696655, 1.8000563383102417, 0.6663929224014282, -0.15956977009773254, -1.3565213680267334, -0.19864852726459503, -0.22219198942184448, -0.2376328408718109, -1.6154526472091675, -0.7928281426429749, 1.3963738679885864, -0.8297362923622131, -0.17950212955474854, -1.4157718420028687, 0.26044198870658875, -0.4486781358718872, 1.4983129501342773, -0.42855948209762573, -1.017798662185669, 1.1274768114089966, 0.30514320731163025, 0.5616670250892639, 1.1193634271621704, 1.212842345237732, -1.4674121141433716, 0.8414179682731628, -0.4900146722793579, 0.8768692016601562, -1.7132928371429443, -0.916834831237793, 0.5981025695800781, 0.32751765847206116, -0.2605053782463074, 0.3093768358230591, 1.7441588640213013, -0.4221161901950836, 0.22873073816299438, 1.2949482202529907, 1.9832837581634521, -0.642418384552002, 1.7848680019378662, 1.2918062210083008, -0.15226443111896515, 2.6892905235290527, 0.31031641364097595, 0.4923791289329529, -2.1852264404296875, 0.4343893229961395, 0.6519936323165894, 0.9650610685348511, -1.5748568773269653, 0.3342795670032501, 0.4171282649040222, -0.690731942653656, -0.3260762095451355, -0.3222212791442871, -0.522171139717102, 0.8365728259086609, -0.9104786515235901, -0.5679308176040649, -0.18339750170707703, 0.835228681564331, 0.5399414896965027, 0.6581491827964783, -0.7408781051635742, 1.432490348815918, -0.7146995067596436, 0.4058716893196106, 0.05230529606342316, -1.368472933769226, -0.5073193311691284, -0.0383417010307312, 0.46482160687446594, -1.2009975910186768, -0.9893698692321777, 0.8242056369781494, 1.096602201461792, 0.7129121422767639, 0.49866169691085815, 1.6064653396606445, -0.3937346935272217, 0.3723004162311554, -0.7607378363609314, -1.2096917629241943, 1.6116262674331665, -0.5341252088546753, -1.0805540084838867, -3.022057294845581, 0.8779132962226868, 0.2349472939968109, 1.7282418012619019, 0.19966916739940643, -0.6849293112754822, 0.4832332134246826, -0.2712664008140564, -0.957083523273468, -2.2023961544036865, 1.201435923576355, -1.210259199142456, -0.11684000492095947, 0.7426407933235168, 1.09258234500885, 0.45474112033843994, 2.892152786254883, 0.4092051088809967, -0.6726616621017456, -0.4304426908493042, 0.07791654020547867, -0.7719273567199707, -0.6428753733634949, 0.7897531986236572, -0.23971375823020935, 0.8099424839019775, 1.3490283489227295, 0.2936466932296753, -1.1693223714828491, 1.0537985563278198, -1.038583517074585, -0.20901134610176086, -1.2036141157150269, 0.27757710218429565, -1.3960219621658325, -1.5339492559432983, -0.2473033219575882, 1.2009458541870117, -1.7646478414535522, -0.9797825813293457, -0.2764832377433777, -1.361168384552002, -1.8600132465362549, -0.7850875854492188, -0.503533661365509, -0.4211808443069458, -0.9286359548568726, -0.6965977549552917, 0.608060896396637, 0.3395240902900696, 0.4205195903778076, 0.006188094615936279, -0.12890100479125977, 0.008643873035907745, -0.29779043793678284, 0.23332306742668152, 0.5582873821258545, -0.20346422493457794, -0.9635587930679321, 0.7702698111534119, -0.8298473358154297, 0.10326865315437317, -2.2368948459625244, 0.36064568161964417, 1.920046091079712, -1.1466047763824463, 1.233481764793396, 0.2797352075576782, -0.2441370040178299, -2.2031259536743164, 0.44952645897865295, -2.8256161212921143, 1.3558813333511353, 0.27176785469055176, 0.26000726222991943, 0.46467965841293335, 0.6445796489715576, -1.1032373905181885, 0.7332788109779358, -0.27932676672935486, -0.30902594327926636, 1.909212589263916, -0.5700820088386536, -0.36398518085479736, -0.7131804823875427, -1.7673003673553467, 0.5850228071212769, -0.9745211601257324, 0.6974995136260986, -1.238464117050171, 1.3167724609375, -1.4526934623718262, 0.6753095388412476, 0.8686220049858093, -1.456775426864624, -0.5899867415428162, 1.0596802234649658, 0.06363897025585175, -1.397822380065918, 2.1452364921569824, -0.8572108149528503, 1.6820497512817383, 1.844566822052002, 0.20208686590194702, -1.0268906354904175, -0.42252007126808167, 0.03792731463909149, -1.317868947982788, -0.6487279534339905, 0.2541103959083557, -0.3271874785423279, -0.5514694452285767, 0.774083137512207, 0.2530391216278076, 2.1596152782440186, -0.6959989666938782, 0.06378133594989777, 0.5733915567398071, -2.3143973350524902, 0.02326839044690132, 0.009655994363129139, -0.9490225315093994, 0.7623733282089233, -1.8675260543823242, -0.5192052125930786, -0.46102452278137207, -0.7256176471710205, 0.16505283117294312, -1.265711784362793, 0.3310323655605316, 0.824526846408844, 0.8392874598503113, 0.043650269508361816, -0.8809108734130859, 1.2325483560562134, -0.3146630525588989, 0.3865470290184021, 1.053076148033142, 0.1393095850944519, 0.6972383260726929, -0.3569633364677429, -0.4951317310333252, 0.42571571469306946, -1.1669068336486816, 1.0050321817398071, 0.887320876121521, -0.5669448375701904, 1.562807559967041, 0.04516187310218811, 1.3999075889587402, 1.8813915252685547, -1.7538946866989136, 0.014313578605651855, -2.2230281829833984, -0.8143825531005859, -2.0432260036468506, -2.6332290172576904, 0.5715600848197937, -1.6021747589111328, -0.49964439868927, -0.5935255289077759, 0.3817984461784363, 1.8550117015838623, 0.4689297676086426, 0.031404897570610046, 1.1424155235290527, 1.105459451675415, 0.8298511505126953, 2.004171133041382, 0.47357627749443054, 0.5269443392753601, 1.089959740638733, -0.0837530717253685, 0.5570565462112427, 1.4126384258270264, -1.2897143363952637, 1.5441025495529175, 1.3098046779632568, -0.5710687041282654, 0.6779305934906006, 2.006319522857666, 0.8697603940963745, 1.479774832725525, -0.592851996421814, 1.2446649074554443, 0.9042786359786987, 0.0876246988773346, -0.03755990415811539, 0.6995514631271362, 0.808306097984314, 0.8420989513397217, -0.9615529179573059, -1.9693799018859863, -0.014483451843261719, 0.0031097829341888428, 0.43812358379364014, -0.01948532462120056, -0.18572348356246948, -0.7863179445266724, -1.177868366241455, -1.8352527618408203, -0.4803100526332855, -1.0559282302856445, 1.4775722026824951, 1.2854591608047485, 1.294996976852417, 1.1571903228759766, -1.028340220451355, -0.9121396541595459, -1.3282897472381592, 0.5662534236907959, -0.7144117951393127, -1.9181206226348877, -0.2617427706718445, -1.0902409553527832, 0.6698933243751526, 1.4756518602371216, -1.1076555252075195, -1.4576112031936646, 0.3577401041984558, 0.2763518691062927, 0.6779382228851318, 0.8549355268478394, 0.39849230647087097, -0.7648990750312805, -3.2081496715545654, 0.6914779543876648, 0.21912874281406403, -1.434978723526001, 1.7706425189971924, -0.10176423192024231, -0.4256831705570221, -0.04699639976024628, -0.8004120588302612, -1.0244323015213013, 1.2739050388336182, 0.5631962418556213, 1.0296597480773926, -0.616487443447113, 0.2943880558013916, -0.2899826765060425, 0.005887657403945923, -0.33885952830314636, 0.7151297926902771, -1.0954596996307373, -0.8816797733306885, -1.6119968891143799, -0.5645357370376587, 1.4117159843444824, -0.06271982192993164, -0.930293619632721, -2.118588447570801, -0.5055307149887085, 0.3226849436759949, 0.5862247943878174, 0.728966474533081, 1.1352956295013428, 0.03111732006072998, 0.4204923212528229, 1.4920268058776855, -0.7681497931480408, -0.3088913559913635, -0.7427782416343689, -1.8869539499282837, 1.2295544147491455, 2.25111985206604, -0.7249385714530945, 1.0382943153381348, -1.2147125005722046, 1.212282419204712, -0.47418415546417236, 0.165449857711792, 0.43975934386253357, -1.2350826263427734, 0.7699376344680786, 1.6097228527069092, 0.5639627575874329, 1.8841371536254883, -1.3136460781097412, -0.5816784501075745, 0.5148521661758423, -1.5118398666381836, -0.7621867060661316, -2.240487813949585, 0.13667812943458557, 0.6157042384147644, -0.9955258369445801, -0.21112282574176788, 1.027951717376709, 0.9893243908882141, 2.23984956741333, 0.017682932317256927, -1.3597928285598755, -1.7515854835510254, -0.4117301106452942, -0.013824999332427979, 0.12803059816360474, -1.4139788150787354, 0.03478449583053589, -1.4761184453964233, -0.0645826980471611, -0.3507000803947449, -0.5502271056175232, 0.08947688341140747, 1.8250529766082764, 0.46818119287490845, -2.0467135906219482, -1.0020891427993774, -0.9783055782318115, 0.40153488516807556, 1.8334707021713257, 0.03408169746398926, 0.7396315336227417, 1.4429740905761719, -0.11785197257995605, -1.1543958187103271, 2.0957894325256348, 0.2183832973241806, 0.14270024001598358, 0.893905520439148, 0.5629292130470276, 2.0004138946533203, -0.962512731552124, -2.147139549255371, 0.08673892915248871, -0.5807619094848633, -1.7354856729507446, 1.3248473405838013, -1.1370850801467896, -0.5594661235809326, -1.4819676876068115, -1.7373251914978027, -1.0143811702728271, 0.6167941093444824, 0.39879679679870605, -0.6579920053482056, 2.0438125133514404, 0.43942371010780334, -1.6515251398086548, -1.0690817832946777, 1.7453004121780396, -0.5993127822875977, 0.23887225985527039, -0.039134204387664795, -0.0657792016863823, -0.3745984435081482, -0.8720130920410156, 0.22979819774627686, -0.33830687403678894, -0.1575198918581009, 0.025572508573532104, 2.370872735977173, -1.104906439781189, -1.224588394165039, 0.502700686454773, 1.8275619745254517, 0.36726146936416626, -2.1105520725250244, 0.13799306750297546, -1.0070672035217285, -1.3666027784347534, 1.1013067960739136, 0.20697873830795288, 1.1996102333068848, 1.3653995990753174, 1.064406394958496, -0.8460292816162109, -0.5522898435592651, -0.6761245131492615, -0.7219911217689514, 0.9561154842376709, 0.13542266190052032, 0.8453602194786072, 0.5103740692138672, 1.4250491857528687, -0.17802777886390686, 1.3449703454971313, -0.6396239399909973, -0.243129700422287, -1.9920145273208618, -1.5394154787063599, -1.1432713270187378, -0.10536383837461472, 0.5133485794067383, 0.1922992765903473, 0.516815185546875, -2.0688252449035645, -0.09133008122444153, -1.776597499847412, 0.15712308883666992, -1.2779145240783691, -0.06681708991527557, 0.10940659046173096, 0.48846790194511414, 2.3714098930358887, -0.6353005766868591, 1.170215129852295, -1.1935906410217285, 1.637679100036621, -1.0170658826828003, 0.3460969626903534, 0.21109291911125183, -1.6787372827529907, 0.9236522316932678, 0.7748703956604004, 0.9647195339202881, -0.9458463788032532, -0.9110466837882996, 0.22965757548809052, -0.4975162446498871, 0.7722914218902588, 1.9948720932006836, -0.870867133140564, 0.641277551651001, -1.1002002954483032, 2.0147857666015625, -0.2404038906097412, -1.6983044147491455, 1.958229660987854, -0.13867419958114624, 2.6451613903045654, -0.45427605509757996, -0.7254822850227356, -0.567159116268158, -0.4161924719810486, -0.32630717754364014, -0.19916434586048126, -0.5853241086006165, -1.58773672580719, 0.6036540865898132, -0.7496392726898193, -2.5093753337860107, 0.3608064353466034, -0.83118736743927, 0.5319358706474304, 0.934799075126648, -1.5664349794387817, -1.3878480195999146, 0.8791825771331787, -2.000070095062256, -1.1386014223098755, 1.3163470029830933, 2.6692540645599365, 0.19136963784694672, 0.5030873417854309, -0.7311944365501404, -0.8062469959259033, 0.20129349827766418, -0.15937548875808716, -0.4248622953891754, -0.5488995909690857, 1.331531286239624, -0.7804272770881653, -0.6740787029266357, 0.48971518874168396, 0.5939860343933105, -0.7099169492721558, -1.5040777921676636, -0.14087113738059998, 0.643608808517456, -1.3111367225646973, 1.8134156465530396, 0.8720476031303406, -0.17603221535682678, -0.664490818977356, 0.20142972469329834, 0.901547908782959, 0.44315817952156067, -1.5924646854400635, 1.045527458190918, 2.598264694213867, 0.12454577535390854, -1.5885648727416992, -0.7603979110717773, -0.9597492814064026, -0.39402949810028076, -0.2271512746810913, -1.398857831954956, 0.03869721293449402, 0.8825553059577942, 1.123778223991394, -0.9462751150131226, -1.4507317543029785, -0.0474286749958992, 0.6989240646362305, -1.4194505214691162, -0.13803541660308838, 0.3987817168235779, -0.756161630153656, 1.5298417806625366, -0.3345254957675934, -0.30728161334991455, 1.3387413024902344, -0.9424728155136108, 1.3026400804519653, 1.4791394472122192, 0.9225006103515625, -0.4500081539154053, -0.6064069867134094, -2.2240729331970215, -0.516819179058075, -1.1469041109085083, 2.3700919151306152, 1.395355224609375, -0.3437444567680359, -0.5099286437034607, 0.6765738129615784, -0.5603975653648376, 0.32780352234840393, 1.6191532611846924, -1.9341096878051758, 1.2470674514770508, 1.8327633142471313, -0.5600023865699768, -0.8727530241012573, 1.0331908464431763, -1.8677903413772583, -1.6229089498519897, -0.8739133477210999, -1.8786979913711548, 0.281360924243927, 0.4479968547821045, -0.45178741216659546, -0.971987247467041, -1.3853051662445068, 0.15913790464401245, 2.2801883220672607, 0.030747637152671814, -1.0736136436462402, -2.3238868713378906, 2.4575250148773193, 0.5392962098121643, 0.5517045855522156, -1.1766715049743652, -0.40646713972091675, 0.7055613398551941, -0.9017153978347778, -0.16030550003051758, 0.29620227217674255, 0.5438165068626404, 0.27519068121910095, 0.7892110347747803, -0.32945817708969116, 0.549270510673523, -0.5028306841850281, -2.652648448944092, -0.8453800678253174, -0.05016988515853882, 1.1553764343261719, -0.402879536151886, 0.9982943534851074, 0.1272110641002655, 0.6943811774253845, -1.443028211593628, -0.9177672863006592, 1.1921197175979614, 1.452658772468567, -0.11022216081619263, -0.5205730199813843, 1.3703322410583496, -0.7929153442382812, 2.3737077713012695, 0.7992256283760071, -1.0078582763671875, -0.6648955941200256, -0.9900795221328735, 0.5628527402877808, 1.9091804027557373, 2.111482620239258, 0.26432111859321594, -0.1485675573348999, 0.18507210910320282, 1.131636381149292, -0.5655209422111511, -0.08887390792369843, 0.7369861602783203, 0.5479954481124878, -0.16994211077690125, 2.117079019546509, -1.6477028131484985, -0.8925479650497437, -0.02756498195230961, 1.7725297212600708, -1.0756604671478271, -0.22017446160316467, -0.0881575345993042, -0.11072251200675964, 0.16410396993160248, -0.3033062219619751, 0.32148247957229614, -1.2282150983810425, -1.1163455247879028, 0.2141803801059723, -2.624356985092163, 1.3347612619400024, -1.3655850887298584, 0.02106563374400139, 1.060781717300415, -1.6054997444152832, -0.66355961561203, -0.200558602809906, 0.634086012840271, -0.8219412565231323, 0.1774422973394394, -0.04321533441543579, 0.07129433751106262, -0.7898564338684082, -0.40106138586997986, -0.24536120891571045, 0.4873165488243103, 1.1321353912353516, 0.4024825394153595, -0.22708292305469513, 0.1309320032596588, -0.6854499578475952, 1.3491002321243286, 0.004740551114082336, -0.5111726522445679, -0.724530041217804, 0.1204211562871933, 0.052805036306381226, -1.4918091297149658, 0.20665672421455383, 2.090501546859741, -2.35037899017334, 0.18956494331359863, 0.9279171228408813, -0.3261796236038208, -0.4654039740562439, 1.74337899684906, -1.1676907539367676, -0.08273692429065704, 0.9197326302528381, -0.8474937677383423, 0.5005170106887817, -0.38040244579315186, -0.31732961535453796, 0.12281277775764465, -0.7940472364425659, -1.5011165142059326, -1.0892497301101685, 0.834906816482544, -2.0867810249328613, 1.5295401811599731, 0.06960819661617279, -1.9183024168014526, -0.8218662738800049, 0.060569554567337036, 0.3311784863471985, 1.5292742252349854, 0.48693859577178955, -1.1492847204208374, -1.8585273027420044, -0.40138471126556396, -0.7980102300643921, -1.5420267581939697, -2.8012750148773193, -1.458620548248291, -0.15268364548683167, 1.1305301189422607, 0.6039003133773804, 1.0305581092834473, 1.599465250968933, 0.26270729303359985, 0.5540949106216431, 0.5556884407997131, -0.2486964464187622, -1.110221266746521, 0.053046468645334244, 1.5045157670974731, -0.11406853795051575, -1.4451379776000977, -1.178892970085144, -0.6264067888259888, -0.6580591797828674, -0.5522763729095459, 1.4593383073806763, 0.36969244480133057, 1.5494182109832764, -1.386070728302002, -0.8458869457244873, -2.6740901470184326, -0.6431035995483398, -0.18541142344474792, -1.5308057069778442, -1.2694257497787476, -0.2380228042602539, -0.7789598703384399, 1.4885996580123901, -0.39258936047554016, 0.9908251166343689, 0.13850069046020508, -1.2247017621994019, 0.3069401681423187, 0.4904719293117523, -1.3912179470062256, -0.2089088261127472, 0.9159464836120605, -0.5147091150283813, 0.031360287219285965, -1.522984504699707, 1.3910348415374756, 0.6794338822364807, -1.5767757892608643, -1.2751803398132324, -1.2748377323150635, -0.06827273964881897, 0.8057454228401184, 0.23290389776229858, 0.514049768447876, 0.7706445455551147, 0.5745227932929993, 1.6161589622497559, -0.4240529239177704, -0.022110670804977417, 0.4603704810142517, -1.6923232078552246, 1.5363599061965942, 0.589231014251709, 0.11712786555290222, -0.3075886368751526, -0.6046066880226135, 0.3435715138912201, -1.1036745309829712, 0.4888211786746979, -0.8306332230567932, -1.828874945640564, 0.7005298137664795, 0.5491621494293213, -0.34343937039375305, 0.6310125589370728, 1.4986902475357056, -0.05614137649536133, 1.15707528591156, 2.158534526824951, 0.09699353575706482, -0.6448458433151245, -1.0192991495132446, -0.3658369481563568, -2.2911665439605713, -1.0875341892242432, -0.6538331508636475, -0.06465333700180054, 0.6686248779296875, 0.08460357785224915, -0.732714056968689, 0.5212451219558716, -1.014286756515503, 0.2600252032279968, 0.16533896327018738, -0.653922438621521, 1.6237008571624756, 0.49534791707992554, -0.75266033411026, -1.5979055166244507, -1.2799521684646606, 3.2556021213531494, -0.10387863218784332, -0.31483957171440125, -0.30425825715065, 0.25032734870910645, 0.48818057775497437, 1.170487880706787, -1.9228215217590332, -1.7767703533172607, 0.6704413890838623, 0.6030123829841614, 2.327772378921509, -0.06526900827884674, 0.5080004334449768, 2.273643970489502, -2.67577862739563, -0.5677557587623596, -0.05226054787635803, 0.7317947149276733, -1.989588975906372, -0.8370223045349121, 0.6787553429603577, -0.8067951202392578, 1.8882026672363281, 0.2144014835357666, 0.9325021505355835, 0.3444550335407257, 1.0045995712280273, 1.4493612051010132, -1.213959813117981, -0.34218326210975647, -0.8324235677719116, -0.40953266620635986, -2.217299461364746, -0.3961707651615143, 0.9207621812820435, -1.6679916381835938, -0.6951656341552734, 0.4303748309612274, -0.8085649609565735, 1.1851847171783447, 0.7182919383049011, 0.6316179037094116, -0.1406160444021225, -0.5463740825653076, -1.2637099027633667, -0.5865030288696289, 0.6656837463378906, 0.7184136509895325, 0.5995751023292542, -0.8557264804840088, 0.1977950930595398, 0.46574681997299194, 0.0206993967294693, -0.2808303236961365, -0.33776479959487915, 0.320906400680542, -0.7245174050331116, 1.605581283569336, 0.13087265193462372, -2.250570774078369, 1.2580041885375977, -0.6129831075668335, -1.0196354389190674, 1.409961223602295, -1.5903526544570923, -0.06399640440940857, -0.0873519778251648, 0.21231666207313538, 0.008000284433364868, 1.378166913986206, -0.6507395505905151, -0.043895214796066284, 0.7186610102653503, 0.38334736227989197, 0.42411085963249207, -0.32282736897468567, 1.0881609916687012, -1.4648630619049072, 1.117002010345459, -2.829495906829834, -0.08747313916683197, 0.42597827315330505, 0.41343361139297485, -0.32341742515563965, 0.09469057619571686, 0.9849503636360168, -0.026470229029655457, -0.4513789713382721, -0.1034400463104248, -1.8259086608886719, 0.15033476054668427, 0.21019497513771057, -0.656868577003479, -1.0063128471374512, 1.6094794273376465, -0.23588323593139648, -0.49692049622535706, -0.7195653915405273, -1.3325982093811035, -0.07318663597106934, 0.2304292619228363, -0.45063772797584534, -0.3679572343826294, 0.40638020634651184, 0.2493281215429306, 0.5454756617546082, -0.2544289529323578, 0.4082341492176056, 1.866315245628357, -0.6841304898262024, -0.2774352729320526, -0.8526713848114014, 0.527698814868927, -1.4239246845245361, -2.057649850845337, -0.3655884265899658, -0.23713278770446777, 1.3789379596710205, 0.15512117743492126, 0.9430018663406372, 0.3409317135810852, -0.17379584908485413, -0.3193637430667877, -0.18348485231399536, -1.9370853900909424, 0.8774722814559937, 1.1231317520141602, 0.6657570004463196, -0.7734932899475098, 0.6439541578292847, -0.9960982799530029, -0.8051929473876953, 0.2945106029510498, -0.3673975467681885, -1.8913335800170898, -1.330949068069458, 0.6334132552146912, -1.6133497953414917, -0.6548348665237427, -0.1832122653722763, -0.08913886547088623, 0.8294872641563416, -0.2589070200920105, 0.19443544745445251, -0.5417250990867615, -0.7982252240180969, 0.25403034687042236, -0.2043706774711609, 1.9679975509643555, 0.08668569475412369, 0.8742742538452148, -0.1332196593284607, 0.15503430366516113, -0.854989767074585, 1.9003300666809082, -0.09676448255777359, 2.4897379875183105, 0.40731990337371826, -1.90122389793396, 0.1226671040058136, 0.15673696994781494, -0.5006130337715149, -0.6908860206604004, 0.7072247266769409, -0.3614543080329895, 1.6096599102020264, -0.11200582981109619, 2.8142411708831787, -1.2082881927490234, 1.3280344009399414, 0.6600339412689209, -2.195241689682007, -1.3322179317474365, -0.7849393486976624, -0.5923043489456177, 1.123079776763916, 1.448976993560791, 0.355521559715271, -0.5807252526283264, -0.5659926533699036, 0.23568198084831238, -2.0624186992645264, 0.22195564210414886, -1.2941604852676392, 0.05868421494960785, -0.2078871876001358, 0.01828378438949585, -0.15734678506851196, -0.5326083302497864, -1.8764156103134155, 1.248122215270996, 0.5285106897354126, 0.3824293315410614, -1.0962580442428589, 0.6967528462409973, 0.7962040305137634, -1.5138963460922241, -0.7452367544174194, 0.7296044230461121, -0.5883457660675049, 0.6891558170318604, 0.8496184349060059, 1.211037278175354, -0.4922427535057068, -0.3772778809070587, 0.3973851501941681, -1.5796083211898804, 0.47081366181373596, -3.405978202819824, 0.15827307105064392, -2.3793516159057617, 0.8766211271286011, 2.7143518924713135, 0.7252864241600037, -1.5047221183776855, 1.2450586557388306, 0.24438148736953735, 1.6142672300338745, 0.21364019811153412, -0.9148823618888855, 0.9216032028198242, -0.3144811689853668, 1.3275915384292603, 0.13787433505058289, -1.07915461063385, -2.309079647064209, -1.8612338304519653, 1.0590624809265137, -0.017085283994674683, 1.7981438636779785, -0.2879091501235962, -1.7165400981903076, -0.7834961414337158, 0.7791925668716431, -1.4514540433883667, 0.0345919132232666, -1.4092068672180176, 0.6676893830299377, -0.13014987111091614, 0.14821197092533112, 1.7068462371826172, 2.4194021224975586, 1.110807180404663, -2.1779894828796387, -0.5739235877990723, -0.11329950392246246, 0.4339158535003662, -1.744163155555725, 1.411429524421692, 0.015171588398516178, -1.3497345447540283, -2.3045761585235596, 0.5755908489227295, 0.5620147585868835, -0.7847487330436707, 0.8089759349822998, -0.61949622631073, 1.3423261642456055, 0.9239038228988647, 0.3368527293205261, -0.2784236669540405, 0.00985756516456604, -0.39183396100997925, -1.0805871486663818, -0.07908865809440613, -0.13199137151241302, 1.220523476600647, -1.1976419687271118, 0.590724766254425, 1.1686526536941528, -0.6132979393005371, 1.249598741531372, -0.6934114098548889, 1.2228503227233887, 1.204221487045288, 0.15206974744796753, -1.8394593000411987, -0.3374873399734497, 0.812844455242157, 0.3991827368736267, -0.8067057132720947, -0.21290063858032227, 0.48945075273513794, -0.6893552541732788, 0.24306529760360718, -0.07749207317829132, -0.4645337164402008, 1.8681668043136597, 0.32751691341400146, -0.6374130845069885, -1.2450865507125854, -0.31981176137924194, 0.9093161821365356, 1.81019127368927, -0.06008154898881912, 0.31980934739112854, 0.1170247346162796, -0.08629518747329712, -0.9536693096160889, -1.0887622833251953, 1.183650016784668, -1.7290656566619873, -0.19368106126785278, -0.21674202382564545, -0.243938148021698, 0.2445668876171112, 0.9454154372215271, 1.5399750471115112, -0.19766680896282196, 1.9316660165786743, 0.19503429532051086, -0.3677945137023926, -0.13175469636917114, -1.2776482105255127, 0.4440178871154785, 1.8151803016662598, 1.7949347496032715, -0.5076665878295898, 0.4519869089126587, 0.14036835730075836, -0.41330721974372864, -1.8194339275360107, 0.6842448711395264, -0.6153311133384705, -1.1283257007598877, -1.538355827331543, 0.29290473461151123, 1.4386142492294312, 1.7490782737731934, -0.245035320520401, -0.7691267132759094, -1.2593908309936523, -0.2304643988609314, 0.7963497638702393, 0.8136622905731201, -1.3299766778945923, 1.2749708890914917, -1.3721084594726562, 0.20914538204669952, 0.5691344738006592, -0.21860283613204956, 0.456938773393631, 0.2760128676891327, -0.7969098091125488, 0.09274826943874359, -1.6794142723083496, 0.6779516935348511, 1.1976878643035889, 1.4557499885559082, -1.8705475330352783, -1.7299853563308716, 1.5648460388183594, 0.015971675515174866, 0.7621033191680908, -0.8116673827171326, 0.13939999043941498, -0.09536486864089966, 0.0998619869351387, 0.4621669054031372, 0.2903476357460022, -1.1218076944351196, 0.5403609275817871, -0.32678043842315674, -0.13632047176361084, -0.1975208818912506, -0.038735516369342804, -0.8558971881866455, -1.4285489320755005, -1.0071507692337036, -0.08515501022338867, 0.4032445549964905, -0.1922406703233719, -1.7981826066970825, 0.09890188276767731, -1.1239490509033203, 0.13120050728321075, -0.6283607482910156, -1.6377309560775757, -0.35109180212020874, -1.6503229141235352, 0.17288781702518463, 1.9704725742340088, 1.9616822004318237, 0.9531772136688232, 0.24299059808254242, 0.5552084445953369, -0.7923790812492371, -0.9742437601089478, 0.7707706689834595, 1.4189636707305908, -0.1548180878162384, 3.157806634902954, -1.4657005071640015, -0.9590753316879272, 0.8521109223365784, 0.5260003805160522, -1.2111790180206299, -0.4111887216567993, -0.03655559569597244, 1.674739956855774, 0.5142979025840759, 0.20785006880760193, 1.8992406129837036, -0.654297411441803, 0.032435983419418335, -0.09480476379394531, 0.9596929550170898, 0.614702582359314, -0.5071052312850952, -0.5117138624191284, -0.33049511909484863, 0.7046124339103699, -1.075879693031311, 1.1950494050979614, -0.5691977739334106, -0.2560504674911499, 1.0617258548736572, -0.775956928730011, 1.0407536029815674, -0.2531976103782654, 1.7597182989120483, 1.528926968574524, 0.9250866770744324, -1.6879889965057373, 0.034641072154045105, 1.424681544303894, 0.406901478767395, -0.07612412422895432, 1.4317532777786255, -1.0705780982971191, -0.18214355409145355, 0.47965455055236816, -0.9840457439422607, -0.5836860537528992, 0.7443127632141113, -0.7425954341888428, -2.1266889572143555, -0.18383480608463287, -0.21906778216362, 0.0027186572551727295, -1.5035732984542847, -0.5465369820594788, 2.1401963233947754, 0.553554356098175, 0.2139844298362732, 1.2885644435882568, -0.191181480884552, -1.0117697715759277, 0.3216035068035126, 0.03897997736930847, 1.1405925750732422, 0.15513482689857483, 0.4208730161190033, 0.6993144154548645, -2.1317710876464844, -0.148548424243927, 0.5186988115310669, -1.60739266872406, -0.5707579255104065, 0.33111652731895447, 0.44954508543014526, 1.7876527309417725, 1.2322698831558228, -1.1898995637893677, -0.9192014932632446, 0.7436059713363647, -0.038390979170799255, 0.30899062752723694, 0.13180406391620636, -1.160649299621582, -0.45839428901672363, 0.4104252755641937, 2.885066270828247, 0.2828044891357422, -0.4352337718009949, 1.742422342300415, 1.0024919509887695, -1.0720330476760864, 0.7525420784950256, 1.2726914882659912, 0.6808511018753052, -0.5121462345123291, 1.417711853981018, -0.8932936191558838, -0.11197201907634735, -0.246422678232193, -1.9769426584243774, 0.5477750301361084, -0.690136730670929, -0.6576932668685913, -0.09563985466957092, 0.0811968743801117, -0.3383079171180725, 1.3256162405014038, -0.23842693865299225, -0.8810398578643799, -0.9753251075744629, 1.416114091873169, 0.3699708878993988, -0.3303348124027252, 0.5632030367851257, 0.3068019151687622, -0.6404187083244324, 0.672166645526886, 2.1767232418060303, 0.06521040201187134, 1.328407883644104, 0.5936534404754639, 0.5491137504577637, 0.5348701477050781, 1.0152174234390259, 1.3176425695419312, -1.8042917251586914, -0.15569692850112915, -0.964917778968811, -2.412303924560547, 2.1819875240325928, -1.2803705930709839, 1.4944984912872314, -0.31674155592918396, -0.9150714874267578, -0.4635299742221832, 0.7807354927062988, -0.057149749249219894, 0.28491392731666565, -0.43775659799575806, -0.3033444285392761, 0.5599521398544312, 0.743167519569397, 0.31929469108581543, -0.7908269166946411, -0.7710573077201843, 0.08929675817489624, 0.2883445918560028, -0.08575999736785889, 0.8975983262062073, 0.17586034536361694, -0.31996917724609375, 0.3100752830505371, 0.12863358855247498, -1.1179301738739014, 0.5576664805412292, 0.12407788634300232, 0.9256294369697571, 1.0034102201461792, 0.4308519661426544, -0.4121289551258087, 0.8133140802383423, 0.09230425208806992, -1.7244619131088257, -0.4361478388309479, -0.9150675535202026, 0.5595540404319763, 2.0944690704345703, -0.7444554567337036, 0.55080246925354, -2.485459566116333, 1.1571686267852783, 0.4385778307914734, -0.9910140037536621, 1.0629523992538452, -0.9453679919242859, -1.5352951288223267, -0.38798439502716064, -2.819000720977783, 0.7276127934455872, 1.6480985879898071, 1.2184687852859497, 1.412247657775879, 0.36245614290237427, 0.9829966425895691, 1.1478111743927002, 0.42635053396224976, 0.9287391901016235, -0.8755312561988831, -0.41919732093811035, 1.0027261972427368, 1.2340277433395386, 0.8154604434967041, 1.362184762954712, -1.6806087493896484, -1.307202935218811, -0.745427131652832, -0.3127136826515198, -0.19926045835018158, -0.39797550439834595, -2.170255184173584, -1.5203617811203003, 0.9369212985038757, 0.8923565149307251, -1.1132426261901855, -0.7905259132385254, 0.6498712301254272, -0.45541396737098694, 0.8739541172981262, 0.17670349776744843, 1.1426130533218384, -0.7989414930343628, 0.3695978820323944, 0.6936156153678894, -0.4416726231575012, -0.20666323602199554, 0.6011810302734375, 0.05232429876923561, -0.8764843940734863, 0.22584682703018188, 2.3046538829803467, 1.3950058221817017, -0.3845096230506897, -0.25003716349601746, -0.1557241529226303, 1.2491357326507568, -1.8082835674285889, -0.7531478404998779, 1.99036705493927, -0.02486822009086609, -0.5787634253501892, 0.3763979971408844, -0.8895529508590698, -2.378338575363159, -1.564784288406372, 0.7842473983764648, 0.3630266785621643, -1.3368929624557495, -1.4861109256744385, -0.09356215596199036, 1.000548005104065, 0.4048282206058502, -0.9849932193756104, 0.2318039834499359, 1.4872629642486572, 1.5870009660720825, 1.3058604001998901, 1.196283221244812, 0.7253031134605408, -0.7055039405822754, 1.3629963397979736, 0.185055673122406, -0.962212085723877, 0.27937403321266174, 1.7792168855667114, 0.5697205066680908, -0.6460785865783691, -1.056732416152954, 2.759799003601074, 0.19532018899917603, 2.41591215133667, 2.1582114696502686, 1.408229112625122, -0.9538335800170898, -0.7678552269935608, 0.4827128052711487, 0.558009147644043, 0.6046123504638672, -0.3376700282096863, -2.1369192600250244, -0.7040190696716309, -0.9129317998886108, 0.8180182576179504, -0.717415452003479, 0.9685495495796204, 0.13577552139759064, -1.0239216089248657, -0.060504451394081116, 0.3281899094581604, -0.40372902154922485, -1.2769079208374023, 1.0201116800308228, -0.04196663945913315, -0.5476044416427612, 0.9413880705833435, -1.5816104412078857, 1.3583992719650269, 1.1502903699874878, 0.9946597814559937, -1.0356162786483765, -0.8513092994689941, 0.3189401626586914, 1.1766064167022705, -0.08785183727741241, 0.18987666070461273, 0.16029787063598633, -1.3292317390441895, -1.4783694744110107, 0.628156304359436, -1.0891056060791016, -1.4016938209533691, -0.33963853120803833, -0.9472917318344116, -0.8768804669380188, -0.716505765914917, -0.031651586294174194, 0.6859593987464905, 0.42170387506484985, -0.06791844964027405, 0.41205552220344543, -0.622299313545227, 0.6163049936294556, 0.49391815066337585, -0.5532293915748596, 0.663072943687439, -0.06258505582809448, 0.12096460163593292, 1.2418544292449951, -0.0448216050863266, -2.412125825881958, 0.04676408693194389, 0.17825648188591003, 1.1661808490753174, 0.16364479064941406, 0.7315781712532043, 0.8563284277915955, 0.9441956877708435, -0.6432676315307617, -2.6536519527435303, 0.25784939527511597, 0.356031596660614, 0.19244027137756348, 1.2654860019683838, -0.41492635011672974, -0.826367974281311, -0.5398967862129211, 0.4940524101257324, 0.06869333982467651, 0.9356368780136108, -0.09094654768705368, -1.0349669456481934, -2.3563551902770996, 1.0746208429336548, 0.9038376212120056, 1.5390548706054688, 0.9886411428451538, -0.5961986184120178, 0.7259646058082581, 0.25433117151260376, -1.9500675201416016, 0.6897250413894653, -0.04662519693374634, -0.9741579294204712, -0.0977724939584732, -0.9627314805984497, -1.9370003938674927, -0.9223982691764832, -1.1632531881332397, -1.3332078456878662, 0.2980424165725708, 0.4318615794181824, 1.4895769357681274, 0.717250406742096, 1.690882682800293, 0.7757890224456787, 0.1739092469215393, 1.0749075412750244, 0.19630226492881775, -1.462791919708252, -0.10546399652957916, 0.6569815278053284, 1.1099673509597778, 1.142391324043274, -0.2140253782272339, 0.006841063499450684, 0.7679146528244019, 0.9224303960800171, -1.1954153776168823, -0.005433917045593262, -0.20530438423156738, -0.7228586673736572, 0.1889166533946991, -0.2765679955482483, -0.870555579662323, 0.6078435778617859, -0.9244005680084229, 2.1357908248901367, 0.6792147159576416, 0.7686779499053955, -1.1693239212036133, 0.1512182056903839, 2.6514334678649902, 0.49931687116622925, 1.417290449142456, 0.3674400746822357, -0.6040555238723755, -0.4226510524749756, -2.562870502471924, -0.44391167163848877, 0.3340929448604584, -0.697104275226593, -0.999591588973999, 0.03490340709686279, 0.10188459604978561, 1.0772525072097778, 0.19160568714141846, 1.111932396888733, 0.12785875797271729, -1.1271607875823975, -1.3051847219467163, -0.06291969120502472, 0.3748279809951782, 1.908088207244873, -0.9450823068618774, 0.9924050569534302, -1.7161493301391602, -0.6561952829360962, -0.4623236358165741, -1.7234610319137573, 2.0166022777557373, 0.6353874802589417, 0.03030833601951599, -0.24704036116600037, 0.7811790108680725, 0.19961658120155334, -0.9419558048248291, -1.268131136894226, -1.4554173946380615, 0.19943293929100037, 0.6944059133529663, -1.3817057609558105, 1.724461555480957, 0.682053804397583, -1.2509082555770874, -1.160463571548462, -1.0977507829666138, -0.41869381070137024, -1.6374388933181763, -0.18673720955848694, -0.9442991614341736, -0.7650140523910522, -0.4478576183319092, 1.3336074352264404, -0.800580620765686, -0.905031144618988, 0.41676920652389526, 1.5764245986938477, -1.232829213142395, -1.2431498765945435, 0.7908707857131958, -0.09016582369804382, 1.0540657043457031, -0.12196655571460724, -0.33711230754852295, 1.239964485168457, 1.8648266792297363, -0.03462401032447815, -0.8472915887832642, 0.25858423113822937, -1.2408556938171387, 0.26472118496894836, -0.6045923829078674, 0.6117985248565674, 1.5871338844299316, 0.3342014253139496, -1.078423261642456, 0.2776816487312317, 1.8509310483932495, -0.4423934519290924, -0.6128901243209839, 1.7425165176391602, 1.5686794519424438, 0.42454829812049866, -0.4780327081680298, -1.447481393814087, -0.9058568477630615, 1.0927597284317017, 0.35567212104797363, 0.09013288468122482, -0.782230019569397, 0.8675549626350403, 0.45922285318374634, 1.2048828601837158, 0.1425783783197403, -2.328033924102783, -1.7964732646942139, -0.7226864695549011, -2.1761183738708496, -0.1343991607427597, 0.38324716687202454, 0.49843671917915344, 0.13260063529014587, 1.7171560525894165, 0.5234907269477844, 1.43838632106781, -0.6189662218093872, 1.0065487623214722, 0.8643301725387573, 1.3231030702590942, -0.11656118929386139, 0.03915360942482948, -0.6029046773910522, -2.7398297786712646, 1.2389230728149414, 0.8223869800567627, 1.2368346452713013, 0.8426001071929932, 1.4549719095230103, -0.6274977922439575, 0.8683197498321533, 1.1363964080810547, -0.2638987600803375, -0.5889636278152466, 0.6282939910888672, -0.885775625705719, 2.3616998195648193, -0.4294265806674957, -0.2801787853240967, -0.4220801293849945, -2.308553695678711, 1.8000108003616333, -0.7458334565162659, -0.20051509141921997, -0.49648427963256836, 1.9311466217041016, -1.0430604219436646, 0.24323350191116333, 1.0361467599868774, 0.49795040488243103, -0.1445656418800354, -1.0182653665542603, -1.147399663925171, 1.6006866693496704, -1.4043835401535034, 0.7356213927268982, 0.7877898216247559, 0.19264410436153412, 0.7423520088195801, 1.5724581480026245, -1.869795322418213, -0.9583963751792908, 0.5152944922447205, 1.723503828048706, -0.4988829791545868, -0.23678740859031677, -0.07376851886510849, 0.2392106056213379, 1.1386178731918335, 0.5607144832611084, -1.0180048942565918, -0.04641931504011154, -0.39795640110969543, 0.25056445598602295, 1.6072006225585938, -0.5744004845619202, 1.889014720916748, -1.2060251235961914, 0.005216032266616821, -2.0407376289367676, 1.2377945184707642, -0.3639810085296631, 1.4865409135818481, 0.8271198272705078, 1.7513697147369385, -0.028826579451560974, -0.476117879152298, -1.1535251140594482, -1.6268024444580078, 0.7317577600479126, 0.59888756275177, -0.08064514398574829, 0.07596708834171295, -0.34016314148902893, -1.2052357196807861, 0.17381897568702698, 0.9580022096633911, -0.0045509934425354, -0.1588403433561325, 0.24859857559204102, 1.2420965433120728, -0.7845551371574402, 0.185447096824646, 0.4147142469882965, -0.186397984623909, 0.4823952913284302, 0.5027216076850891, 0.36338841915130615, 0.1439434289932251, -1.6108372211456299, 0.5702725052833557, -0.045457273721694946, -0.7746827602386475, -0.3013378083705902, -0.028404630720615387, -0.82408207654953, -0.18968360126018524, 0.7960706949234009, -1.2986695766448975, -0.4038010835647583, -0.06209764629602432, -0.525835394859314, -0.009639948606491089, 0.777194082736969, 0.5313683748245239, -1.0350282192230225, -0.5405751466751099, 1.3110862970352173, -2.0676097869873047, 0.7435329556465149, -0.08923379331827164, 0.44408783316612244, -1.716044306755066, -0.09755517542362213, 0.3880268633365631, 0.7229585647583008, 3.0999200344085693, -0.9702065587043762, -0.41423797607421875, -0.16877931356430054, 0.24439384043216705, 1.0359828472137451, -1.2781054973602295, 1.5290229320526123, -1.3639994859695435, -2.54359769821167, -0.32984504103660583, -2.0502331256866455, 0.30822768807411194, -0.1470838189125061, 0.5052573680877686, 0.6927849054336548, -0.9060701131820679, 0.4779767692089081, 2.2658426761627197, 0.7613900303840637, 1.3379684686660767, -1.5576961040496826, 1.0514113903045654, 0.2712233066558838, 0.4500751495361328, -1.5339717864990234, 1.241530418395996, 0.3692375719547272, -1.242680311203003, 0.7152903079986572, -0.21706631779670715, -0.7001179456710815, -2.161566734313965, -0.5807732939720154, 1.8300025463104248, -0.6099669933319092, -0.8726664781570435, 0.7565599679946899, -0.555709719657898, -0.7920546531677246, -2.152404308319092, 1.6473898887634277, 0.18518078327178955, -0.2913467288017273, 0.17362450063228607, 0.5665253400802612, 0.5224833488464355, -0.8544840812683105, 1.078974723815918, 0.4392244219779968, 0.1546032428741455, 0.6127527952194214, -1.5497136116027832, 0.23914751410484314, 1.2061530351638794, -0.5874749422073364, 0.9246965646743774, 1.059529185295105, -1.7229770421981812, 0.13684731721878052, -2.6923654079437256, 0.5399657487869263, -0.10832452774047852, 0.9979152679443359, 0.3933092951774597, 0.28451475501060486, 0.8602507710456848, 0.5114299654960632, 1.2003235816955566, -0.27004769444465637, 0.032492104917764664, -1.307993769645691, 1.0097484588623047, -2.786614179611206, -0.620739221572876, -1.1429789066314697, -0.5870814323425293, -0.49305209517478943, 0.5074924826622009, -1.2687938213348389, -1.0751941204071045, 0.5442360639572144, 0.5327873229980469, -1.7784701585769653, -0.4740754961967468, -0.3046874403953552, -0.5972234606742859, -1.0807182788848877, 1.4510564804077148, 0.40118563175201416, -0.30465593934059143, -0.18138399720191956, 1.5549430847167969, 0.41009142994880676, 0.5069278478622437, -2.2277817726135254, -0.2896989583969116, 0.4151710271835327, -0.026730716228485107, 1.0439797639846802, -1.2653076648712158, 0.4314451515674591, -0.5242739915847778, 1.4222073554992676, 0.5370780825614929, 0.28389495611190796, 0.6320576667785645, -0.3103330135345459, -0.527854323387146, -0.07694346457719803, -0.4596005082130432, 1.6401216983795166, 0.667351245880127, 0.2865508198738098, 0.24817496538162231, 0.35470062494277954, -0.3494541645050049, 1.6922338008880615, -0.3604956865310669, -1.2407187223434448, 1.3837621212005615, -1.581923007965088, 1.3697509765625, -0.010042667388916016, -0.28323185443878174, -0.4137161374092102, 0.3710474669933319, 0.2787156403064728, -0.5872719287872314, 1.2765458822250366, -1.295181393623352, -0.12540225684642792, 0.13699284195899963, -0.1857944279909134, 0.25134512782096863, -1.344643235206604, 1.503892421722412, 0.0425548255443573, -0.7790135145187378, -0.8518728613853455, 0.8073130249977112, -0.6995538473129272, -1.3654417991638184, -1.3144859075546265, -1.1107614040374756, 0.6880191564559937, -0.9968792200088501, -0.28089404106140137, 0.18456342816352844, -0.009728670120239258, 0.887638509273529, -0.27023735642433167, -0.9033412933349609, -0.8048020601272583, 0.10177446901798248, -0.9535288214683533, 0.5379166007041931, 0.7274925708770752, -0.2844410836696625, 0.8461153507232666, 0.05630790442228317, -0.37006789445877075, -0.5166079998016357, -0.08216086030006409, -0.5317450761795044, -2.1327688694000244, -0.8724800944328308, -0.8090672492980957, -0.28115811944007874, 1.4461530447006226, -0.5440717935562134, 0.09865790605545044, 0.8495835065841675, 2.822896718978882, -0.7013459801673889, -1.1116811037063599, -1.1524384021759033, 0.1979358047246933, 0.30331140756607056, 0.6861968040466309, -1.6237215995788574, 0.6705400943756104, 0.25355806946754456, 1.3709259033203125, 1.381853699684143, 0.5752342939376831, 0.1703726351261139, 0.2213209867477417, 0.7230591177940369, -0.8166995644569397, -1.3760241270065308, 1.4216305017471313, -0.014999493956565857, -0.12437579035758972, -1.2246966361999512, -0.50734943151474, 1.9559160470962524, 0.0815168023109436, 0.6346560716629028, 0.09979736059904099, -0.9511050581932068, -1.3357486724853516, -1.0482059717178345, -0.06369590759277344, 0.8450590968132019, 0.038068562746047974, -1.1103754043579102, 0.5563226938247681, -1.689871907234192, -0.4358144700527191, -0.9064261317253113, 0.11891151964664459, 0.8339661955833435, -3.414449453353882, 1.7835721969604492, 0.17935580015182495, 0.15010131895542145, 0.8253921270370483, 0.7393367290496826, -0.8590980768203735, -1.6425615549087524, -0.8622890710830688, 0.9655719995498657, -0.14572054147720337, -0.42671820521354675, 1.4629052877426147, 0.5572812557220459, -0.8966505527496338, -0.45221054553985596, -0.5340090394020081, -0.9183657169342041, -0.6386287212371826, 0.04586438834667206, 0.13794220983982086, 0.20422914624214172, 0.622647225856781, 0.5963711738586426, -1.5849381685256958, 0.3426748514175415, 1.8538451194763184, -0.5685689449310303, -0.41311073303222656, -1.7758169174194336, 1.4180577993392944, -1.180419683456421, -0.012613356113433838, -0.33584266901016235, 2.2955322265625, 0.2216690480709076, -1.9181005954742432, 0.048779360949993134, 1.7770016193389893, -0.45994678139686584, -0.5864631533622742, 1.3558595180511475, 0.19852228462696075, 1.4059098958969116, -0.46866583824157715, -0.45110881328582764, -1.7700717449188232, 1.5053648948669434, 0.2640971541404724, -0.04563552141189575, 0.3718538284301758, 1.0036866664886475, 0.003701642155647278, 1.0081559419631958, -2.3696460723876953, -0.7799633741378784, -1.37360417842865, 0.4213089048862457, -0.029821455478668213, -0.33558031916618347, 0.9297852516174316, -0.01565086841583252, -0.07624346017837524, -0.3191625475883484, 0.9673705101013184, 0.24472463130950928, -0.822839081287384, -0.790982186794281, -0.41367509961128235, -1.2119686603546143, -0.013521656394004822, 0.12627944350242615, -1.0015780925750732, -0.20343679189682007, -0.7142137289047241, -0.27046212553977966, 0.3210570514202118, 0.7635561227798462, -0.5402849912643433, -0.887865424156189, 0.07896995544433594, -0.10972314327955246, 1.7245296239852905, -0.05425937473773956, -1.8067834377288818, -0.8357172012329102, 1.4161982536315918, -0.9348961114883423, -0.7655243873596191, 0.10220488905906677, -1.211952567100525, -1.0229122638702393, -0.9807568788528442, -0.29587405920028687, -0.2924171984195709, 2.1816251277923584, 0.5816372632980347, -1.8972294330596924, -1.2331066131591797, -1.2551097869873047, -0.44362226128578186, 0.0878203958272934, 0.7319746613502502, -0.36351144313812256, 0.39811837673187256, 1.262992262840271, 0.2048438936471939, -1.1030187606811523, 1.2336119413375854, -0.6757092475891113, -0.8742345571517944, -0.5340633392333984, -1.1663020849227905, 1.9827911853790283, -1.3918108940124512, -3.0903024673461914, -0.061207838356494904, 1.0601009130477905, 0.7272098064422607, 0.2647473216056824, 1.503675937652588, -0.980558454990387, -0.13591191172599792, -0.41868072748184204, -1.6564356088638306, -0.23866695165634155, -0.720335841178894, -2.051654815673828, 0.14891743659973145, 0.32507768273353577, 2.317094087600708, 0.769959568977356, 0.657916784286499, -0.9682274460792542, 0.288330614566803, -0.9502164125442505, -1.7197778224945068, -1.32588529586792, 1.7835253477096558, 0.014771103858947754, 0.6855422258377075, -0.041644856333732605, 0.6420146822929382, -0.5041239857673645, -1.5818742513656616, 0.5077567100524902, 0.522578775882721, 1.095401644706726, -0.14412236213684082, 0.6880192756652832, 0.7756824493408203, -1.099668264389038, -0.23017799854278564, 0.860282301902771, -0.27593356370925903, 0.45187312364578247, 0.9987025856971741, 1.733424425125122, -0.05196917802095413, 0.3808656930923462, -0.9952986240386963, -0.6736880540847778, 0.5309536457061768, 0.11756167560815811, -1.04440176486969, 0.6059046983718872, 1.1619112491607666, 0.8523072600364685, -0.9351339340209961, 0.8054952621459961, -2.241299629211426, 1.0058623552322388, -0.20964929461479187, 2.1440837383270264, 2.014699697494507, 0.6268572807312012, -0.9159126281738281, 1.331519365310669, 1.7641347646713257, -0.6810562014579773, -0.6608973741531372, 0.3929041028022766, -0.8067407608032227, -1.338987112045288, 2.310619592666626, 1.042968511581421, 0.9259446263313293, 0.8957695364952087, -0.2584688663482666, -0.19626988470554352, 0.7080973386764526, 0.3790561258792877, 0.40006256103515625, 0.7587655186653137, 0.943979024887085, 2.54787540435791, -1.4087820053100586, -0.009628847241401672, 0.4495229125022888, 1.2926225662231445, 0.3884870707988739, -0.3212132155895233, 0.21813827753067017, 1.079413890838623, 0.1679089367389679, -0.014865219593048096, 1.2720675468444824, -0.055878639221191406, 0.6193229556083679, -1.2575879096984863, -0.26574403047561646, -0.44201886653900146, 2.581159830093384, 0.21550816297531128, 1.1033602952957153, 0.41532957553863525, -0.5596122741699219, -0.5527318120002747, -0.4831734001636505, 1.7237788438796997, 0.8806748986244202, 0.34223783016204834, 0.6796200275421143, 1.9881700277328491, -1.9495229721069336, 1.4267537593841553, 0.8857676982879639, -0.2863234579563141, -1.2916738986968994, -1.1485178470611572, 1.449604868888855, 0.6350634098052979, -0.13282111287117004, -1.4645932912826538, 1.5897449254989624, -0.2910122573375702, 0.29225167632102966, 0.21470385789871216, -1.553971529006958, -0.6657463908195496, -0.10524719953536987, -0.35145092010498047, 1.465864658355713, 0.5646374821662903, -0.7077416181564331, -0.3496405780315399, 1.3811191320419312, 1.6906243562698364, -1.2338961362838745, 0.2830171585083008, 0.47252529859542847, 0.9824568033218384, 0.23304687440395355, -0.5418351292610168, -0.13415201008319855, -0.2507637143135071, 0.16906310617923737, 1.6733810901641846, 0.23790132999420166, -0.044999346137046814, 1.322209119796753, 1.3288321495056152, -1.5042742490768433, 1.1984105110168457, 0.36997339129447937, 1.3467386960983276, 0.43822628259658813, 2.4780471324920654, 1.3934210538864136, 0.07962220907211304, -0.038345132023096085, -0.40424343943595886, 0.5116912722587585, -0.4177075922489166, -0.9249985218048096, 2.2165112495422363, 0.7898937463760376, -2.092026710510254, 0.2594221234321594, -0.31029438972473145, 0.04336026310920715, 0.3548556864261627, 0.377217173576355, -0.505176842212677, -0.8368924856185913, -0.07170133292675018, 0.21516096591949463, -0.07649966329336166, 0.0862564891576767, 0.18924802541732788, 1.2196245193481445, 0.47299712896347046, -1.0472385883331299, 0.7016641497612, -0.02850508689880371, 0.24769651889801025, -2.292448043823242, 1.5294699668884277, 0.3451642096042633, -0.8469926714897156, -0.5527440309524536, -2.0902678966522217, 0.6710025072097778, -0.8549723029136658, -1.436866283416748, -0.3719775378704071, 1.8106011152267456, 0.2372187376022339, -0.6495727300643921, 1.0004619359970093, 2.1413652896881104, -1.3781523704528809, 0.23441743850708008, -0.9080321788787842, -0.41305387020111084, -0.5136929750442505, -1.2081105709075928, -1.147406816482544, -0.0832505151629448, 0.9563122391700745, -0.824232816696167, 0.12919142842292786, 0.4622459411621094, 1.4512783288955688, 0.8828851580619812, 0.3382817208766937, 0.030152052640914917, 0.914731502532959, -0.30962932109832764, -3.257052183151245, 0.34295985102653503, -0.3357606530189514, -0.29842156171798706, -0.8872890472412109, 0.4763275682926178, 0.7272138595581055, -1.1308916807174683, 0.8844670057296753, -2.710902690887451, -0.744864821434021, 2.196988821029663, -1.2112606763839722, -0.22404158115386963, -1.3732353448867798, -0.06149753928184509, 0.33875060081481934, -1.039058804512024, 0.4483991861343384, -0.4781549572944641, -1.2729387283325195, 0.33763355016708374, 0.9020893573760986, -0.29368770122528076, -0.3230060338973999, -0.5971978902816772, -1.3413642644882202, 0.17566393315792084, -0.6500841975212097, -0.3249170780181885, -0.22192654013633728, 1.8854408264160156, -0.12736260890960693, 0.6965920329093933, 1.0232596397399902, 0.26623234152793884, -0.3162013292312622, -0.586691677570343, 0.2576117217540741, 0.5522149205207825, 0.4615398049354553, -0.954481840133667, 2.1104702949523926, 1.6743178367614746, 0.15576830506324768, -0.20413702726364136, -0.9098394513130188, -0.41268306970596313, 0.3366817533969879, -1.0052523612976074, 0.8034021854400635, -0.3024492859840393, 0.4481070041656494, -0.7714732885360718, 0.7213220596313477, 0.370357483625412, 0.49095419049263, 0.00026091933250427246, 0.9409092664718628, -0.8517764806747437, 1.0037484169006348, -0.7767289876937866, 0.1257086545228958, 0.02964887022972107, 0.1489841192960739, 0.26098567247390747, -1.014573574066162, 0.8475807905197144, 0.2781742215156555, -1.7078913450241089, -0.6919936537742615, -1.1656577587127686, -2.021925449371338, 0.02318081259727478, 1.0463072061538696, 0.5161924362182617, -0.4021536409854889, 0.8692578077316284, 1.0673006772994995, 0.08086344599723816, -1.9195666313171387, -0.3357485830783844, -1.2467503547668457, -0.4270963668823242, 1.3098540306091309, -1.6783493757247925, -1.6789252758026123, -0.7018442153930664, -1.580489158630371, -0.10843777656555176, -0.7379611730575562, 0.2888109087944031, 0.6319482326507568, 0.5397984385490417, -0.785965621471405, 0.44683191180229187, 1.2328053712844849, 0.9185875654220581, 0.5585008263587952, -1.2536041736602783, 2.334590196609497, 3.0041778087615967, -1.0478508472442627, 1.8689734935760498, 0.9967936873435974, 0.1431276500225067, 0.7913186550140381, 2.4781110286712646, -1.8396458625793457, 0.5199764966964722, 0.8100242018699646, -1.3704123497009277, 0.8490324020385742, -0.2370149791240692, 0.6983648538589478, -0.06690818071365356, 0.9948937892913818, -0.7746680974960327, 1.1795858144760132, -0.5058698654174805, -1.645854115486145, -1.4801721572875977, 0.06310644745826721, 1.3052476644515991, 0.18884921073913574, -2.0291593074798584, 0.36923760175704956, -0.29858702421188354, -0.30935490131378174, 0.26650774478912354, -0.34119126200675964, 0.051175206899642944, 0.7754129767417908, 1.7954539060592651, -0.27523157000541687, -0.8930807709693909, 0.21160639822483063, 1.501957654953003, 0.2609344720840454, -0.3472704291343689, 0.2994757890701294, -0.39021036028862, -0.9636819362640381, -0.5869434475898743, 1.0678998231887817, 1.6545230150222778, 0.887482762336731, -0.7741986513137817, 2.0118727684020996, -0.619787871837616, -1.322646141052246, 1.575810194015503, -0.12595227360725403, -0.8172667026519775, -0.6804859638214111, -1.304656982421875, 0.25044935941696167, -0.4478486478328705, 1.7463455200195312, 2.1252012252807617, -1.673461675643921, -0.8804729580879211, -0.3380444049835205, -0.8450177311897278, -1.2048985958099365, -0.912321925163269, 0.12275730818510056, 2.0035207271575928, 0.36935633420944214, 0.6590813994407654, 0.630071759223938, -0.09812253713607788, 1.7301863431930542, -0.04503917694091797, -1.2534749507904053, -0.5387674570083618, -0.37644270062446594, 2.1080737113952637, -2.844439744949341, 0.6239042282104492, -0.6688105463981628, -0.8135751485824585, 0.36665213108062744, 1.276146411895752, -1.010947823524475, -0.3538990020751953, 0.8442552089691162, 1.6109652519226074, -1.4297499656677246, 0.9261663556098938, -1.6046152114868164, -0.4119739532470703, 1.8024400472640991, -1.5720369815826416, -0.3787212371826172, -0.17626433074474335, 0.21377480030059814, 0.6216882467269897, -1.099747896194458, 0.9564180374145508, -1.0090157985687256, 1.4212113618850708, -1.6816449165344238, -1.054628849029541, 1.2691617012023926, -1.479471206665039, 0.2346942275762558, -1.5064506530761719, -0.31801536679267883, -0.6755669713020325, -1.394970178604126, -0.4612586498260498, 1.9252275228500366, 0.9326077699661255, -1.2942757606506348, -1.3379181623458862, 0.32923275232315063, -1.1298205852508545, -1.5769294500350952, 1.0305557250976562, 1.5305356979370117, 0.3780005872249603, 0.041083477437496185, -0.03417313098907471, -0.22829318046569824, -0.918560266494751, 0.4404067099094391, -0.002044485881924629, -0.8912971019744873, -0.018003687262535095, -1.5038659572601318, 0.9421998858451843, 1.4648334980010986, -0.11059209704399109, 0.04151900112628937, -0.44279247522354126, -0.033159494400024414, -0.46818163990974426, -0.5828744769096375, 0.9413981437683105, -1.377339243888855, 1.7438905239105225, -0.19510722160339355, 1.4452481269836426, -1.0787540674209595, 0.520683228969574, -0.7601349353790283, -2.9177088737487793, 0.19747710227966309, -0.6214967966079712, -1.2448244094848633, -0.5046283006668091, 0.7941127419471741, -0.6865532994270325, -0.5233330130577087, -1.3652982711791992, -0.9232351779937744, -0.5281845927238464, 2.4699153900146484, 0.6295315027236938, -1.0274310111999512, -0.13764482736587524, 0.18320336937904358, 0.7274923324584961, -1.4353320598602295, -0.96053147315979, -0.5721341371536255, -1.2475051879882812, -0.35621875524520874, 0.19885554909706116, -0.6079882383346558, -0.6964977383613586, 0.7868732810020447, 0.2988992929458618, -1.088122010231018, -0.9438849687576294, 0.4307073652744293, -0.06681293249130249, -0.2480228692293167, -1.5818512439727783, 1.1598948240280151, 1.2396738529205322, -2.0291452407836914, -1.0408320426940918, 1.1753910779953003, -1.2696809768676758, -1.3059053421020508, 1.7626200914382935, -0.26127371191978455, 0.8057584762573242, -1.450031042098999, -1.0489691495895386, -0.5973034501075745, 0.7941477298736572, -2.053889513015747, 0.06794251501560211, 0.7872117757797241, -0.4336097538471222, -0.9235280156135559, -1.234624981880188, 0.33894437551498413, -0.3100121021270752, 1.0135862827301025, 1.9392549991607666, 0.1230648085474968, -1.265417218208313, 0.7088229656219482, -1.0256378650665283, -0.8632643222808838, -0.3510357439517975, 0.23074159026145935, -0.8415141701698303, 1.2248178720474243, 0.708297610282898, 0.5213338136672974, 0.7500553131103516, 1.760926604270935, -0.7366477251052856, -0.6757181286811829, -3.0921733379364014, 0.5023205280303955, 0.0665518045425415, 1.6833945512771606, 0.17985190451145172, -0.28314080834388733, -1.2528772354125977, -1.412893533706665, -0.07640688121318817, 0.6457988023757935, -2.8970625400543213, 0.38988032937049866, -0.4580060839653015, 0.45295780897140503, 0.043374910950660706, 2.8130674362182617, 0.17790034413337708, -0.7149078249931335, 2.658572196960449, -1.1792107820510864, 1.1488471031188965, 0.8564257025718689, -1.061764121055603, -0.996379017829895, -1.2505221366882324, 1.2670713663101196, -0.10329300910234451, 2.021456718444824, 1.7334290742874146, 0.4766882658004761, -0.5889031291007996, 0.0718243271112442, 0.8417328596115112, 0.36186617612838745, -0.052231550216674805, 1.3640525341033936, -0.6486010551452637, -1.703701138496399, -0.01223778910934925, 1.5295411348342896, 0.24432912468910217, -0.09414885938167572, -1.0565563440322876, -0.5917771458625793, -2.1739730834960938, 0.2506615221500397, 0.8713305592536926, 0.10157811641693115, -0.3315764367580414, -1.8559517860412598, 0.07489824295043945, 0.4331912398338318, 0.5975680351257324, 0.5509248375892639, -0.42580896615982056, -0.057874441146850586, -0.21998798847198486, -0.036937832832336426, 0.8806235790252686, -0.13469204306602478, 0.7386946678161621, -1.1985169649124146, -0.5975489020347595, 0.9328567981719971, 1.3640226125717163, 0.10579241067171097, 0.47269418835639954, 0.6899716258049011, -0.560200035572052, 0.5910242795944214, 0.2555130422115326, 0.2003265917301178, 0.3831599950790405, -0.47072210907936096, -0.3280135989189148, -1.7540647983551025, -0.11473503708839417, -1.7125508785247803, -0.06168331205844879, -1.3780416250228882, 1.0500692129135132, 0.7609914541244507, -0.6774052977561951, -1.7731199264526367, 0.8801279067993164, 0.23215128481388092, -0.09296415746212006, -0.8734158277511597, 0.9985540509223938, 0.8820412158966064, 1.0890603065490723, -0.9229053258895874, -1.6714885234832764, -0.7064101099967957, -1.1357760429382324, 1.219591498374939, 0.47815144062042236, 0.3842138648033142, 1.0572845935821533, -0.9997107982635498, 0.34743741154670715, 1.363904595375061, 0.9822854399681091, -0.7165104746818542, 0.65519118309021, 0.44222912192344666, 1.1202964782714844, 0.5870596170425415, -0.4134025573730469, -0.8053627610206604, 0.42999178171157837, 1.1537333726882935, -0.15997619926929474, 1.6683671474456787, -2.499101161956787, -0.2880541980266571, -0.6760390996932983, 1.240858554840088, 0.3883838653564453, 0.05753101408481598, 0.8236873745918274, -1.5063390731811523, -1.721181035041809, 0.4394776225090027, 0.1479240208864212, -0.2954670488834381, 0.21842624247074127, -0.8228200674057007, 0.6605280637741089, -0.08498828113079071, -1.3690454959869385, -0.7560856342315674, 1.648305892944336, -0.42608100175857544, -1.2266159057617188, -0.6026068925857544, 0.6128206849098206, -0.8076815605163574, 1.8770101070404053, -0.36367541551589966, -0.3723866641521454, 0.8165839910507202, -0.6057544350624084, -1.1747020483016968, 0.40331241488456726, -1.1033847332000732, 0.2572799026966095, 1.049670696258545, 0.6914563775062561, -0.17746233940124512, 0.4880109429359436, 0.729259192943573, -0.6599883437156677, -0.784143328666687, 0.5901616215705872, -0.2570993900299072, -0.42476966977119446, 1.5718183517456055, 0.6734346151351929, 0.46417343616485596, 0.8528289794921875, -2.0141148567199707, 1.3679863214492798, 1.2209296226501465, -0.4096437692642212, 1.4589097499847412, 1.649074912071228, 0.4750656485557556, 0.8313626050949097, 1.2198885679244995, 0.5854966640472412, -0.20414404571056366, 0.11053524911403656, -0.9717937111854553, -0.024826709181070328, -0.6507762670516968, 0.7420095801353455, -0.3829856216907501, 1.5565924644470215, 0.3060804009437561, -0.43801018595695496, -0.8709657192230225, 0.3404988646507263, -1.835143804550171, -0.6905901432037354, 0.2763994336128235, -0.3638531267642975, -2.280190944671631, -0.1941772848367691, 1.1991814374923706, -0.7223834991455078, -0.9666416645050049, 0.7393377423286438, 0.1598096638917923, -0.5546144247055054, -1.384466290473938, 0.9367637634277344, -0.48229265213012695, 0.6210635900497437, -0.7325314283370972, 0.9116436839103699, 0.7015941143035889, 0.2824605703353882, -2.9202635288238525, -0.21971572935581207, -0.04491516947746277, -1.6694371700286865, 0.7053861618041992, -0.3651661276817322, 1.744954228401184, 0.356601744890213, -1.0545179843902588, -0.45845937728881836, -0.4108808636665344, -0.9417451620101929, -2.471251964569092, -0.4084133207798004, -0.052933722734451294, -0.8486834764480591, 1.488171100616455, 1.4472930431365967, -0.343261182308197, 0.7281636595726013, 0.7805382013320923, 0.7128024697303772, -0.055588558316230774, 0.2539600729942322, -0.2231122851371765, -0.5665031671524048, -0.5626745820045471, 0.021551519632339478, -0.5231135487556458, 0.2295542061328888, -0.5039919018745422, 1.3563463687896729, -0.29390209913253784, 0.30129849910736084, 0.6792358160018921, -0.5450911521911621, 0.6178678870201111, 2.0139288902282715, -1.2660202980041504, 1.4827640056610107, -1.221657633781433, 0.32131093740463257, 0.5810918807983398, -0.2753334641456604, -0.7980255484580994, 0.7752016186714172, 1.0651931762695312, -0.26042360067367554, 0.38013482093811035, 0.853796124458313, 0.5276393294334412, 0.29437175393104553, -2.552877426147461, 0.799966037273407, -0.2536638081073761, 0.30458706617355347, -0.05893039330840111, -0.09014926850795746, -0.9110730290412903, 0.40325579047203064, 2.3062446117401123, 1.4311610460281372, -0.6893330812454224, -0.1987164467573166, -1.078079342842102, -0.24044334888458252, -0.6112778782844543, -0.28897786140441895, -0.34112516045570374, -1.2808181047439575, 1.6935101747512817, 1.9086498022079468, 0.6858261227607727, 1.588929533958435, -1.1834079027175903, -0.07187068462371826, -0.38879311084747314, -1.2399537563323975, 0.9781402349472046, 0.5650476813316345, -0.6630755662918091, -1.7153202295303345, 1.034162998199463, -0.4855511784553528, -0.2702868580818176, -0.002944663166999817, 1.3224024772644043, -1.2480173110961914, -0.0830308198928833, 1.07644522190094, -0.5795544385910034, 0.20578792691230774, 0.7851287722587585, 2.8632867336273193, -1.1504544019699097, 0.9978200197219849, -1.1483217477798462, -0.15496410429477692, -0.070068359375, -0.26080718636512756, 1.713651418685913, -1.7229807376861572, -0.7488044500350952, -0.012816190719604492, -1.383225440979004, 1.0397120714187622, 1.5903767347335815, 0.06966143846511841, 0.8975785970687866, 0.024406403303146362, 1.3438774347305298, 1.9897441864013672, -1.4515844583511353, 0.26002082228660583, 0.12704315781593323, -0.703377366065979, -0.9657953977584839, 0.06436078250408173, -1.3763145208358765, -0.5835680961608887, -0.556977391242981, -1.9739259481430054, 1.096234917640686, -1.2019578218460083, 0.12493595480918884, 0.24041730165481567, -0.15172892808914185, -1.3144745826721191, -1.606289029121399, -0.8133088946342468, -1.0397627353668213, 0.7076894640922546, -0.11708597838878632, 0.020644307136535645, 0.40661001205444336, 0.28495773673057556, -1.1528148651123047, 0.28783661127090454, -0.4270746409893036, 0.04251471534371376, 1.4930143356323242, 1.4807543754577637, 0.7949368953704834, -1.478785514831543, 0.1610744446516037, -0.2865488827228546, -1.670696496963501, 0.39561840891838074, 0.4921860694885254, 1.7447786331176758, -0.31298530101776123, -0.7455927133560181, 1.1518117189407349, -1.495912790298462, 0.35572686791419983, 0.2918480634689331, 1.7992656230926514, -1.0358679294586182, 0.11077043414115906, 0.41373616456985474, 0.2818831205368042, 0.14760994911193848, -1.1017509698867798, 0.31098225712776184, 0.02183486521244049, -1.0585441589355469, -1.3785758018493652, 0.6810277700424194, -0.910270094871521, -0.8941686153411865, 0.44036686420440674, 2.158668041229248, 2.2868900299072266, -0.1129547655582428, 0.2305930107831955, -0.22811861336231232, -0.2643601596355438, 0.04920099675655365, 1.1824251413345337, -0.48196011781692505, 0.49303969740867615, 0.3518165647983551, 0.06332482397556305, 0.9506497383117676, -0.1075756847858429, -0.4573494791984558, 0.4156278371810913, 0.20637406408786774, 0.7116329669952393, 0.33315780758857727, 1.421850323677063, 0.5616887807846069, -0.8984507322311401, -0.05913470685482025, 0.9397160410881042, -0.7351344227790833, -0.3072532117366791, -0.5613155961036682, 1.4368574619293213, 1.032518982887268, 1.8012166023254395, 1.9738836288452148, -1.1290109157562256, -0.7388943433761597, -0.7737650871276855, -0.9386136531829834, -0.6104485988616943, -0.32035332918167114, -0.2073456346988678, 2.010922431945801, -0.6594647169113159, -0.844133734703064, 0.02487337589263916, -0.9263776540756226, 0.8229138851165771, 0.2945520579814911, 1.8719605207443237, -0.9631959795951843, 0.8624513149261475, -1.5638394355773926, -1.1767653226852417, 1.0882292985916138, -0.9448273777961731, 1.1727583408355713, -0.55718994140625, 0.6135632395744324, -1.7109758853912354, -0.04604700207710266, -1.0100548267364502, -0.8274675607681274, 0.9533803462982178, -0.607754647731781, 0.8558146357536316, -0.3752216100692749, 0.4271944761276245, 2.512037992477417, -1.1873939037322998, 1.668570637702942, -0.49824386835098267, -0.3016042113304138, -0.9708721041679382, -0.6148064732551575, -0.5964155793190002, -2.1536366939544678, 0.018288463354110718, -0.027764707803726196, 0.42695319652557373, -0.039886996150016785, -0.2275048792362213, 0.828304648399353, 2.176760196685791, -0.03004854917526245, -1.0750266313552856, -0.342572420835495, -2.0889298915863037, 0.363554447889328, 1.0110567808151245, -0.3952232897281647, -1.2724355459213257, -0.01211710274219513, 0.8903272747993469, -0.8815348148345947, 0.3427082896232605, 0.7769362330436707, 1.118093490600586, -0.7448998093605042, -0.268055260181427, 1.976944088935852, 0.7021971940994263, -1.9913533926010132, -0.6083568334579468, -1.0992192029953003, 0.5226814150810242, -0.9538620114326477, -0.675009548664093, -1.7804509401321411, -0.36256909370422363, 1.6356922388076782, -0.10289523005485535, 0.06302890181541443, -0.17955143749713898, -0.7470826506614685, -1.0437039136886597, 0.13447187840938568, -0.4083881676197052, 0.07182443141937256, -0.4694840610027313, 2.7907814979553223, -0.4652522802352905, -0.6503593921661377, 1.074802041053772, 0.10308700799942017, -1.9649945497512817, 0.1791546642780304, -0.1301070749759674, 0.5567617416381836, 0.04002782702445984, 0.3141199052333832, 0.623410165309906, 0.6931021809577942, 1.5705105066299438, -1.7440129518508911, -0.17520266771316528, -1.15175461769104, -0.7986924648284912, 1.199585199356079, 0.4531016945838928, 0.48389479517936707, -0.6943116188049316, 0.46233826875686646, 0.11889362335205078, 0.0886085033416748, -1.7928977012634277, -0.5415521860122681, 2.831671714782715, 1.2897661924362183, 0.32512128353118896, -0.182721346616745, 0.15853983163833618, 0.5699353218078613, 0.34160563349723816, 0.298114538192749, -0.6080136895179749, -0.06946063786745071, 0.22276347875595093, -1.9628745317459106, 0.08024268597364426, 0.649869441986084, -0.419996976852417, 0.836881160736084, 0.17622852325439453, -0.13448560237884521, 0.39872410893440247, 0.7907372713088989, 0.978692352771759, 1.1142618656158447, 0.22633306682109833, 0.37966519594192505, 1.0917985439300537, 0.8188191652297974, -0.49356743693351746, -1.578617811203003, -1.4433659315109253, -0.0023685824126005173, 0.18097418546676636, -1.2012817859649658, 0.4548620283603668, 0.7274999022483826, -0.14685657620429993, 0.5824959874153137, 0.5353794097900391, 0.8173165917396545, -1.6999034881591797, -1.8148906230926514, 0.7784671187400818, -0.41076424717903137, 0.24689176678657532, 0.8820533752441406, -1.1902858018875122, 0.8371492624282837, -0.878628671169281, -0.5186949968338013, -0.2310304343700409, 1.100486159324646, -0.39861705899238586, -1.101182460784912, 0.9730953574180603, -1.1096142530441284, -0.7912459969520569, -1.9713358879089355, 1.0448870658874512, -0.0997713953256607, -2.271371364593506, 0.43110883235931396, -1.0583114624023438, 0.08561785519123077, -1.2353461980819702, -0.24394047260284424, 1.0870412588119507, -0.36091482639312744, -0.5274374485015869, -0.5313838124275208, -1.3218016624450684, -0.6505528688430786, -0.21811379492282867, 0.6413812637329102, 0.6075447201728821, 0.35923874378204346, 0.44621574878692627, -1.2932896614074707, -0.12558360397815704, -0.710451602935791, -0.4696699380874634, 2.2875306606292725, -1.1130702495574951, 0.5825762152671814, 0.7380584478378296, 0.667095422744751, 0.20955801010131836, -2.0033650398254395, -0.376888632774353, -0.21906761825084686, -1.2634048461914062, -0.7695556879043579, -0.8056602478027344, -1.2609822750091553, 0.17653518915176392, 1.0960590839385986, -0.16591313481330872, 0.049674391746520996, 0.33985379338264465, -0.12491893768310547, -1.369439959526062, -1.058885097503662, 0.007456451654434204, 0.48798614740371704, 0.4279164671897888, 1.074737310409546, 0.2959285378456116, -0.37435412406921387, -0.44076186418533325, 0.2717968821525574, -0.7820479273796082, 1.0883909463882446, 0.5839073657989502, -0.41964012384414673, 0.2251315712928772, 2.2946677207946777, -1.0298939943313599, -1.2212281227111816, 0.8857329487800598, 0.8582412600517273, -0.41650861501693726, -0.4808724820613861, -1.5594375133514404, -0.4344034194946289, -1.8983159065246582, 0.08078434318304062, -1.3206169605255127, 1.156928539276123, 0.2446446716785431, -1.8918652534484863, -1.6397969722747803, 0.02439962327480316, -0.5132269859313965, -0.29509738087654114, 1.6590702533721924, 0.37071022391319275, 1.0152376890182495, 0.6742954254150391, -0.3120884895324707, 1.0037471055984497, 0.8181731700897217, 0.5809828639030457, -1.0555781126022339, 2.1370785236358643, -1.056181788444519, 1.4857770204544067, -2.520786762237549, -0.7794848680496216, 1.1075310707092285, 0.6115192174911499, -0.16814829409122467, 0.260931134223938, 0.36524197459220886, 2.4308528900146484, -0.28649020195007324, 1.2718446254730225, 1.657652497291565, -2.0319557189941406, -1.7572696208953857, 0.5433405041694641, -0.34102141857147217, -0.0808166116476059, 2.7482004165649414, 1.0689492225646973, -0.411506325006485, 0.7460246086120605, -0.47047096490859985, -2.0181612968444824, -0.46684154868125916, -0.9132457971572876, -0.8569930791854858, -1.1860785484313965, -2.783858299255371, -1.5205825567245483, -0.7630482316017151, 0.08738358318805695, 1.6828787326812744, 1.707614779472351, -0.30663639307022095, 0.28700295090675354, 0.30408406257629395, -1.7561432123184204, -1.674608588218689, 0.014243601821362972, -0.04252141714096069, 0.9711489677429199, -1.5447875261306763, -0.28359901905059814, -2.0440988540649414, -0.5411887764930725, -0.33702248334884644, -0.1889122575521469, 0.3358369767665863, 0.46106600761413574, -1.5931172370910645, -0.7476942539215088, -0.9975579380989075, -0.8183988332748413, -0.2537648677825928, 0.1534874439239502, -0.49049896001815796, 0.6777365207672119, -1.4772908687591553, -1.4840893745422363, -0.1753082573413849, 0.30790820717811584, -1.384486198425293, 1.1390637159347534, -1.2150603532791138, -1.1625239849090576, -0.2610187530517578, 0.4393976032733917, -0.5026592016220093, -1.7416385412216187, 1.1146374940872192, -1.0735256671905518, -0.35888415575027466, -0.719693124294281, -1.408243179321289, -0.21988677978515625, 0.5305567383766174, -1.006435751914978, -0.6171956062316895, -0.11489439010620117, -0.4691205322742462, -2.4749040603637695, -1.4651360511779785, -0.5902444124221802, 1.2495869398117065, 1.5529463291168213, 0.6598793268203735, 1.0622588396072388, 0.40772172808647156, -0.4490320086479187, 1.0473085641860962, 1.987866759300232, -1.407804250717163, -2.2599549293518066, 0.15229861438274384, -0.16530008614063263, 0.34354662895202637, -0.9298761487007141, -0.7839199900627136, -2.1436595916748047, 0.4474967122077942, 0.4911249279975891, -0.36779144406318665, 0.5031521320343018, -0.17644870281219482, 0.30876588821411133, 0.8212379813194275, -1.414481520652771, 1.0310250520706177, -0.929824948310852, 0.7526305913925171, 1.979689121246338, -0.563808023929596, -1.145971655845642, 0.35088789463043213, -0.8421265482902527, 0.2013843059539795, -2.6691641807556152, 0.6307724118232727, -0.9821503162384033, -3.366999387741089, 0.6801551580429077, -0.9739885926246643, -0.7301387786865234, -0.16764388978481293, 0.9594271183013916, -0.2855835556983948, -0.9366289377212524, -1.6164913177490234, 1.3069504499435425, -1.2538782358169556, -1.5038400888442993, 1.446000099182129, -0.2749631702899933, -0.7370386719703674, -0.3156863749027252, -1.3533008098602295, 0.2819022834300995, -0.5838778614997864, -0.3008418679237366, -1.4321873188018799, 1.767681360244751, -0.2893527150154114, 2.1319122314453125, -0.36898690462112427, 1.2247281074523926, 0.2611530125141144, 0.03912043571472168, -0.14896047115325928, -0.6845302581787109, 0.8596593737602234, 1.9166358709335327, 0.08386319130659103, 0.057083308696746826, 1.3390864133834839, 0.7687327265739441, -1.4647178649902344, 1.787222146987915, 0.7526467442512512, 1.7221375703811646, 1.4099488258361816, -1.0479459762573242, -0.2600820064544678, 1.5465432405471802, 0.5331723690032959, 1.811025857925415, 3.170545816421509, 1.6622236967086792, 1.5207664966583252, 0.7021043300628662, -0.48458150029182434, 1.9959514141082764, 0.08006700873374939, 1.1482982635498047, -0.3185836672782898, 1.2840217351913452, 0.3468872904777527, -1.346393346786499, -0.5617270469665527, 1.9674296379089355, -0.14976969361305237, 0.8054811358451843, -1.136718988418579, -0.22756986320018768, 0.8888961672782898, -0.9883735775947571, 0.5155398845672607, 3.0492024421691895, -1.428956151008606, -2.0844805240631104, -0.6162436604499817, -0.12868058681488037, 0.04693347215652466, -0.5572059154510498, -1.6667739152908325, -1.1088652610778809, -0.9119121432304382, 1.031840205192566, 1.8656095266342163, -0.4109293818473816, -0.8795457482337952, -0.6038824915885925, 0.9550671577453613, -1.5930006504058838, -1.1336135864257812, 0.9694970846176147, -0.8831478357315063, 0.21490579843521118, 1.0814793109893799, -0.3930705785751343, 0.399446964263916, -0.9937797784805298, 1.0852043628692627, 0.6766655445098877, -0.05408402532339096, 0.9308809041976929, -0.013165432959794998, -0.6852023601531982, -0.06950230896472931, 0.4540432095527649, 0.08149640262126923, 1.963692545890808, 2.8605809211730957, -2.387876033782959, 1.630904197692871, 2.067133665084839, -0.36639177799224854, 0.3219204246997833, -0.6146532297134399, -0.45071274042129517, 1.0389091968536377, 2.046717643737793, -0.49259960651397705, 0.3126757740974426, -0.3424683213233948, 0.30498242378234863, -0.4266723394393921, -1.7953753471374512, -0.5288716554641724, -0.15777897834777832, -0.8266677856445312, 1.2597711086273193, 0.5686484575271606, -0.028600618243217468, -1.6636947393417358, -0.13363543152809143, -0.517236590385437, 1.5719927549362183, 0.40912753343582153, -2.39833927154541, -0.197734534740448, -0.48028644919395447, -1.2144922018051147, -0.2679217755794525, -2.4859535694122314, 0.5298112034797668, 0.25396010279655457, -0.0026511847972869873, 0.2782000005245209, -0.8342748284339905, 0.25918668508529663, -0.7369602918624878, 0.08893723785877228, 1.1379690170288086, 1.853948950767517, 0.543379545211792, -1.7161954641342163, 2.0415596961975098, 1.1309304237365723, 0.387638121843338, 0.15664565563201904, -0.842564046382904, -0.24653467535972595, 1.8226145505905151, 0.2300802618265152, -1.2507842779159546, 1.0266388654708862, -0.42037466168403625, 0.8264797925949097, 0.31548047065734863, 1.089465856552124, -1.918439269065857, 0.38056761026382446, -1.3436254262924194, -0.23544277250766754, 1.5629148483276367, -0.7814021706581116, -1.1303688287734985, 1.8731809854507446, -0.3603751063346863, 1.1155906915664673, 0.17684707045555115, 0.7965722680091858, 1.9083995819091797, 1.0918951034545898, 0.00709766149520874, 1.3319114446640015, 2.233461380004883, 0.01159997284412384, 0.8786309957504272, -0.11113600432872772, -0.13701915740966797, -0.1188746839761734, 0.07491576671600342, -1.173972249031067, 0.11822548508644104, -0.5651789903640747, -1.0072124004364014, -0.26604950428009033, -1.2837193012237549, -1.1345601081848145, 1.0205111503601074, 1.5294249057769775, 0.5510639548301697, 0.2614107131958008, -0.19389599561691284, 0.14274682104587555, 0.3047555983066559, -1.1946953535079956, 0.9678596258163452, 0.5198962688446045, 0.7710186839103699, 0.49704283475875854, 0.002694174647331238, 0.7305710911750793, 0.9428516030311584, 1.0163723230361938, 0.5266736745834351, -0.1447157859802246, 0.22914421558380127, 1.5118627548217773, -0.6839593648910522, -1.7605878114700317, -1.4124538898468018, 0.663986325263977, -1.6722848415374756, -0.13489490747451782, -0.4041593372821808, 0.8476868271827698, -0.541946291923523, -0.7850513458251953, -0.8450457453727722, -0.10314610600471497, 0.7743080258369446, -1.1966391801834106, 0.27957114577293396, -0.33073872327804565, 0.730452299118042, -0.16838836669921875, 0.44143515825271606, 0.44884181022644043, 0.2983970046043396, 1.895392894744873, -2.2045772075653076, 0.5036951899528503, 3.032712697982788, -1.125142216682434, -0.2880352735519409, -0.8971087336540222, 0.8233770132064819, -0.6222134828567505, -1.7376965284347534, 1.2345260381698608, 0.873490571975708, 0.08534508943557739, 0.4427914619445801, -0.7943437099456787, -1.3092577457427979, -0.49127694964408875, -0.8019974827766418, 0.8411843776702881, 0.012506037950515747, -1.5738825798034668, -0.5732725858688354, -2.4108529090881348, 0.272976815700531, 0.5040112137794495, -0.4036717116832733, -0.31689825654029846, 1.5508005619049072, -0.49564439058303833, 2.386838674545288, -1.1689924001693726, 0.5544577240943909, 1.5493628978729248, 0.729112982749939, -0.5315836668014526, -0.14618870615959167, -1.806391716003418, 0.4410579204559326, -0.8030345439910889, 1.3160076141357422, -0.8890323638916016, 1.1941797733306885, 0.6629197597503662, 1.3501532077789307, -0.797736644744873, -0.17239776253700256, 0.8901899456977844, -0.19415201246738434, -0.8630027174949646, -0.7343308925628662, -1.0794181823730469, -2.2818686962127686, -0.28066766262054443, 2.5394327640533447, -0.23344647884368896, 2.0018796920776367, -0.6767436861991882, -0.567676305770874, -0.24108532071113586, -0.6413187384605408, 0.7143722772598267, -0.30959203839302063, -0.295714408159256, -1.1045396327972412, 0.7281270623207092, -0.7298465967178345, 0.09060314297676086, -2.3660759925842285, 1.5245556831359863, -1.0022425651550293, -1.2251026630401611, -0.5142348408699036, -1.2781797647476196, -0.8044874668121338, 0.24969801306724548, -0.08061982691287994, 0.4193129539489746, 0.5696305632591248, 0.002040848135948181, 0.4474794864654541, -0.7392562627792358, 0.1003570556640625, 0.6292737722396851, -0.42642736434936523, -0.3316041827201843, 0.5432326197624207, 2.1563477516174316, 3.6978278160095215, -0.006537601351737976, 0.3433651328086853, 1.7611620426177979, -0.31371262669563293, 0.9900147914886475, -0.27060240507125854, -0.2169969379901886, -1.8930407762527466, 0.12339816242456436, -0.9507120847702026, -0.2758997082710266, -0.08795803785324097, -0.6455295085906982, -0.3375711143016815, -1.3725517988204956, 0.06585602462291718, -1.1836450099945068, 0.11818400025367737, 1.3049235343933105, 1.1655187606811523, -0.5991786122322083, 0.9286609888076782, 3.2634646892547607, -1.2724435329437256, 0.25189098715782166, -0.390976220369339, -1.2912055253982544, 0.41875723004341125, -1.1419296264648438, -1.1938912868499756, -0.6575343012809753, 1.8963580131530762, -2.4729583263397217, -0.2593078315258026, -0.5253121852874756, 2.341036319732666, -1.2739182710647583, 0.01445402204990387, -0.8868263959884644, 1.5487478971481323, -0.48328250646591187, 0.22812607884407043, 1.209485411643982, -0.09881114959716797, -1.189858317375183, 1.796273112297058, -0.45652681589126587, 0.978980302810669, -0.7937357425689697, -1.7222862243652344, 0.916415274143219, -0.7011081576347351, 0.4573589563369751, -0.24533288180828094, 0.6293856501579285, 1.1516762971878052, -0.5599913597106934, -1.5344161987304688, -1.0501166582107544, -0.8697134256362915, 1.3633544445037842, 0.6312177777290344, 0.5865060687065125, -0.01769694685935974, 0.23985843360424042, 0.5615943670272827, 1.0759191513061523, 0.012944012880325317, 0.32745999097824097, 0.7787789106369019, -0.22026236355304718, -1.9179620742797852, 0.22469249367713928, -0.2609444856643677, 0.6180780529975891, 0.2622572183609009, -0.6090346574783325, -1.3818919658660889, -0.6815798878669739, -0.10485075414180756, -1.8323252201080322, 0.3792172372341156, 0.13775910437107086, 0.14965516328811646, 0.6613427400588989, -0.7125065922737122, -0.4718828797340393, 0.30825182795524597, 0.9520862102508545, -0.943376362323761, 0.38752102851867676, 0.0987323522567749, -0.3223816156387329, 0.8057740926742554, -0.6722829341888428, 1.3455557823181152, 1.644129991531372, 0.44617462158203125, -0.9630298018455505, 2.428183078765869, -0.6306502223014832, 1.3311904668807983, -1.9158855676651, -1.1369941234588623, -0.2682425379753113, 0.08631844818592072, -1.3700352907180786, -0.1562003195285797, 0.7342072129249573, -0.0028762295842170715, -1.5644140243530273, 0.1450340449810028, 0.24482500553131104, 0.3159513473510742, -0.3754871189594269, -0.4861147105693817, 0.6257930994033813, 1.2411091327667236, 0.9184192419052124, 0.005188345909118652, 0.16964682936668396, 0.04948544502258301, -0.9610872864723206, -0.05448333919048309, 1.4444514513015747, 0.9081071615219116, 0.6429293751716614, 0.30472058057785034, 1.1464813947677612, 0.3870721757411957, 0.1157691478729248, -0.9894136190414429, -0.08162397146224976, 0.23608626425266266, 0.955660879611969, -1.8710436820983887, 0.9395930171012878, -2.234459161758423, -1.1442582607269287, 0.04705515503883362, 1.4959437847137451, 0.7089856266975403, -1.3712480068206787, 0.6056805849075317, -0.26257097721099854, 2.2761807441711426, 1.2619431018829346, 0.9114733338356018, 0.09463256597518921, -0.3572414219379425, -0.9274892807006836, -0.0954817533493042, 0.42747530341148376, -0.024100422859191895, 0.39267951250076294, 2.170592784881592, 1.6652928590774536, 0.2944353222846985, -0.9662737250328064, 0.46283093094825745, -0.4764372706413269, -0.5090121030807495, -1.8365806341171265, -1.5727320909500122, 0.4851433038711548, -1.2439675331115723, -0.8484466671943665, 0.9105381965637207, -1.2972261905670166, 0.20884382724761963, 0.11773815751075745, 0.018502742052078247, -1.5656354427337646, 0.9256800413131714, -1.6418166160583496, -0.9741794466972351, -0.8465986251831055, -1.151902198791504, 0.9447413682937622, 2.5968308448791504, 0.9566001296043396, 0.1100229024887085, -1.103941798210144, -0.8251039385795593, 0.9507802724838257, 0.8370226621627808, -0.44354838132858276, -2.312671422958374, 0.5469545125961304, -1.1740903854370117, 1.081072449684143, 0.644028902053833, 0.31524908542633057, -1.396413803100586, 0.4388309717178345, 0.37353700399398804, 1.7426193952560425, 1.3390157222747803, 0.920369565486908, 0.13692855834960938, -1.9245744943618774, 0.6698635220527649, -0.2634568214416504, -0.03663641959428787, -0.6240226030349731, -0.16749276220798492, -1.824195146560669, 0.09256210178136826, -0.417847216129303, 1.0373166799545288, -1.7202423810958862, -0.516305148601532, -1.5880978107452393, 0.07271623611450195, 1.69844651222229, 0.9942435026168823, -0.024861067533493042, -0.8978201746940613, 1.9422550201416016, 0.42330172657966614, 1.5678799152374268, 0.021496456116437912, 0.9195029735565186, 0.2573731541633606, 0.8380473256111145, 0.6437531113624573, 0.3703555464744568, -0.48545730113983154, -0.6789047122001648, -1.4012093544006348, -1.408860683441162, -1.3233567476272583, -1.5979528427124023, 0.07726511359214783, -0.8025045990943909, 1.8445398807525635, -0.8195968866348267, 0.150842547416687, 0.6519407033920288, 1.229285717010498, 0.5526393055915833, 0.7556085586547852, 0.31122201681137085, -1.0508222579956055, 0.7518760561943054, 0.09567555040121078, 1.1943676471710205, 0.9664602875709534, 0.44016414880752563, 0.3020172715187073, 1.0476316213607788, -0.015627384185791016, 0.0436873733997345, -0.7470565438270569, -1.4963208436965942, 1.002166509628296, -0.11010925471782684, -0.5801258087158203, -0.20685544610023499, 0.34347593784332275, -0.43126729130744934, 1.7136728763580322, -0.8909094333648682, 0.02757585048675537, 0.3252958059310913, 0.5237544775009155, -0.12173686921596527, 0.42384493350982666, 0.7352070212364197, -1.1994038820266724, 1.2318915128707886, 0.6158463954925537, -1.8005982637405396, 1.031327724456787, 0.8996511697769165, -1.5630100965499878, -0.3692569434642792, -1.1232777833938599, -1.433913230895996, 0.13879531621932983, 0.5608166456222534, 0.8548102378845215, -0.05354355275630951, 0.20118284225463867, 1.0975514650344849, -0.5656932592391968, -1.6536370515823364, 1.5310661792755127, 1.4749482870101929, -0.825074315071106, 1.0436105728149414, -1.0110214948654175, -0.10512392222881317, 0.26881346106529236, 1.4285176992416382, 0.7060278654098511, 0.5007447004318237, -0.23149797320365906, 0.6409013867378235, 0.14563830196857452, -0.8329570293426514, -0.3639099895954132, -0.6432889699935913, 0.851909875869751, 0.05213695764541626, 0.9216834306716919, -1.0008002519607544, 0.40723875164985657, 1.0940371751785278, -0.6729711294174194, -1.0315523147583008, 1.7427157163619995, 0.213937908411026, -0.5268548130989075, -0.33056676387786865, -0.6474634408950806, 1.798712968826294, -0.1481499820947647, -0.07160382717847824, -1.8095420598983765, -0.23282042145729065, -0.41952866315841675, 0.08365850150585175, 0.7947788834571838, 0.7571160793304443, 1.414564847946167, 0.7146496772766113, 0.026466965675354004, -1.2514389753341675, -0.5823904275894165, 0.43826359510421753, 0.47507405281066895, 1.090914249420166, 0.1731623262166977, -1.9691426753997803, -1.649774193763733, 0.23808278143405914, -1.0428308248519897, 3.121636152267456, 0.09521016478538513, 2.3650436401367188, -0.35540035367012024, 0.9911009669303894, -0.8792058229446411, 0.28654754161834717, 0.7734703421592712, -0.16010048985481262, -0.5445954203605652, 0.6140042543411255, -1.0705567598342896, 0.03351706266403198, 1.9371118545532227, -2.014986276626587, -0.6043484210968018, -0.5784076452255249, 0.9687960743904114, -2.594034433364868, 1.2803804874420166, 1.5149974822998047, -0.4699898958206177, -0.2528051733970642, 0.4759823679924011, 2.0329220294952393, 0.9041458368301392, 0.14829224348068237, 0.7778355479240417, -0.42830073833465576, -1.589213252067566, -0.772841215133667, 0.03880235552787781, -0.327118843793869, 0.2939121127128601, -0.8133475184440613, -2.2025861740112305, 1.738183617591858, 0.5900415182113647, -1.922410011291504, 0.7770509719848633, 1.8912873268127441, -1.2906138896942139, -0.21265809237957, 0.4694623649120331, -1.4328007698059082, -0.0802638977766037, 1.5886704921722412, -0.625320553779602, 0.673660933971405, -1.7472792863845825, -0.26810434460639954, 0.8483632802963257, -1.2282025814056396, 0.5325850248336792, 0.14213630557060242, -2.4035651683807373, 1.5502225160598755, -0.9831374883651733, -3.709268808364868, -0.389005184173584, -0.7795093059539795, 0.7965617179870605, -2.0478179454803467, -0.9803363084793091, -0.6539539098739624, 0.9655416011810303, -0.09654501080513, -0.40478265285491943, -0.8682276010513306, 1.0336412191390991, 0.3658024072647095, -0.6695339679718018, -0.6962221264839172, 1.3102898597717285, 0.9736692905426025, 0.3636617064476013, -0.3605760633945465, 1.6538944244384766, -0.6884589791297913, -1.1060073375701904, 0.8079150319099426, -0.30837076902389526, -1.7191052436828613, -0.026934988796710968, -0.1009824275970459, 0.45606592297554016, 0.7183738946914673, -1.072209119796753, 1.0242116451263428, 1.0902644395828247, -0.17618925869464874, 0.7243155837059021, -0.10257703065872192, 1.4375934600830078, -0.1436220407485962, -1.130927562713623, -0.4214334785938263, -1.470463514328003, -1.6661155223846436, -1.7661302089691162, 0.56871497631073, 0.3473951816558838, -0.4921633005142212, 0.6694333553314209, -0.9244643449783325, -0.6124166250228882, -0.07784316688776016, -0.38037872314453125, 1.3886185884475708, -0.2584521770477295, -0.6600110530853271, -0.4384927451610565, -0.10022038221359253, 0.028708219528198242, 0.10820813477039337, 1.677291989326477, -0.3290032148361206, -0.6134687662124634, -0.43053174018859863, 0.00010541081428527832, -0.3963768184185028, 0.17711028456687927, 0.6962109804153442, 1.8655849695205688, -1.8925195932388306, 0.24980831146240234, -0.8148624300956726, 1.6403454542160034, 0.3176116645336151, -0.3383272588253021, 0.057256538420915604, 0.04886831343173981, 0.3710837960243225, -1.837955117225647, -0.1147383451461792, -0.3575344681739807, -1.0819144248962402, -0.5425642132759094, 1.3285002708435059, 1.286333441734314, 0.40272656083106995, -0.720582902431488, -1.1710801124572754, 2.462921619415283, 0.6903413534164429, 0.16381695866584778, -0.933685302734375, 0.8950157165527344, 0.918267548084259, -0.39355161786079407, 0.43233007192611694, -0.8084884881973267, -1.0103150606155396, 0.014393091201782227, -0.4455021619796753, 0.6777589321136475, -0.6150679588317871, 1.032983660697937, -0.4929080009460449, 0.7445070147514343, 0.8032965660095215, 0.9818013310432434, 0.18925431370735168, -1.0379618406295776, -1.1655031442642212, 0.6501601338386536, -0.5409110188484192, -0.9714493751525879, 0.9252729415893555, 0.29462605714797974, 0.6637466549873352, 0.06319232285022736, 0.44905000925064087, -0.1329224854707718, -1.6799163818359375, -0.5213700532913208, -0.8948500752449036, 0.8267529010772705, -0.6861169338226318, -1.8388298749923706, -1.6710561513900757, -0.7577922344207764, 0.30561861395835876, 1.9118177890777588, 0.4330376386642456, -0.9145737886428833, 0.2057662457227707, -1.1270076036453247, -0.29608312249183655, -1.8564634323120117, 0.028934618458151817, 1.0700817108154297, 0.43703603744506836, -0.43744027614593506, -2.023298978805542, 0.3513958752155304, 0.9607118964195251, 0.12181445956230164, 0.4735754728317261, -0.16434402763843536, 0.9243664741516113, -0.04085266590118408, -0.2625383138656616, -0.9233472943305969, 1.0559053421020508, 1.3935036659240723, -0.5575854778289795, -0.1181076392531395, -2.081362009048462, -2.097486734390259, -0.32319384813308716, -0.42019301652908325, -0.18630075454711914, -1.032127857208252, 0.5920823812484741, 0.6518898606300354, -2.105193614959717, -0.9226056337356567, 0.14643174409866333, -0.1102827787399292, 2.720911979675293, -0.7211201190948486, -0.22532343864440918, -0.6423033475875854, -0.06408418715000153, -0.549160361289978, -1.0122548341751099, 0.655436098575592, -0.41413208842277527, -1.7191392183303833, -0.5050758719444275, 1.28617262840271, -1.356162667274475, -0.35817238688468933, -0.28327476978302, 0.8983863592147827, 0.38150709867477417, 0.6196551322937012, -2.0340259075164795, -0.8811116218566895, -0.07880887389183044, 0.14104455709457397, 1.087137222290039, 0.6130654811859131, 0.19029149413108826, -1.1925257444381714, -0.9093994498252869, 2.401503086090088, 1.1505461931228638, -1.1785029172897339, 0.6921708583831787, -0.45856595039367676, 0.2983935475349426, -0.7206194400787354, -1.2125217914581299, -0.15418913960456848, -1.16915762424469, 1.066880226135254, -0.7609732151031494, 1.0396944284439087, -0.8052912950515747, -1.5423527956008911, -0.47040021419525146, 0.525020956993103, -0.7710210084915161, 1.7680414915084839, -1.0569366216659546, -0.6715900897979736, 0.8138072490692139, 0.05873569846153259, 0.6075297594070435, 0.729800283908844, 0.0038069188594818115, 0.5259779691696167, -1.0116920471191406, -0.5511695742607117, -0.9270407557487488, 1.2625789642333984, -0.9056599140167236, -0.559310257434845, 0.9217203855514526, -0.17168620228767395, 1.7407687902450562, 0.3026926517486572, 0.3364928960800171, -1.2555956840515137, 0.4041401147842407, 0.10273674875497818, 0.06324426829814911, -0.7291874885559082, -2.313314199447632, 0.07263873517513275, 0.7050148248672485, 1.8356549739837646, 0.17855381965637207, -0.5209318399429321, 0.5185771584510803, -1.223453164100647, -0.9024730920791626, 0.4216594696044922, 2.770693063735962, -0.6103223562240601, 1.5227179527282715, 1.259533166885376, 1.1821650266647339, -2.0083441734313965, 1.0626797676086426, -0.6111341118812561, 1.1368082761764526, 0.04582378268241882, -0.8987195491790771, 0.2109096646308899, -0.024136453866958618, 0.926114559173584, 1.2652658224105835, -2.308244228363037, 0.01901332288980484, -2.184657335281372, 0.38227319717407227, -0.04174286127090454, -0.2274482697248459, -0.1497786045074463, 0.3961085379123688, -1.006393551826477, 0.6042013168334961, -1.7026392221450806, 1.4562188386917114, -0.9378695487976074, 0.8495094180107117, -0.16603296995162964, 0.8460053205490112, -1.3155754804611206, -0.3461000621318817, -2.006338357925415, -1.1407768726348877, 1.121334433555603, 1.299473524093628, -0.8309808969497681, -0.8943586349487305, -1.317155361175537, -0.43021419644355774, -1.3692305088043213, 1.3288297653198242, -0.6335509419441223, -1.5519020557403564, -0.4544568955898285, 1.298367977142334, 1.9578615427017212, -0.6899775862693787, 0.9740038514137268, 0.33410021662712097, -0.7822461128234863, 0.1377120316028595, -0.6976121664047241, -1.7842013835906982, -2.7467596530914307, -0.4715753197669983, 0.6837940216064453, -0.495093435049057, 0.38684865832328796, -0.09010198712348938, -0.4808496832847595, -0.16750818490982056, 0.8769338726997375, 0.520522952079773, -0.5399733781814575, 0.12624482810497284, -0.6754245758056641, -0.5260178446769714, 0.6017273664474487, -0.18230652809143066, 1.0448343753814697, 1.0471007823944092, -0.7545658349990845, 1.5748634338378906, -1.0030603408813477, -0.9853800535202026, -1.768550992012024, 0.5138036608695984, 0.7983117699623108, -1.7436705827713013, -1.5280096530914307, -0.8276883959770203, -1.3832852840423584, -1.725149154663086, -0.7234781980514526, -1.2108731269836426, -1.5394902229309082, 2.928189277648926, -0.3246256411075592, 0.9745442271232605, 1.5586590766906738, -0.726040244102478, 0.4943713843822479, -1.692214846611023, -0.4261934161186218, -1.177609920501709, -0.6214749813079834, -1.6403021812438965, 1.469649314880371, 0.6888573169708252, -0.1464756280183792, -0.8885860443115234, -1.3459351062774658, 0.18012958765029907, 0.2802928686141968, 0.05487164855003357, -1.7558788061141968, -0.24070292711257935, -0.8513416051864624, 0.1393333375453949, -1.4182583093643188, 0.7337223887443542, -2.18224835395813, -0.1690109670162201, 0.9544936418533325, 0.23217368125915527, -0.009989559650421143, 1.0457409620285034, 3.0579476356506348, 2.652503728866577, -0.29096928238868713, -0.5152153372764587, 0.22797517478466034, -0.13418562710285187, 0.4318850338459015, 0.4593087136745453, 1.231121301651001, -1.6078459024429321, -0.059662215411663055, -0.9983050227165222, -0.16514816880226135, -0.14148181676864624, -0.6811152696609497, -2.2433035373687744, 0.42790013551712036, -1.1717207431793213, -0.6319918036460876, -0.3169821500778198, -1.6374636888504028, 0.9412130117416382, -0.3661581575870514, 0.36993229389190674, -0.19350767135620117, -0.006652712821960449, 1.0741032361984253, 0.28292182087898254, -1.2878494262695312, -0.012320160865783691, -1.275441288948059, 0.1255418062210083, -0.45110684633255005, -0.8249196410179138, 0.4662099778652191, -1.3725866079330444, 0.7353284955024719, -0.3520122766494751, 1.0655748844146729, -0.9060824513435364, 0.8869078159332275, -0.8514530658721924, 0.5092177987098694, 1.0497931241989136, -0.806091845035553, 0.24667155742645264, 0.34700697660446167, 0.4680878520011902, -1.0711981058120728, -1.668396234512329, -0.7812039852142334, -0.910781741142273, 1.5470937490463257, 0.8904149532318115, 0.1576785147190094, 0.8291033506393433, -0.3877582550048828, -0.18755918741226196, 0.47143682837486267, -0.08427032828330994, 0.1258421242237091, -1.6255601644515991, 1.3128108978271484, 0.6223244667053223, -0.1663893759250641, 1.1053099632263184, -0.041326820850372314, 0.24728956818580627, -2.018484592437744, -0.0926131084561348, -0.5692320466041565, -1.5344209671020508, 0.14779388904571533, 1.1240077018737793, 0.7378339767456055, 0.41003283858299255, -0.10995052754878998, 0.6460981965065002, -0.0677100196480751, 0.44263195991516113, 1.6266322135925293, 0.3874221444129944, 1.0972689390182495, 0.8051100969314575, 0.8981510400772095, -0.5262902975082397, 0.2440510392189026, -0.5949066877365112, 0.3917480707168579, -1.7616215944290161, -0.9085139632225037, 1.1441906690597534, -0.39316555857658386, 0.18510353565216064, 0.22357690334320068, -0.13852345943450928, -1.083879828453064, 0.5529987215995789, 0.8126018643379211, 1.4502583742141724, 0.7230129241943359, -1.088254690170288, 0.6474572420120239, -0.02282095141708851, -0.9688202142715454, -2.363680601119995, 1.629676103591919, -0.00423295795917511, 0.3729627728462219, -0.4362458884716034, 1.1590697765350342, -1.1141002178192139, -1.1213195323944092, -1.343475341796875, -0.39232325553894043, 1.454134464263916, -1.8035987615585327, 0.016278889030218124, 0.9729853868484497, 1.4361989498138428, -0.8680075407028198, -0.5839380025863647, -0.7347633242607117, -0.7114449143409729, 0.8212894201278687, -1.5934195518493652, -0.7451289892196655, 0.8445886373519897, 0.768904447555542, -0.5543930530548096, 0.3377388119697571, 0.002154827117919922, -0.3191388249397278, -1.5555756092071533, -2.144300699234009, -0.3925110995769501, 0.4828069806098938, 0.3272835612297058, -0.37515103816986084, -1.9168283939361572, 0.2499598115682602, -1.9697808027267456, 0.47450965642929077, 0.33546099066734314, 0.023093312978744507, -0.4878915548324585, -0.14590442180633545, 0.39313215017318726, 0.18254119157791138, 1.4922503232955933, 0.15152588486671448, -1.2608299255371094, -0.48946085572242737, 1.576858639717102, 1.417186975479126, -0.8745002746582031, -1.612839937210083, 0.1478511095046997, 0.5940101146697998, -0.1393389105796814, 0.6316799521446228, -1.5009715557098389, -0.2908812165260315, -0.05759403854608536, 0.06003402918577194, 1.716174840927124, -0.5861850380897522, -2.3892343044281006, -0.6551807522773743, 0.9408520460128784, -0.7978357076644897, 0.15985079109668732, -0.6831445097923279, 0.9888708591461182, 1.1318871974945068, -0.19938907027244568, 0.8431968092918396, -0.05724531412124634, -1.1874481439590454, 0.5646563172340393, -0.1983390897512436, -1.2037967443466187, 0.49323779344558716, 0.9121312499046326, 0.8918267488479614, -1.0616832971572876, -1.557948112487793, -2.43757963180542, -0.02829045057296753, -0.23365378379821777, -1.2686887979507446, -0.2750905752182007, 0.7338250875473022, -0.042516812682151794, -0.31449925899505615, -0.806523859500885, 0.6826075911521912, 1.749214768409729, 0.2478903979063034, -0.3200950622558594, 0.890027642250061, 1.8553850650787354, 0.9355406761169434, 0.9956221580505371, -0.7118827104568481, -0.7367761135101318, -0.384924978017807, 1.2306851148605347, 1.3300267457962036, 1.364312767982483, 0.751084566116333, -0.24775773286819458, 1.2168612480163574, -1.4667233228683472, 1.3173924684524536, -0.14424541592597961, 1.9280956983566284, -1.868457555770874, -0.012684017419815063, -0.3791429400444031, -1.9861793518066406, 1.679902195930481, 0.09288108348846436, -0.29434701800346375, -2.6075804233551025, 0.46529707312583923, 0.528188943862915, -0.1200462132692337, -0.1865597367286682, 0.47682055830955505, -0.4546327590942383, -0.7665647864341736, 0.1593058854341507, -1.4878482818603516, 1.420775055885315, 0.5194289088249207, 0.34000855684280396, -1.7597787380218506, 0.16931216418743134, 1.2286922931671143, -0.08818679302930832, -0.598986804485321, 1.565598726272583, 0.6368491053581238, -1.1136726140975952, 0.3789192736148834, 0.3535286784172058, 0.44212159514427185, -0.030232124030590057, -2.2399466037750244, 2.0708727836608887, -0.058478742837905884, 0.5801413059234619, 1.336143136024475, 0.21553924679756165, -0.7559852004051208, 0.835262656211853, -1.7519879341125488, -1.20342218875885, 0.8902022838592529, 0.06364515423774719, -0.8757116794586182, -1.4954298734664917, 0.6325953602790833, 0.7373778820037842, 0.9346089959144592, 0.4583641588687897, 1.900205135345459, 0.24842768907546997, -1.1874374151229858, -1.0093892812728882, 0.8509244322776794, -0.37048059701919556, -0.5381337404251099, 1.3118338584899902, -0.975389838218689, -1.5861479043960571, -0.7515988349914551, 0.19057951867580414, -0.7170668840408325, -1.3733845949172974, 1.3136404752731323, -1.8150088787078857, -1.365283489227295, 0.9025343656539917, 1.204714059829712, -1.2285367250442505, -0.036929190158843994, 1.1135601997375488, -0.8703283071517944, 0.10340429097414017, -0.3991163671016693, 0.17319929599761963, 0.7061861753463745, -0.2450028657913208, 0.9208632707595825, -0.8047046661376953, -1.1413788795471191, -0.6483654975891113, -0.2595406174659729, 0.2829049229621887, -0.5537671446800232, -0.41470569372177124, -0.4831220805644989, -0.24633845686912537, 1.3275327682495117, -1.4163614511489868, 0.07086428999900818, -0.23614554107189178, 0.5301040410995483, 0.38738375902175903, -2.5838892459869385, 0.046345870941877365, -0.7997250556945801, 0.5217886567115784, -0.16935506463050842, 0.4249473810195923, -0.666090726852417, -1.596609115600586, -0.10912251472473145, 0.5849035978317261, 0.7084306478500366, -0.03304445743560791, -0.33314746618270874, 0.717194139957428, -0.4726189374923706, 0.21493776142597198, -0.03414657711982727, 0.0852111279964447, -0.2561189830303192, 0.9097490906715393, -0.5714565515518188, -1.1421105861663818, 1.083951473236084, 0.46209850907325745, 0.5587601661682129, -0.5433480739593506, 0.01592603139579296, -0.05888122320175171, -0.3792743980884552, -0.3569447696208954, 1.511055827140808, -0.5639426112174988, 1.1190093755722046, 2.040719509124756, 0.263128399848938, 0.13174957036972046, -1.4801344871520996, 1.3905608654022217, 0.3304128646850586, -0.8524075746536255, -0.1562923789024353, 0.6652553081512451, -0.5478914976119995, 1.107162356376648, -0.3810330033302307, 0.12284350395202637, -0.431650310754776, 2.460317611694336, 0.6387736201286316, -0.42004823684692383, -0.08590596914291382, -1.0227185487747192, 0.0056993961334228516, 1.444258213043213, -0.16749639809131622, -1.1611695289611816, 0.9423322677612305, -1.1433725357055664, 1.5929949283599854, 1.5895811319351196, -0.6201777458190918, -0.5280039310455322, -1.320966362953186, -0.5767455101013184, 0.7807500958442688, -0.8891390562057495, -0.2555028796195984, 1.448996901512146, 0.30595868825912476, 0.37289178371429443, 1.005360722541809, 1.3866161108016968, -0.3602535128593445, 1.1147078275680542, 0.22163796424865723, -0.46606358885765076, -1.1326332092285156, 0.4649983048439026, 0.29708829522132874, 0.6161506175994873, -0.08991912007331848, 1.8188414573669434, -1.6560595035552979, 0.2856207489967346, 1.933135747909546, 0.38874489068984985, 0.38323789834976196, -0.23962843418121338, 1.0877223014831543, 1.687459111213684, 0.29284292459487915, 0.23317867517471313, -0.7852950096130371, -0.517320454120636, 0.3157593011856079, 1.1485300064086914, 1.6310821771621704, -0.9438871741294861, -0.1127861738204956, -0.8008707761764526, -1.0402721166610718, -0.4925508499145508, 0.4067285656929016, -0.9798305630683899, -0.8888444304466248, -0.6698038578033447, -0.42257189750671387, -0.9366508722305298, -0.4178510308265686, -0.5903781652450562, -0.17150960862636566, 1.0602695941925049, -2.7771449089050293, -0.021739795804023743, -0.550262987613678, 0.10956728458404541, 0.4822671413421631, 0.13137111067771912, 2.569283962249756, 0.5239881277084351, -0.3265097141265869, 1.000535249710083, -0.8692225217819214, 0.9958532452583313, 0.11615370213985443, 0.17428886890411377, 1.4736061096191406, -1.0449028015136719, 0.4119816720485687, -0.5965473651885986, 0.9277812242507935, 0.6545587778091431, 0.7279811501502991, -0.23435643315315247, 1.3009799718856812, -0.7401442527770996, 1.0417168140411377, 0.6953092813491821, -1.1227000951766968, -0.7843325138092041, 0.38703498244285583, 0.08045139163732529, -0.21468093991279602, 0.6149896383285522, -1.2562620639801025, 0.748205304145813, 2.1665451526641846, -0.7399832010269165, -1.2057174444198608, -0.4008314311504364, 1.336082100868225, 1.0556589365005493, -0.32811176776885986, -2.318669080734253, -0.2735903263092041, 0.7310949563980103, 1.087597131729126, 0.28212594985961914, -0.9351271986961365, -0.8139427304267883, -0.1233753189444542, -1.6533973217010498, -0.8468075394630432, 2.4593725204467773, 1.7750149965286255, 1.9019229412078857, -0.23487623035907745, 1.0123766660690308, -0.11457976698875427, -0.06538419425487518, 1.603850245475769, -0.43378835916519165, -1.1848710775375366, -1.2294241189956665, 2.28851318359375, -0.447613924741745, -0.9945187568664551, 0.6323606371879578, 2.188612699508667, 0.5974812507629395, 0.9535720944404602, -2.212460517883301, -1.2380483150482178, -0.37196314334869385, 0.039967067539691925, 1.2244892120361328, -0.017949223518371582, 0.06810205429792404, -0.06452880799770355, 0.937471330165863, -0.1384229212999344, -0.2888750433921814, 0.03306175768375397, 0.08235808461904526, -0.8715891242027283, 2.7509756088256836, 0.1562156230211258, -0.025566518306732178, -0.04472397267818451, -0.6072474718093872, 0.6093591451644897, -1.1981470584869385, -2.2864530086517334, -0.7461799383163452, -0.26595157384872437, -1.584841251373291, 1.7224066257476807, -1.1083345413208008, 0.563950777053833, -1.6158642768859863, -1.4561538696289062, -0.035317033529281616, 0.3313077986240387, -1.3593428134918213, -0.6367217898368835, 1.707273006439209, 0.5080262422561646, -0.23136089742183685, 0.5407972931861877, -1.1970460414886475, -0.5902930498123169, 2.308285713195801, 1.360123872756958, -1.7058024406433105, 0.7522451877593994, -0.6806000471115112, -0.3653873801231384, -1.6402100324630737, -1.4360343217849731, 0.22112256288528442, -0.5096243023872375, 0.34363797307014465, 0.514756441116333, -0.4970276355743408, -1.8874167203903198, 1.4558742046356201, -1.263931155204773, 0.37520307302474976, -1.7813551425933838, 3.4417965412139893, 1.0105648040771484, -1.491935133934021, -0.271503746509552, 1.9042177200317383, -2.0553102493286133, 0.6076204776763916, -0.1439189612865448, 0.36593952775001526, 0.09725167602300644, 0.11774018406867981, -0.05406595766544342, -0.4907551109790802, 0.5235195755958557, 0.4939877986907959, 0.9680113196372986, -1.6885164976119995, -0.6535163521766663, 0.32556039094924927, 0.07165483385324478, 1.7435908317565918, -1.755522608757019, 0.3486461043357849, 1.6432167291641235, -0.3100947141647339, -1.5026791095733643, -1.641079068183899, -1.1876369714736938, -0.587787926197052, -0.18024413287639618, 1.2653779983520508, -0.8626919984817505, 0.03901369869709015, -0.6231647729873657, 0.7237405776977539, -0.18910524249076843, -1.3121742010116577, -0.634270429611206, -0.858316957950592, 1.4079068899154663, -0.6963543891906738, 0.4971250891685486, 0.5812206864356995, 0.5766318440437317, 1.6513943672180176, 0.5670337677001953, 0.6628547310829163, 0.2775428891181946, -0.2379589080810547, -0.7199195623397827, 0.2715935707092285, -0.3064355254173279, -1.4611200094223022, -0.752744197845459, -0.9484925270080566, -1.7699646949768066, -0.6716166734695435, -1.0466852188110352, -0.6103153824806213, -0.24900543689727783, 1.3467141389846802, 0.47946637868881226, -0.12604616582393646, -0.142105370759964, -0.42027217149734497, -1.0665109157562256, -1.3155159950256348, 0.7683977484703064, 0.05190396308898926, 0.76630699634552, -0.06492160260677338, -0.24413788318634033, -0.048054710030555725, -0.8062448501586914, -0.9540076851844788, -0.7753022313117981, 0.057360485196113586, 1.507524013519287, 1.1644948720932007, 1.8599164485931396, -0.07913005352020264, -1.7544944286346436, -0.9492794275283813, 0.8562237620353699, -0.030057087540626526, -0.573095440864563, 0.11632475256919861, 0.3339647948741913, 0.8368422389030457, 1.1051424741744995, -0.44034844636917114, 0.5387274622917175, -0.5518074035644531, -1.3830952644348145, -0.8878476619720459, 0.351622074842453, 0.706069827079773, 2.216327428817749, 1.8555867671966553, 0.026127343997359276, -0.2979808449745178, -0.2368614375591278, 1.6027374267578125, -0.3132343292236328, -1.2791084051132202, 1.2789597511291504, -1.6336185932159424, 0.41191354393959045, -0.28096267580986023, 0.34571555256843567, -0.13367731869220734, 0.32974350452423096, -0.3925865888595581, -1.444983959197998, -1.012107014656067, 1.0226991176605225, 0.9454838037490845, 0.25751614570617676, 1.167137622833252, -1.0240051746368408, -0.5090426206588745, 1.661770224571228, -1.5144922733306885, -0.01446235179901123, 0.47420981526374817, 0.9517751932144165, 0.2536981999874115, -0.778647780418396, 1.3245289325714111, 2.344759225845337, -1.2494323253631592, 1.4098516702651978, -1.645586609840393, -0.07873187959194183, 0.012811705470085144, 0.4593571722507477, -0.1317661553621292, -0.7618708610534668, -0.698856770992279, 0.2499234676361084, 1.7960959672927856, -0.5540896654129028, -0.22710475325584412, 0.5115430951118469, -0.3255717158317566, 0.7108299732208252, -1.0991199016571045, 1.7795640230178833, -0.4827200770378113, 1.7173974514007568, 0.6257913708686829, -0.8367447853088379, -1.2406286001205444, -2.169069528579712, -1.3717830181121826, -0.33537614345550537, 2.911938190460205, 1.7324161529541016, -0.31522345542907715, 0.5151190757751465, -1.1688055992126465, -1.5321636199951172, -0.013744845986366272, -0.36534297466278076, -0.9956614375114441, -0.33009812235832214, -1.7794605493545532, -2.3932199478149414, 1.0906747579574585, 2.1388797760009766, 0.1109727993607521, -1.443106770515442, -0.7417641878128052, 1.309065580368042, 1.1845285892486572, -0.5134952664375305, 0.6188613772392273, 1.1224751472473145, 0.6457072496414185, 1.1918774843215942, 0.6207212209701538, 1.5470454692840576, -0.23879194259643555, 1.0073374509811401, -0.5209735631942749, -0.3836292028427124, 0.184461310505867, 0.5555223822593689, -1.6620395183563232, -0.050552770495414734, -0.3326684236526489, -1.5123270750045776, 0.226419135928154, 1.11347496509552, 1.9565699100494385, 2.08298921585083, 0.24336272478103638, -0.3882465362548828, -0.572437584400177, 0.4110298156738281, -1.392956256866455, 1.0420852899551392, 0.8998744487762451, 1.0280334949493408, -0.8562815189361572, -0.22467175126075745, -0.8817785978317261, -1.1526895761489868, 0.365950345993042, 0.22222484648227692, -0.05042994022369385, 1.055187463760376, 2.116021156311035, -0.35315167903900146, 0.35466721653938293, 0.7313360571861267, 1.3415842056274414, -0.8541902899742126, -2.215301275253296, -0.9113465547561646, 1.6842894554138184, 2.283798933029175, 1.0583477020263672, 0.6569268107414246, -0.3370026648044586, -0.44003379344940186, 0.38006943464279175, 1.313455581665039, -0.4688289165496826, -0.7342645525932312, 0.7013599872589111, -1.5410014390945435, -0.18729500472545624, 0.3127751350402832, -2.894519090652466, -0.23165768384933472, -2.908914566040039, -1.0167832374572754, 0.21518105268478394, -1.0037628412246704, -0.2335529923439026, -0.1138295829296112, 0.4281328320503235, 1.8344844579696655, -0.1574777513742447, 0.5732812285423279, 1.376101016998291, -0.2919933497905731, 0.8701080083847046, 0.2967550456523895, -0.5666042566299438, -1.3592994213104248, -0.2788294553756714, 0.01998203992843628, -0.1193067729473114, -0.8004661798477173, -1.2828160524368286, -2.5489470958709717, 0.4534200131893158, -2.6553003787994385, 0.42828452587127686, -0.57012939453125, -0.947185218334198, 1.4756510257720947, 0.6197582483291626, 0.004851862788200378, 0.004868507385253906, 0.2670576572418213, -0.41685694456100464, 0.5426775217056274, -0.3960798382759094, -0.12118145823478699, -1.0997872352600098, -0.7326884865760803, 0.31191882491111755, -0.5206907391548157, 0.5961942076683044, -0.5742795467376709, 1.200107455253601, -0.9637053608894348, -0.2828991115093231, -0.2126038521528244, 0.11715859174728394, 0.19585931301116943, 0.36700111627578735, 0.5728943943977356, -0.07759177684783936, 0.9913961291313171, 0.46003812551498413, 0.6689643859863281, 0.17089079320430756, 1.3646527528762817, 0.9199056029319763, -0.02176712453365326, -0.002410411834716797, -0.006954183802008629, -0.06698393821716309, 0.06016819179058075, -0.20133471488952637, 0.45588964223861694, -0.5381415486335754, 0.010078325867652893, 0.8304447531700134, -1.8424243927001953, 1.0521044731140137, 0.035730212926864624, 2.3718173503875732, -0.9611859321594238, 1.3168318271636963, -0.7852950096130371, 0.6533159017562866, 0.230399489402771, -0.03111433796584606, 1.5047754049301147, -0.43255701661109924, 1.1992542743682861, 0.4281522035598755, -1.3389791250228882, 0.5654721856117249, 0.22835326194763184, 0.15505897998809814, 1.2131948471069336, -0.5879166126251221, 1.3513435125350952, 0.20054301619529724, -1.0864145755767822, -0.4138775169849396, 0.33700883388519287, -0.8639098405838013, 0.19462895393371582, 2.1993982791900635, 1.8152718544006348, -0.48196765780448914, 1.7461193799972534, 0.28454452753067017, 0.04851028323173523, -0.6049445867538452, 2.4290075302124023, -0.6450101137161255, -0.21236306428909302, 1.3304346799850464, -0.21737706661224365, 0.3687995672225952, -0.7736607789993286, -0.2671414613723755, -0.9385389685630798, 0.846994161605835, -0.9190849661827087, 0.04476379230618477, -0.4326552152633667, -1.0166124105453491, 0.8646863102912903, -0.6312518119812012, 0.925329864025116, 1.5229430198669434, -0.5362498164176941, 0.2516072988510132, 0.869645357131958, -1.2604973316192627, 1.2909355163574219, 1.123581886291504, 0.8645280003547668, -0.14172206819057465, 1.239681363105774, 0.17763465642929077, -1.5574960708618164, 0.6563097834587097, 1.1692548990249634, -1.760473370552063, 0.17582803964614868, -1.4456065893173218, -0.8993692398071289, 0.7858880162239075, -0.4223569631576538, 0.2938126027584076, -1.3532328605651855, 0.5397906303405762, -0.8571941256523132, 0.37343841791152954, 0.16634391248226166, -1.0153398513793945, 0.31586605310440063, 0.7671502828598022, -0.5970114469528198, -0.44310325384140015, -0.3183877468109131, 1.5613936185836792, 1.7673888206481934, 0.9718841314315796, 0.3363189101219177, 1.2530895471572876, 1.352705955505371, -0.022779714316129684, 0.23201817274093628, -0.5828570127487183, -1.8240928649902344, 0.28652718663215637, -1.7812923192977905, -0.5859679579734802, 0.6914410591125488, 0.822296142578125, -2.7894065380096436, -1.4305288791656494, 0.6298521757125854, -0.10675903409719467, 0.9612137079238892, -2.3072798252105713, -2.255009174346924, 1.2810137271881104, -0.32530343532562256, -0.5575527548789978, 0.18899312615394592, -0.018758580088615417, -1.7889175415039062, -1.3839304447174072, 1.6242154836654663, 0.005090564489364624, -1.115211844444275, -2.600238561630249, -0.17338624596595764, -1.5155819654464722, -0.3721828758716583, 0.7818655371665955, -1.3135825395584106, -1.6871827840805054, 0.8994181156158447, 0.38388729095458984, 1.4298429489135742, -0.044094160199165344, 0.899623453617096, 0.06176280975341797, 0.47486940026283264, -2.0020484924316406, 0.894870936870575, 0.7334529757499695, -1.0012054443359375, 2.101111650466919, -0.15085718035697937, -1.7303617000579834, 0.5255952477455139, -1.0421624183654785, -0.7195894718170166, -0.08818647265434265, -0.4692124128341675, -1.005253791809082, -0.17574739456176758, 0.8656772375106812, 0.7495485544204712, -1.3915205001831055, 0.9229395985603333, -0.7288160920143127, 1.7087079286575317, -0.8302531242370605, 0.11856040358543396, -1.8282593488693237, 1.7746241092681885, 1.15309476852417, 1.071548581123352, 2.1001384258270264, -1.877861738204956, -0.0854729413986206, 1.1011297702789307, 2.0264596939086914, -0.590682327747345, 0.6586277484893799, -0.3335622549057007, -0.6976562142372131, 0.7321619391441345, -0.522867739200592, 0.8334017395973206, -0.0025811195373535156, -0.3219012916088104, -2.973651885986328, -0.856796145439148, 1.2240264415740967, -0.08415622264146805, 0.16941097378730774, 1.069677710533142, 0.11487051844596863, -0.8204463124275208, 0.019427239894866943, -1.195740818977356, -0.46309664845466614, 0.8385284543037415, -0.08972317725419998, 0.9610947370529175, 0.6257349848747253, -1.3083298206329346, 1.3159600496292114, -0.07993707060813904, 0.9340512752532959, -0.11452218890190125, 0.6877719163894653, -0.9025068879127502, 2.3501317501068115, -0.15663181245326996, -0.9747546911239624, -1.3455803394317627, -0.4525386095046997, -2.5391855239868164, 0.05294083058834076, 0.43970027565956116, 0.5335297584533691, 0.779559314250946, 1.1274676322937012, -1.42366361618042, 1.3671783208847046, 2.5043561458587646, -0.3130190968513489, 1.1127055883407593, -0.4278325140476227, 0.6559498906135559, 0.9303034543991089, 0.1263645738363266, 2.5595247745513916, 0.3442482352256775, -0.34721994400024414, -0.8335795402526855, -0.8206252455711365, -0.9003150463104248, 1.5255303382873535, -2.366973876953125, -0.6884093284606934, 0.9697529077529907, 0.05243682861328125, -1.8663280010223389, 1.744382619857788, -0.5247840881347656, 0.9763808250427246, 1.9939501285552979, -0.18488609790802002, 1.0230287313461304, 0.16048148274421692, 1.8987083435058594, -1.0392447710037231, 0.5968199372291565, 0.5804616808891296, -0.03819987177848816, 0.7129262089729309, -0.9674990177154541, -1.164867877960205, -0.04773464798927307, -0.15972861647605896, 0.2592340111732483, 0.3699025511741638, -0.5032076239585876, -0.054138243198394775, -0.36777549982070923, -0.36141547560691833, 0.691487729549408, -0.29648083448410034, -0.7040061354637146, 1.0834715366363525, 1.4939782619476318, -0.3304957151412964, 0.7915819883346558, -0.817456841468811, 2.1259565353393555, 0.3464325964450836, 0.6182931661605835, -1.2969119548797607, -0.9833880066871643, -0.7974225282669067, 0.7468974590301514, -0.18736295402050018, -0.5851556062698364, 0.038440316915512085, -0.3865818679332733, 0.6995473504066467, 0.933386504650116, 2.618164300918579, -1.8237370252609253, -0.87027907371521, -0.10803458094596863, -1.4490935802459717, -0.6449506282806396, -1.1190035343170166, -0.3943881094455719, 1.7257630825042725, -1.3374238014221191, -1.3938559293746948, -1.8496911525726318, -0.26299190521240234, -0.6505966782569885, -2.0440425872802734, 0.6279855966567993, 0.34779733419418335, -1.9273114204406738, 1.6333730220794678, 1.4451600313186646, -0.06227797269821167, -1.1537119150161743, -0.176964670419693, -0.3969408869743347, 0.367343932390213, 2.4099082946777344, 0.5392422676086426, 1.0732773542404175, -0.3384888470172882, -1.155055046081543, -1.477808952331543, 2.254852771759033, -0.756594717502594, 0.7036430835723877, -0.5059572458267212, -0.9598097801208496, -0.36267340183258057, -0.6496866345405579, 0.8053104877471924, -0.22986987233161926, 0.9555888175964355, 1.0406928062438965, 0.9598325490951538, 0.04011072590947151, 1.2492884397506714, -0.41513779759407043, -0.967126727104187, -0.34655091166496277, -1.2076001167297363, -1.1228013038635254, 0.6634887456893921, 0.6180251240730286, 2.2305874824523926, 2.5145788192749023, -0.3218272924423218, 0.08038786053657532, -0.625114917755127, 0.9116755723953247, -0.32067573070526123, 2.388615846633911, 0.5662326812744141, -0.61104816198349, -0.37044453620910645, -2.1166229248046875, -0.10724171996116638, 1.434699296951294, 1.1368509531021118, -0.6650859117507935, -0.19695569574832916, 0.9603292346000671, 0.9079487919807434, -0.8451952338218689, 0.11039010435342789, 1.4374096393585205, -0.9800219535827637, 1.047336459159851, -0.8532518148422241, -2.305708169937134, -1.0386154651641846, -1.4118677377700806, -0.699038028717041, 0.4407235383987427, 1.30485200881958, -1.344525933265686, -0.7462324500083923, 0.686373770236969, -0.1439979076385498, -0.05921292304992676, 1.751692533493042, -1.2480947971343994, 0.7284417152404785, 1.2769758701324463, 2.5710372924804688, 1.0152400732040405, 0.011542707681655884, -0.9742988348007202, -1.407563328742981, -1.0274149179458618, -0.27129799127578735, -0.7342418432235718, 1.3193058967590332, -0.6853747367858887, 1.2808642387390137, 2.2151193618774414, 0.2802436351776123, 1.7232756614685059, -0.8580197095870972, -1.4411439895629883, 1.3183590173721313, 0.9341978430747986, 0.1812295913696289, -0.5280712842941284, 1.4746878147125244, 1.1357614994049072, -0.19927409291267395, 2.5533201694488525, -1.8751555681228638, -1.02406644821167, -0.9005948901176453, -0.276032954454422, 0.8842554092407227, 1.1632750034332275, 0.683820366859436, 0.045896440744400024, 2.0689496994018555, -2.987658977508545, 0.19605329632759094, -2.6869280338287354, -0.5219420194625854, -1.1465556621551514, 1.3334659337997437, -1.8842746019363403, 0.7846925854682922, -1.0219659805297852, 1.9424500465393066, 0.4500008821487427, -1.7893894910812378, 2.050076484680176, -0.7972691059112549, -0.78705894947052, -2.364224910736084, 1.4286231994628906, 0.40171319246292114, 1.9834256172180176, -1.051768183708191, -0.6181252002716064, 0.3874472379684448, 1.3733954429626465, -0.44506388902664185, -1.2784936428070068, 0.6124802827835083, -0.28357601165771484, 0.5211799740791321, -0.18684899806976318, 1.300843358039856, -0.5530155301094055, 1.2993502616882324, -0.7394875288009644, 1.1095201969146729, -0.14080947637557983, -0.8191972970962524, 0.8958744406700134, -0.9453821778297424, -2.340418577194214, -1.2071123123168945, -0.5688508749008179, 0.5170551538467407, 0.10165748000144958, -0.07533442974090576, 0.037196964025497437, 1.7384215593338013, -0.2169569730758667, 1.1138691902160645, -1.3606288433074951, 0.5729601979255676, -0.6921436190605164, -0.40064579248428345, -0.022006556391716003, -1.2655794620513916, 1.8273851871490479, -0.7578667998313904, -1.2968776226043701, 0.6519067287445068, -0.575498104095459, -0.2184971570968628, 1.3106791973114014, -0.3414348363876343, -0.6174161434173584, -0.20073720812797546, 2.5088396072387695, -0.8212676644325256, -0.8383143544197083, -2.10209584236145, -1.5578281879425049, 0.968551516532898, 0.06440289318561554, 0.9071725606918335, -0.0012485533952713013, 0.13176195323467255, -1.3539563417434692, -0.0031702518463134766, -0.04969446361064911, 0.3955191373825073, -0.5816448926925659, -0.5060204267501831, -0.14805088937282562, 0.2691175043582916, -0.5040404796600342, 0.28730377554893494, -1.7428855895996094, 1.3168073892593384, 1.348276138305664, 0.4417608082294464, -0.36049455404281616, -1.5524333715438843, 0.36832016706466675, -0.92014479637146, 0.3469199538230896, -0.9026986956596375, -0.4415728449821472, 0.5484148263931274, 0.18844760954380035, -0.6914719343185425, -1.0311815738677979, 0.08813554048538208, 0.03776969760656357, -0.6604779362678528, -1.8782776594161987, -0.5941110849380493, 1.9734846353530884, 1.3327791690826416, -0.9698194861412048, -0.262307345867157, -2.028895854949951, 0.9164704084396362, 0.8855835795402527, 0.7549293041229248, -0.4322630763053894, -1.4538925886154175, -0.6751312017440796, 0.5978360772132874, -0.2919197380542755, 2.178941488265991, 1.4123318195343018, 1.1923638582229614, 1.6491048336029053, 0.7221492528915405, 0.5864647030830383, -0.8143141865730286, 0.8948482275009155, -0.8826045989990234, 1.2028045654296875, -1.0647894144058228, -0.32899126410484314, 0.3913843035697937, -0.48095178604125977, -1.2085695266723633, -0.7063174247741699, -1.257840871810913, 1.9162144660949707, 1.5677801370620728, -0.5333686470985413, -1.1415435075759888, -0.5194177627563477, 1.3322696685791016, -1.008353352546692, -0.3535308241844177, 0.3174951374530792, 0.25035640597343445, 0.047398462891578674, -2.2682981491088867, 0.9268945455551147, -0.6464693546295166, 0.2985050082206726, -0.9133405089378357, -1.0565860271453857, 1.9934977293014526, -0.9233046770095825, -0.9864274263381958, -1.5794587135314941, 0.24115541577339172, 0.5281918048858643, -0.2866864800453186, 0.9827958345413208, -0.1393231451511383, -1.5874426364898682, -2.717071533203125, 1.2656372785568237, 1.9101372957229614, 1.2052165269851685, 0.8856039047241211, -0.20304787158966064, -0.15478429198265076, 0.8606730103492737, 0.05764159560203552, -0.6272651553153992, 1.4192990064620972, -0.4808754026889801, -0.3684747815132141, -1.0116204023361206, -2.760283946990967, 1.0742363929748535, -0.3126661479473114, -0.15509584546089172, -0.49677562713623047, -0.43940621614456177, 0.8521628379821777, 0.6685518026351929, -1.0804380178451538, 1.8717939853668213, 1.040790319442749, 0.8618316054344177, 0.7590010762214661, -1.6152969598770142, 0.9968197345733643, 2.3724474906921387, -1.3889412879943848, -0.12731897830963135, -0.415996253490448, -0.503574788570404, 0.7240392565727234, -0.059305980801582336, 0.09415841102600098, -0.4215324819087982, 1.8726860284805298, 0.9337995648384094, -0.2230043113231659, 0.09524410963058472, 1.0437535047531128, 0.36439478397369385, 1.1534509658813477, -0.5068370699882507, -1.9643722772598267, 1.1091722249984741, -0.29277849197387695, -1.09623122215271, 0.8095873594284058, -2.4659106731414795, 0.37332281470298767, 0.7025029063224792, -0.044620200991630554, -0.5513876080513, 0.1947534680366516, 1.5507924556732178, 0.8563238382339478, 0.044246017932891846, -0.3241075277328491, -0.7982926964759827, -0.6950481534004211, 2.2059199810028076, -1.0371061563491821, -0.796652615070343, -0.509018063545227, -1.4105453491210938, -0.5817767381668091, 1.7240246534347534, -1.4009863138198853, 0.9858479499816895, -0.09671650826931, 0.2765069305896759, 0.20187455415725708, -1.0039771795272827, -0.44394373893737793, -1.3046669960021973, 0.0951363742351532, 0.061739444732666016, -0.7260522842407227, 0.13635990023612976, -1.991784930229187, -0.1301143914461136, -0.7602347731590271, -1.2887301445007324, -1.367125391960144, -0.11723250150680542, 0.008816733956336975, -0.8505821824073792, 0.2451024204492569, -1.8183889389038086, 1.1051138639450073, -0.9302332401275635, -0.9815553426742554, 0.5984324216842651, -1.0085992813110352, -0.46686217188835144, 0.3339923918247223, 1.3742728233337402, 0.7042967677116394, 0.2557624578475952, -0.20359548926353455, 1.159540057182312, -0.43680742383003235, -0.4088163375854492, -0.24385689198970795, 0.5238755941390991, 0.37695953249931335, -1.1529566049575806, 0.5729071497917175, -1.8870171308517456, -0.630179226398468, -2.480515241622925, -0.44043123722076416, 1.838634729385376, -0.71551913022995, -0.4769502878189087, 0.8186546564102173, 0.40649235248565674, -0.5091686248779297, 0.32959258556365967, -1.9185041189193726, -0.07734347879886627, -2.4682891368865967, -0.42279118299484253, 1.4560010433197021, 0.3668517768383026, -0.046196505427360535, 0.5394073724746704, 0.7790966629981995, -1.2254602909088135, -1.582221269607544, -0.6263188123703003, -0.15181198716163635, 0.01724301651120186, 0.6980324983596802, 0.22442957758903503, -0.7886050939559937, 0.2634199559688568, 0.07904375344514847, 0.28444984555244446, 0.7395361661911011, -0.5553725957870483, -1.354222297668457, 0.22634059190750122, 1.5869369506835938, -1.5709880590438843, 0.18782037496566772, -1.6003975868225098, 0.5749505758285522, -0.23829154670238495, 0.37814003229141235, 0.11604837328195572, 0.1885148286819458, -0.3165414333343506, 0.2968801259994507, -0.30853715538978577, 0.76273512840271, -0.8395400643348694, -0.4855426549911499, -0.9233141541481018, -1.0222824811935425, -0.03105611354112625, -1.1605144739151, -0.18025290966033936, 0.3247283399105072, 0.5214837789535522, -0.13050904870033264, 0.7877107262611389, 0.8295340538024902, 1.0685492753982544, 0.26011812686920166, 0.2647647261619568, 0.23493176698684692, -0.19906732439994812, -1.0902010202407837, -0.38815194368362427, -0.30681538581848145, -0.35211890935897827, -1.711584448814392, -0.9860571622848511, 0.5779405832290649, -2.2428112030029297, 0.7611981630325317, -1.7624167203903198, -0.33805787563323975, -0.639792799949646, -1.1071245670318604, -0.390421599149704, 0.5841619968414307, 1.9899095296859741, 0.1550721973180771, -1.0342053174972534, 1.008209466934204, 0.0801960825920105, -1.259202003479004, -1.5392259359359741, 0.9298449754714966, 0.7578870058059692, 0.9396865963935852, -0.505761444568634, -1.8454616069793701, 1.2394740581512451, 0.08218618482351303, 0.5637680292129517, -0.7646342515945435, -0.2111094892024994, -0.37955471873283386, -0.3110673427581787, 0.9665844440460205, -0.12790662050247192, -2.742223024368286, 0.13844195008277893, 0.15859417617321014, -1.1132564544677734, -0.04586973786354065, 1.2007170915603638, -0.6526979207992554, -0.7680941820144653, -1.0847094058990479, 1.125396490097046, 1.1552550792694092, 1.96011483669281, -1.3438509702682495, 1.8058006763458252, 3.138875722885132, 0.6794057488441467, 0.7923564910888672, 0.2960408329963684, -0.7982968091964722, -0.6576300263404846, 1.0719736814498901, 0.14491143822669983, 0.5829588174819946, 1.0707921981811523, -0.4050688147544861, -0.8243160247802734, -0.7350077033042908, 3.9236292839050293, 0.10396360605955124, 0.39746519923210144, -0.10043913125991821, -1.504819631576538, -2.7824630737304688, -0.0897226482629776, -0.23137441277503967, -0.08571219444274902, -0.7322360873222351, -0.9344019889831543, 0.9639468789100647, -0.3626476228237152, -1.3637362718582153, -1.0231573581695557, 0.24065887928009033, 1.1864736080169678, -0.44291266798973083, -0.9311179518699646, 1.802276849746704, -0.37228092551231384, -0.7476668357849121, -2.276059627532959, 0.9008487462997437, 0.30674058198928833, 0.593120813369751, -0.6364562511444092, 0.19372552633285522, -0.21027672290802002, -0.7959775924682617, -0.5513112545013428, -0.5512805581092834, -0.5382311344146729, 0.4040018916130066, 2.2190895080566406, 1.0453401803970337, 0.0009013712406158447, -0.7529110312461853, -1.5617130994796753, -0.12812753021717072, -0.68936687707901, 0.18512023985385895, 0.22509291768074036, -0.5618376731872559, 1.0890849828720093, 0.7959500551223755, 0.6391136050224304, 0.26785945892333984, 0.12815901637077332, -0.031975895166397095, 2.1445350646972656, 0.2178966999053955, -0.3600374162197113, 2.029107093811035, 1.3996548652648926, 1.0185853242874146, 0.4779033958911896, 2.2364890575408936, -0.9060670137405396, -0.4900076687335968, 0.4022982716560364, 0.08832310140132904, -0.8907918930053711, 0.46906816959381104, 0.6921424865722656, 0.5847658514976501, 2.1761488914489746, -0.440578430891037, -0.6329878568649292, 0.6109997630119324, 1.6353518962860107, -0.23642224073410034, -0.7523339986801147, 0.6804426312446594, 0.21902212500572205, -0.3906018137931824, -0.01810556650161743, 0.041781216859817505, 1.9393084049224854, -1.1123385429382324, -0.9040243029594421, -1.6536548137664795, 0.8610661029815674, 1.2011386156082153, 0.3269403576850891, -0.400133341550827, -0.30575332045555115, 0.8728142976760864, 1.4022212028503418, 0.2512465715408325, -0.6449487209320068, 1.1247339248657227, 1.6003601551055908, 0.3293321430683136, -2.956138849258423, 0.19174659252166748, -0.3840870261192322, -0.15160059928894043, -0.6994160413742065, 1.500791072845459, -0.46595194935798645, -0.20894479751586914, 0.37817904353141785, 1.3274770975112915, 1.280085563659668, -0.5071213245391846, 0.6121037006378174, 2.394287586212158, 0.7534358501434326, -0.718180239200592, 0.1662430465221405, 1.5692124366760254, 0.7246522903442383, 0.038521379232406616, -0.5339537262916565, -0.39454585313796997, 0.15425333380699158, 0.5977495908737183, 0.8865152597427368, -0.11984431743621826, 0.27091631293296814, -1.204516887664795, 1.1445530652999878, 1.3143528699874878, -0.4167923331260681, 0.3465491831302643, -0.38977307081222534, 0.6065025329589844, 1.7818588018417358, 0.340770959854126, -0.21868836879730225, -0.5001778602600098, -1.1387532949447632, -0.03686799108982086, -0.07360386848449707, -0.4916935861110687, -0.4548123776912689, 1.2709749937057495, -0.9343487024307251, 1.1411830186843872, 0.8197147846221924, -1.2970151901245117, -0.21905949711799622, 1.2694286108016968, -1.0927138328552246, 1.6899490356445312, -0.6165379881858826, 1.3324284553527832, -0.011195551604032516, -1.8696138858795166, -0.08703844249248505, 2.179760217666626, 1.7024964094161987, -0.5000415444374084, -0.3191174566745758, 0.8178424835205078, 1.176554799079895, -0.450318843126297, -0.315447062253952, 1.4752535820007324, -0.8930052518844604, 0.17314565181732178, -0.6234892010688782, 1.0503497123718262, 1.2625287771224976, 0.22719357907772064, 0.8401180505752563, -1.2685892581939697, -0.04674471914768219, -1.0648163557052612, 0.015447288751602173, -0.6183303594589233, 0.44296616315841675, 0.8887468576431274, -1.391784906387329, 0.3657868504524231, -0.6046698093414307, 0.5736814141273499, -0.014613717794418335, -0.1537785828113556, -0.6773273944854736, -0.9546669125556946, 0.3438064754009247, -2.0954856872558594, -0.17837660014629364, -0.4871736466884613, -1.203464150428772, -0.8664076328277588, 0.6428120136260986, 0.9787206649780273, 1.7323662042617798, -0.6628580093383789, -1.2781765460968018, -0.22265219688415527, 2.502683639526367, 0.22805538773536682, 0.37912577390670776, -0.23230493068695068, -0.8713093400001526, -0.736786961555481, -1.481603980064392, -0.7422389984130859, -1.166502833366394, 1.8264209032058716, -0.14837640523910522, 1.0638766288757324, 1.9774175882339478, 1.231339454650879, 1.1383377313613892, -0.6623087525367737, -2.9149222373962402, 0.3099878430366516, -0.07460427284240723, 1.0168482065200806, -0.619936466217041, -0.42889901995658875, 0.8579745292663574, -0.4419091045856476, 0.0381217896938324, 0.8826274871826172, 1.1957857608795166, 0.49791234731674194, -1.5126194953918457, 2.8672242164611816, 0.06068696081638336, -0.7830573320388794, -0.21973466873168945, 0.8981950879096985, -0.4216610789299011, -0.9519052505493164, 1.7561535835266113, 0.09876081347465515, 0.8655756711959839, -0.19618311524391174, -0.8682409524917603, 0.3696911334991455, -1.6182447671890259, -0.47979050874710083, 0.3251461684703827, -0.4171390235424042, 0.7731555104255676, 0.31042808294296265, -0.1422424614429474, 0.8080213665962219, -1.3011661767959595, -0.7447726130485535, 2.1196794509887695, 0.29584383964538574, 1.5102304220199585, 0.37098631262779236, 1.0540357828140259, -1.0550198554992676, 0.26263630390167236, 0.5205373764038086, -0.7380051612854004, 0.11558545380830765, 1.9643393754959106, 1.0047142505645752, -0.6816876530647278, 2.6581814289093018, -0.46802711486816406, 1.0395152568817139, 0.06357990205287933, 0.9164499044418335, -1.1500585079193115, 2.4684340953826904, -0.2647993862628937, 0.01140013337135315, 1.021115779876709, -0.3867834210395813, 0.5320791006088257, -1.0035427808761597, -0.04817955940961838, -0.7944933176040649, 2.0945804119110107, -1.8781216144561768, 0.348373144865036, -0.745751678943634, 0.49307286739349365, 1.7436383962631226, -1.3288521766662598, 0.7662755846977234, 1.3763561248779297, -0.4343084692955017, 1.0692291259765625, 0.5806121826171875, -0.9106872081756592, -0.5787338018417358, 0.9384253025054932, 0.12757368385791779, -1.4263246059417725, -0.4151354134082794, -0.5225065350532532, 2.467716693878174, 0.3760106861591339, -2.7748334407806396, 1.2727915048599243, 0.6703646779060364, 0.32355374097824097, 0.580183207988739, -0.08367975056171417, 1.2778302431106567, -2.26332426071167, 1.7973525524139404, -0.3632211685180664, -0.761934220790863, 0.11082765460014343, -0.935282289981842, 1.2771693468093872, 1.0001357793807983, 0.3331545293331146, -1.3782037496566772, 0.1446664035320282, 0.1523016393184662, -0.6226757764816284, 0.5334858894348145, -2.0365021228790283, -1.1666332483291626, -0.3334709107875824, -0.7921453714370728, 0.09056541323661804, 0.13589975237846375, -0.922164797782898, 2.791947603225708, 0.5581597089767456, 2.0102829933166504, 0.6610720157623291, 0.420011967420578, -0.15525519847869873, 0.15535885095596313, -1.5544685125350952, -1.7134501934051514, 0.6359987258911133, 0.5189049243927002, -0.13786213099956512, -2.5873446464538574, 1.6176197528839111, 2.6821935176849365, -0.3801773190498352, 0.8028265237808228, -0.9340516924858093, -0.21985816955566406, -2.3741562366485596, 0.030734658241271973, -1.2598121166229248, 1.1345720291137695, 1.2462421655654907, -0.2583654820919037, -0.20657607913017273, 0.39154866337776184, 0.1232222467660904, 0.4833831787109375, -0.3054642677307129, -0.10478849709033966, 0.3577283024787903, 1.3384556770324707, -1.4294790029525757, 0.38009098172187805, -1.0415616035461426, 0.46266046166419983, 0.17495180666446686, 0.36900654435157776, 0.9882835745811462, -0.34732580184936523, -0.41064709424972534, 0.13972848653793335, -0.488182008266449, 0.798905611038208, 0.13134387135505676, -1.04585862159729, -1.3268284797668457, -1.5955804586410522, 1.993872046470642, -0.07692423462867737, 0.37769463658332825, 0.9114277362823486, 0.9812613725662231, 1.3628135919570923, -1.9044430255889893, -0.5893965363502502, -1.1840717792510986, 0.5984576940536499, 1.9066823720932007, -1.2842005491256714, -0.731290340423584, -1.2400968074798584, -0.9364858865737915, -0.7305981516838074, -0.9305158257484436, -1.413924217224121, 0.6560618877410889, 0.9101983308792114, -1.7954599857330322, 1.2053282260894775, 2.2567977905273438, 0.21327248215675354, -1.1554477214813232, -0.10964322090148926, 0.6681790947914124, 0.657951295375824, 0.4608241021633148, 1.4398045539855957, 1.4277466535568237, -0.7957480549812317, 1.9427658319473267, -1.6521782875061035, -1.2156250476837158, -1.5264475345611572, -0.4893408417701721, -0.9444626569747925, -1.617342472076416, 1.4863641262054443, 0.2002464085817337, -0.737116813659668, 1.2651913166046143, 0.8621957898139954, -1.1230053901672363, -1.3191087245941162, 0.08803153783082962, 0.6295683979988098, -1.024695873260498, 0.3562522828578949, 0.17500434815883636, 1.2311177253723145, -1.0923055410385132, -1.0561891794204712, 1.1426079273223877, -0.12294372916221619, -1.6857781410217285, -0.4713897109031677, 0.7347556948661804, -0.10426053404808044, -0.7593992352485657, 0.5246478319168091, -1.2558445930480957, -0.08028990030288696, 0.6968432068824768, -1.2833081483840942, 0.046835869550704956, 0.26701784133911133, -0.7509907484054565, -0.8211312294006348, -0.6980304718017578, -1.7240113019943237, 1.2269469499588013, -1.983026146888733, 1.245159387588501, -0.5033848285675049, -0.3875671625137329, 0.059761423617601395, 1.798311471939087, -1.452423334121704, 0.8989920020103455, -0.36427706480026245, -0.09724090993404388, -0.2449539303779602, 2.0029449462890625, -0.158938467502594, 0.9478974938392639, 0.13619263470172882, 0.9199565649032593, 0.3470812439918518, 1.0606191158294678, 0.2509279251098633, 1.0896002054214478, 0.24907022714614868, -1.1880128383636475, 0.490824818611145, 2.2446086406707764, -1.099615454673767, 0.22670607268810272, 0.6266411542892456, 0.3877958059310913, -1.7396754026412964, 0.3933459222316742, -0.7621140480041504, 0.0807008370757103, -1.602047085762024, -0.3892585039138794, 0.7914254069328308, -0.5233892798423767, -0.1654874086380005, 0.5290113687515259, -0.6689125895500183, -1.1913191080093384, -0.24546891450881958, 1.1262531280517578, 1.308680772781372, 0.2131805717945099, 1.958196759223938, -0.799086332321167, -0.5332184433937073, -1.0621520280838013, 0.30213701725006104, 0.7191355228424072, -0.15144583582878113, 0.6441836953163147, 1.271339774131775, 0.17329905927181244, -0.22675588726997375, -0.8907904624938965, -0.8156378865242004, 0.3343258202075958, 0.2958551347255707, 1.0731806755065918, 0.8190877437591553, 1.3642988204956055, 0.5026092529296875, 0.2767709195613861, -2.412611484527588, 0.2327118068933487, 0.7119787335395813, 2.6139895915985107, -0.5001105666160583, -0.542854905128479, 0.3537631630897522, -0.2191755622625351, -0.4403035640716553, -0.2817000150680542, -0.8607510328292847, 1.759354829788208, -0.3864820897579193, -0.12734836339950562, 2.8245015144348145, 0.2321866750717163, 1.06692636013031, 0.34933504462242126, -2.1457996368408203, 0.7594183683395386, 0.15067428350448608, -1.1070444583892822, -0.49216270446777344, -1.6183815002441406, 0.6699213981628418, 1.141563892364502, -0.9991042613983154, -2.1419384479522705, 0.5335575342178345, -0.35649800300598145, 0.05511133372783661, -0.3357124924659729, -0.13561928272247314, 1.2266885042190552, -1.9000542163848877, 0.006660431623458862, 0.019753091037273407, 0.4627842605113983, 0.8881011605262756, 1.349945068359375, 0.6387049555778503, 0.040645450353622437, -0.605880618095398, -0.8664426207542419, 1.2875111103057861, 0.013271689414978027, -2.3405449390411377, -0.15344566106796265, -0.3560793995857239, 0.22878411412239075, -0.13236454129219055, 0.5618161559104919, 0.91055828332901, 1.2541885375976562, 1.3467506170272827, 1.8855576515197754, -2.4301164150238037, -0.8326418399810791, -1.7264914512634277, 0.24530169367790222, 2.4475088119506836, 1.8005367517471313, -1.8009638786315918, 0.9742394685745239, 0.9197725057601929, 0.7470522522926331, 0.018604397773742676, 0.7781053781509399, 1.4298405647277832, -0.4272060692310333, -0.49682021141052246, 1.7384686470031738, 1.822554588317871, -0.36664292216300964, 1.261552333831787, 0.7965811491012573, 0.5520575642585754, -0.7281825542449951, -0.32161200046539307, 1.0988750457763672, 0.2794293761253357, 1.2262449264526367, -1.5461548566818237, -0.6139199733734131, 0.6994097232818604, 0.4182119369506836, 0.04453873634338379, -0.3520442545413971, 0.6684043407440186, -0.06549446284770966, -0.225422203540802, 0.6336693167686462, -0.14266926050186157, 2.700589179992676, 0.18926402926445007, -1.4758338928222656, 1.3380229473114014, -1.003883719444275, 0.8063325881958008, 0.3025966286659241, -0.31724095344543457, 0.2365240454673767, 1.2152788639068604, -0.6314466595649719, -0.7776102423667908, -0.13376659154891968, 0.08658905327320099, -0.8617860674858093, -0.20139449834823608, 0.8797410130500793, 1.0570920705795288, -1.043161392211914, 2.303601026535034, -0.07945621013641357, 0.44218721985816956, -0.03495551645755768, 1.7290114164352417, 0.18141157925128937, 1.977094292640686, -0.04845532774925232, 0.3476104736328125, -0.38006529211997986, -0.4024793207645416, 1.005213975906372, 1.129228115081787, 1.704957127571106, -0.16764694452285767, 0.5907623171806335, 1.4241031408309937, -0.7810072302818298, 0.1227242648601532, -0.43153077363967896, 1.1876976490020752, 2.2569525241851807, -1.5792441368103027, 0.10347717255353928, 0.19878116250038147, 1.7343034744262695, 0.12882331013679504, -2.7806451320648193, -0.14184841513633728, -0.11722829937934875, 0.07130307704210281, -0.3450067341327667, -0.3816657066345215, -0.16262879967689514, -1.0987751483917236, 0.5424095988273621, 0.45126068592071533, 0.3806825876235962, 0.6000677943229675, -0.26754826307296753, -0.9885810017585754, -0.15020811557769775, -0.7016766667366028, -0.18597325682640076, 0.6369832754135132, -0.5402068495750427, 0.19647817313671112, -0.834138035774231, -0.8769044876098633, 0.9007396697998047, 0.4201953411102295, 0.5951793789863586, 0.2869580090045929, -0.5860443115234375, -1.387725591659546, -1.3913884162902832, -1.283869981765747, -0.6364986896514893, -2.149271011352539, 0.11740630865097046, 1.0494623184204102, -0.42972782254219055, 0.6149566173553467, 0.7877541780471802, 0.08667716383934021, 0.012755021452903748, -0.8137154579162598, -0.05768726021051407, 0.2926724851131439, 0.034380920231342316, 2.3032169342041016, 0.9563783407211304, 1.3695802688598633, 0.1496637910604477, -0.7001391053199768, -1.0366604328155518, -2.818399667739868, -0.3296542167663574, 0.520229160785675, 1.3403418064117432, 1.5727035999298096, 0.4201943874359131, -2.2207040786743164, 0.07900122553110123, 0.5741779208183289, 0.1705435812473297, -1.4616788625717163, 1.0923184156417847, -0.5470836758613586, 0.5175429582595825, -0.9477432370185852, -2.4611968994140625, 0.1847924292087555, -0.2678220868110657, 0.5649951696395874, 0.635631799697876, 0.4856128394603729, 0.24320948123931885, 1.3227795362472534, 0.9841063022613525, 0.10790668427944183, 0.7775205373764038, 1.370405912399292, 0.8656548857688904, 1.3663896322250366, 0.39549124240875244, -0.6372531056404114, -1.5294363498687744, 0.8746114373207092, -0.8657869696617126, 0.7753204107284546, 0.7304269671440125, -0.07436062395572662, -0.40507978200912476, -0.20835304260253906, -0.032304614782333374, -1.9490907192230225, 0.7717972993850708, 0.0508517324924469, 1.3596597909927368, 0.5630133152008057, 0.7598075270652771, -0.09288281202316284, -1.2473939657211304, -1.126265287399292, 0.4142783284187317, 1.817068338394165, 1.355347752571106, 0.2295519858598709, -0.14238731563091278, -0.8741006851196289, 1.19133722782135, 1.6873314380645752, 0.06776848435401917, 0.8166981935501099, -0.456502228975296, -0.5017876625061035, -0.9318358898162842, 1.5608590841293335, -0.21941745281219482, 0.13775381445884705, 0.040576837956905365, 1.2132357358932495, 1.1113296747207642, -0.6775652170181274, -0.38866472244262695, 0.6082175374031067, 0.2975012958049774, -0.557570219039917, 2.43508243560791, 0.967389702796936, 1.7273626327514648, -1.6457611322402954, -1.1163241863250732, -1.0852105617523193, 1.4300614595413208, -0.3812410533428192, 0.21548280119895935, -2.155008316040039, 0.44296541810035706, -1.692154049873352, -2.258472442626953, 0.08305880427360535, -1.292199969291687, -0.35836148262023926, -0.16493752598762512, -0.35285264253616333, -0.23804011940956116, -0.3702675998210907, 0.20381370186805725, -0.5270859599113464, 1.6612319946289062, -1.5228402614593506, 0.2930908203125, 1.4290354251861572, -0.00865219533443451, -0.5205589532852173, -0.9833706021308899, 0.4229986071586609, -1.721352219581604, -2.0845487117767334, -0.6714452505111694, 0.4207952618598938, 1.3865995407104492, -1.547332525253296, -0.6113817691802979, -0.4901887774467468, 0.3597937822341919, -1.0171053409576416, -1.341958999633789, 1.6732770204544067, -0.12408679723739624, -0.15482905507087708, -1.5070383548736572, 0.8964089751243591, 1.0481300354003906, 1.360058307647705, 0.008122429251670837, 0.9849873781204224, -0.9353330135345459, -0.42651963233947754, 2.4027931690216064, -0.39488497376441956, -0.43007054924964905, -1.6037919521331787, 2.1232337951660156, -0.08412918448448181, -0.8783758878707886, -0.20522180199623108, -0.34294843673706055, 0.375104159116745, 0.08080506324768066, 0.43239259719848633, 0.5353622436523438, -1.250476360321045, 1.373586654663086, -0.18653489649295807, -1.852965235710144, 1.95176362991333, 1.3220646381378174, 0.4344199597835541, 0.23030775785446167, -0.4799310564994812, 1.3879094123840332, -0.17587414383888245, -1.6059423685073853, 0.3291681110858917, 0.8657177686691284, 0.6159348487854004, 0.28670454025268555, -1.4853140115737915, -1.9075915813446045, 0.15526646375656128, -0.0010731816291809082, 0.8398963809013367, -1.0670808553695679, -0.5650047659873962, 1.184159755706787, -0.24079927802085876, 1.080593466758728, -0.4630618393421173, 1.068830132484436, 0.08974125236272812, 0.872565507888794, -0.001992642879486084, 0.2615773677825928, -1.0950202941894531, 0.7591494917869568, -0.2934596538543701, -1.229452133178711, -1.3219735622406006, 0.6278677582740784, 1.4573566913604736, -0.11182254552841187, 1.340869426727295, 1.455649971961975, 0.17946739494800568, 0.5401373505592346, 0.134445458650589, -0.07962310314178467, -1.0227491855621338, 1.23655366897583, 0.4264533221721649, -1.2375247478485107, 1.4368720054626465, 0.19999435544013977, -0.539047122001648, 1.4592862129211426, 1.197857141494751, 0.9056227207183838, 1.257678508758545, 0.2055310755968094, -0.3591921925544739, -1.4545356035232544, 2.434682607650757, 1.6533194780349731, -1.8752632141113281, -0.2116461992263794, 1.324959397315979, -0.7909213900566101, -0.15706081688404083, -2.0338757038116455, 1.3217283487319946, -0.37526679039001465, -0.8467205762863159, 3.1908791065216064, -0.9718411564826965, -0.7861013412475586, -1.664746642112732, -0.2648807466030121, 1.746628761291504, -2.910108804702759, -0.5822213292121887, -0.48942047357559204, -0.7858389019966125, -1.8868781328201294, 0.678192138671875, 0.4394935071468353, 0.992856502532959, -2.341306447982788, -0.5622339248657227, 1.0192492008209229, 0.07206065952777863, 0.2735757529735565, 0.3558503985404968, -0.5335696935653687, 1.8019498586654663, 0.9432793855667114, 2.969261884689331, 0.570457935333252, 1.703020453453064, -1.8579661846160889, 1.09555983543396, 0.5082403421401978, 2.180940628051758, 0.9748031497001648, 0.9204167723655701, -0.11026841402053833, -0.6611423492431641, -0.1262170672416687, 0.1369977593421936, 1.407543659210205, 0.9307045936584473, -1.6399866342544556, 0.9007058143615723, 1.991974115371704, 2.1214659214019775, 0.18640980124473572, 0.6433669328689575, 1.4491482973098755, -0.4987858235836029, -0.11526522040367126, -0.38058948516845703, -0.30999755859375, -1.664400339126587, -0.5800490379333496, 1.5776727199554443, 1.214489459991455, 1.1427940130233765, 0.7690012454986572, -0.6873887777328491, 1.202736496925354, 1.2783561944961548, 1.3877383470535278, -2.576730489730835, 0.4123704433441162, 0.41494566202163696, 1.2706587314605713, 1.9656083583831787, -0.20204097032546997, 0.17317646741867065, -0.2486719787120819, 0.7362110614776611, -0.41458073258399963, -0.8973519206047058, -1.1702550649642944, 1.3874069452285767, 0.2485864758491516, -0.37426063418388367, 0.3268415927886963, -0.5621387958526611, 0.045784443616867065, -0.1894398331642151, -0.9471318125724792, -0.2436683177947998, -0.12615561485290527, -0.042865365743637085, -0.36432844400405884, -1.5386474132537842, -2.229539155960083, -1.858243465423584, -0.254770964384079, -0.31444674730300903, 0.011876702308654785, 0.31643760204315186, -1.4372131824493408, -0.6871449947357178, -0.38605251908302307, -0.6477423906326294, 0.4514341652393341, -1.2953300476074219, 2.3671770095825195, -0.5398329496383667, -0.8360491394996643, 0.18340405821800232, 1.0731608867645264, -0.19908134639263153, 0.9981811046600342, 1.2493903636932373, 2.1721324920654297, -1.072074055671692, -0.1903899908065796, 0.7473792433738708, -0.5127817392349243, 0.9748497605323792, -0.5010935664176941, 1.0871174335479736, 0.09281527996063232, 1.2814643383026123, -0.6774224042892456, 0.8701907396316528, -1.5773686170578003, -0.09198198467493057, -0.37021076679229736, 1.0299893617630005, 0.28068479895591736, -0.935778021812439, -0.3872467577457428, -0.6476565599441528, 0.026948772370815277, 0.004328051581978798, -0.2504552900791168, -0.25030967593193054, -0.3708280324935913, 0.7839531302452087, 1.0528024435043335, 0.06472786515951157, -0.7885779142379761, -1.4459254741668701, 0.30717721581459045, -0.33190298080444336, -1.597062587738037, -1.3602938652038574, 0.8359270095825195, 1.7061793804168701, -0.9430925250053406, 0.7135581970214844, -1.4887938499450684, 0.43353271484375, 0.6936781406402588, -1.7776868343353271, 0.38881146907806396, -0.021750330924987793, 0.1068846583366394, 1.6042184829711914, -0.45319533348083496, 0.6908226609230042, 0.17493018507957458, 0.6321478486061096, 1.2907389402389526, -0.7045646905899048, -2.0264699459075928, -0.925724983215332, -0.13199830055236816, 1.0428617000579834, 1.2416595220565796, 3.200448751449585, -1.580581784248352, -0.4053639769554138, 1.861649751663208, -0.2257487177848816, 1.5587245225906372, 1.9497570991516113, 0.8231023550033569, 0.7017067074775696, -0.24599434435367584, 0.7997889518737793, -1.2995154857635498, -0.6890691518783569, 0.04716379940509796, 0.39173322916030884, -0.21665117144584656, 0.2868655323982239, -0.5138234496116638, -1.6101183891296387, -0.6657497882843018, -0.6768072247505188, -0.11534051597118378, 0.27551260590553284, 0.10667270421981812, -0.6041384339332581, -0.45991596579551697, 0.7337188720703125, 0.13230270147323608, 1.4696004390716553, -1.1795977354049683, 0.9526439905166626, -0.24835748970508575, -0.747139036655426, 0.32412484288215637, 1.0856738090515137, 0.6074824333190918, -0.3119964599609375, 0.48665809631347656, -0.718925416469574, 0.5191522240638733, -0.14193275570869446, 1.3569544553756714, 0.24418318271636963, -0.6101450324058533, -0.51078200340271, -0.6991965770721436, 0.6203333735466003, -0.03221103549003601, -0.8479820489883423, -0.38704127073287964, 0.5095979571342468, -0.3003945052623749, 0.8812904953956604, 0.06564810872077942, -1.14652681350708, 1.002816081047058, -0.8173550963401794, -0.9014436602592468, -0.5796433687210083, 1.4328850507736206, 0.3437514305114746, -0.21685174107551575, 0.9922401905059814, -1.9506345987319946, 1.1351256370544434, 1.4100267887115479, 0.2922184467315674, 0.044976770877838135, 2.0965728759765625, -0.9389103651046753, -0.015615411102771759, 0.27825918793678284, 0.15288099646568298, 1.7157633304595947, 1.334230899810791, 1.1196386814117432, -2.0134177207946777, 0.47428005933761597, 0.26286882162094116, -0.6070435643196106, -0.9698596000671387, 0.908261775970459, -1.0023436546325684, -0.43582162261009216, -2.0181961059570312, 0.00609743595123291, 2.294984817504883, 0.10044069588184357, -0.338199645280838, 0.9416420459747314, 0.7261000871658325, 0.6911963224411011, -1.6784850358963013, 0.3905535340309143, 0.10256582498550415, 2.0179073810577393, -0.4555135667324066, 1.883760690689087, 0.5780731439590454, 0.5999579429626465, 2.2634286880493164, -0.3467288017272949, 0.4126492440700531, 0.7993176579475403, -0.4174862504005432, -1.0734119415283203, -0.46754369139671326, -1.2983983755111694, 0.3041587471961975, 0.9418528079986572, -1.0339245796203613, 1.0303077697753906, -0.6324847936630249, 0.9447234869003296, -1.0533926486968994, -0.4214126169681549, 1.0385329723358154, 0.5075287222862244, 0.059982120990753174, 1.137730598449707, 0.1217813640832901, 1.2496235370635986, 0.8893653154373169, 0.6746357083320618, 0.4291740655899048, 0.8687973022460938, -1.0158164501190186, -1.0065518617630005, 1.0472235679626465, 0.5017245411872864, 0.5525792241096497, -2.3614485263824463, 0.31794196367263794, 0.10499906539916992, 1.976427674293518, 1.7640154361724854, -2.0437674522399902, 0.8506406545639038, -0.20945541560649872, -0.45327556133270264, -0.3004308342933655, 0.29094722867012024, -0.18076373636722565, 0.07314734160900116, -1.3698749542236328, 1.6581108570098877, -0.03764849156141281, -0.39343684911727905, 0.2996269166469574, 2.066014289855957, -0.4196662902832031, 0.2745232582092285, -0.42985251545906067, 0.15301665663719177, 0.1491563320159912, -0.19007307291030884, 2.472644805908203, 1.4861931800842285, 1.2639529705047607, -0.15239831805229187, 1.0575498342514038, 0.23979178071022034, 0.0801575779914856, 0.21123658120632172, -1.3556287288665771, -1.178804874420166, -1.7631536722183228, 0.4354008138179779, -2.218248128890991, 0.4103633761405945, 1.3988795280456543, -1.7339551448822021, 0.601300060749054, 0.6920414566993713, -1.2526699304580688, 1.0265711545944214, 0.20183148980140686, 0.48025667667388916, -2.5229878425598145, -1.2954814434051514, 0.14150923490524292, 2.0184454917907715, 0.5621947050094604, 0.9153769612312317, 1.453402042388916, 0.34682679176330566, -2.448983669281006, 0.6232895851135254, 3.0485501289367676, 0.1312844455242157, 0.14718540012836456, -1.8502635955810547, -0.7435401082038879, 1.9138838052749634, -1.7138240337371826, 0.11687591671943665, -1.3835093975067139, -0.5687574148178101, -1.2231982946395874, 0.11790647357702255, 0.40425270795822144, 0.4869670271873474, 0.6945139169692993, 0.33468344807624817, -0.14415794610977173, 0.30793124437332153, 1.1086046695709229, 0.09827303886413574, 0.6110397577285767, -2.2062649726867676, 1.871801495552063, 2.3967130184173584, -1.2010149955749512, 2.8436338901519775, 1.119124412536621, -1.7751637697219849, -0.3527657687664032, 2.0028679370880127, -1.4168097972869873, -0.5557539463043213, 0.08429700136184692, 0.03420856595039368, 1.1735440492630005, -1.4264893531799316, 1.4707908630371094, 1.0701699256896973, 0.48243439197540283, 1.2356551885604858, -0.4976203441619873, 0.41771066188812256, 0.9277568459510803, -0.2612365186214447, 0.7823670506477356, 0.6416391730308533, -0.5735241770744324, 0.014448404312133789, 0.07918540388345718, 0.7700405120849609, 0.5362054109573364, 0.3479408621788025, 0.35860782861709595, -0.0003788173198699951, -1.6517105102539062, 1.9114646911621094, 0.1268216371536255, 1.476330041885376, 0.643578052520752, 1.1321508884429932, 1.6994749307632446, 0.23924016952514648, 0.6282917261123657, 0.07689101994037628, -0.5385806560516357, 0.8340235352516174, -0.41776832938194275, 0.8233783841133118, 0.7103626132011414, 0.6253077983856201, 0.11495614051818848, -1.302785038948059, 1.020788550376892, 2.2081410884857178, -0.06387221813201904, 2.7409281730651855, -0.33027684688568115, 1.8957961797714233, 0.37604498863220215, -0.41717275977134705, -1.322379231452942, -0.39871275424957275, -0.5958250164985657, -0.46731138229370117, -0.6141828298568726, 3.019867181777954, 0.40324389934539795, 0.9430845975875854, -1.2875033617019653, -0.6654793620109558, 2.084766149520874, -0.5569021105766296, 0.5476661920547485, 0.535776674747467, 0.584821879863739, -0.7611530423164368, 2.1152727603912354, 0.17200565338134766, -0.9189538359642029, -1.281783103942871, 0.9190288782119751, 0.1126939058303833, 1.442703127861023, -1.5784305334091187, 1.8692989349365234, 1.0949327945709229, -0.2734258472919464, -1.1177935600280762, 0.09895052015781403, -1.0167200565338135, 0.1519448161125183, -0.25664493441581726, 0.23625123500823975, -0.569877564907074, 0.06583845615386963, 0.5966557264328003, -1.5841869115829468, -0.9263466596603394, -2.259566307067871, 0.5436251759529114, 1.5056254863739014, -1.0615259408950806, -1.2648775577545166, 0.4813113212585449, 0.9889016151428223, -0.6540770530700684, -0.3671928644180298, 0.937196671962738, 0.4257250726222992, -3.1766135692596436, 0.5999792814254761, -0.2278933823108673, 0.873924195766449, -0.37703874707221985, 0.40567517280578613, -1.4393231868743896, 1.9180196523666382, -1.9522043466567993, 0.4256534278392792, 0.4201245605945587, -0.7244127988815308, -0.4043453633785248, 3.5227162837982178, 0.5232602953910828, -0.2711155414581299, 0.5502278804779053, 0.578683078289032, 0.6861798763275146, -0.8461613655090332, -1.6021686792373657, -0.5441904664039612, -0.9437397122383118, -1.4731791019439697, 0.5912995934486389, 1.134773850440979, 0.5126370191574097, -0.1974119246006012, -0.9700343608856201, -1.9816770553588867, -0.497628390789032, 0.9125346541404724, -0.00824686884880066, -0.07911688089370728, 0.44018393754959106, -0.5255035161972046, 0.02368369698524475, 0.05670076608657837, -0.18476688861846924, 1.0280433893203735, -1.2840667963027954, -1.118287444114685, 1.5454291105270386, -0.23565411567687988, -0.3818618655204773, -0.6141695380210876, 1.7449328899383545, 0.34332844614982605, 0.45312798023223877, 1.1970770359039307, 0.28400683403015137, -1.2500296831130981, 0.4299512505531311, -0.533330500125885, -0.9042584300041199, -1.7688231468200684, 1.60280442237854, 0.23585927486419678, 1.0386831760406494, 0.5087009072303772, -0.15716218948364258, 0.5671584010124207, 0.7334222197532654, -0.7562035322189331, 2.1623048782348633, -0.9193705916404724, 0.4286249279975891, -0.3865833282470703, 0.7776520252227783, 0.4134121537208557, -0.7988604307174683, 1.3107333183288574, 1.4998469352722168, 0.441795289516449, 0.9976016283035278, -0.8364377021789551, 1.475981593132019, 1.614085078239441, 2.0152628421783447, -0.2228437215089798, -1.16715407371521, -0.1685510277748108, -1.119808316230774, 1.087418794631958, -0.02142336778342724, -0.4493139386177063, -1.7427321672439575, -0.25878801941871643, 0.9789298176765442, -1.011564016342163, -0.10233655571937561, -0.35375040769577026, -1.078730821609497, 0.3868686258792877, 0.24120646715164185, 0.4140865206718445, 1.4953975677490234, 1.1603648662567139, -0.5266933441162109, 0.7269704937934875, 1.0892492532730103, 0.20990034937858582, 0.36412015557289124, -0.08558942377567291, 0.6390621066093445, -1.2010037899017334, -1.2393507957458496, 1.0388175249099731, -2.17543625831604, -1.3529185056686401, -0.8885695338249207, 0.6218300461769104, -3.398935317993164, -1.406980276107788, 0.09973031282424927, 0.8721739053726196, -0.1995028704404831, 0.704329252243042, -0.8972183465957642, -1.1529836654663086, -0.7261397838592529, 1.1193714141845703, -0.3911980092525482, -1.4710054397583008, 0.7534576058387756, -1.549460768699646, -0.61985182762146, 0.6756266355514526, 0.4454312324523926, -0.18581749498844147, -0.38301074504852295, -1.5096535682678223, 2.3863515853881836, -0.31602418422698975, -1.257507562637329, -1.2557716369628906, -0.789609432220459, -0.48758232593536377, 0.5939290523529053, -2.274827718734741, 0.5820068120956421, 0.6355507373809814, 0.3762650787830353, 0.281532883644104, 2.2367749214172363, -0.7955914735794067, -0.9971371293067932, -0.0800100564956665, -0.22223633527755737, 1.676218032836914, 0.26390063762664795, 1.3191471099853516, 0.266440749168396, -1.507444977760315, 1.6116126775741577, -0.26182106137275696, 0.22962898015975952, 0.06077215075492859, -0.3237650692462921, 0.03208273649215698, 2.3375661373138428, 0.35296905040740967, -0.3773290514945984, 0.571258544921875, 0.34216976165771484, 0.32342392206192017, -0.3319130539894104, 0.19807428121566772, -1.4214541912078857, 0.37385088205337524, -0.5705294609069824, -0.9229767322540283, 0.07785479724407196, 0.48963773250579834, -0.24289606511592865, -0.558777391910553, 1.4599045515060425, 0.12291400134563446, -2.5241811275482178, 0.28547462821006775, 0.22562681138515472, -1.4128376245498657, -0.09574674069881439, -0.06721146404743195, -0.28730660676956177, 0.6476925611495972, -0.5750548839569092, -0.39965516328811646, -0.5171749591827393, 1.7223182916641235, 0.7944275736808777, 0.9507430195808411, -0.0061017051339149475, -0.562907874584198, -2.485034704208374, 1.1325324773788452, 0.230080246925354, -0.18742486834526062, -0.37568774819374084, -1.2916673421859741, -0.5613298416137695, -0.5596188902854919, 1.0213329792022705, -1.2515040636062622, 0.9308694005012512, -0.5751405358314514, -0.25012683868408203, -0.4360312819480896, 0.318050354719162, -1.158681035041809, 0.7247459888458252, -0.8182120323181152, -0.40831100940704346, 0.8485354781150818, 0.20911145210266113, 0.09607931971549988, -0.9535742402076721, -1.6056537628173828, 0.9982906579971313, -1.8958185911178589, -1.3425352573394775, -1.6918318271636963, -0.028755977749824524, -1.1877797842025757, -1.1810542345046997, -1.2229998111724854, 1.2997841835021973, -1.0900285243988037, -0.3968508243560791, 2.129633665084839, -0.49013373255729675, 1.045021891593933, -0.07460848242044449, -0.6022694110870361, -2.225519895553589, -0.38211655616760254, -0.4962444305419922, -0.4745618402957916, 0.31791943311691284, 0.18714433908462524, 0.768720269203186, -0.5524400472640991, 0.11444845050573349, 1.071107268333435, 0.4929239749908447, -0.6304960250854492, 1.6274845600128174, 0.2606510818004608, -0.32719820737838745, -0.45849981904029846, 1.6732597351074219, -0.9501243233680725, -0.266813725233078, -0.5646626353263855, 0.07989902794361115, -0.5214791297912598, 2.406792163848877, -0.3023184835910797, -0.9267487525939941, -1.3043100833892822, 0.4741773009300232, -0.9961630702018738, 0.41579604148864746, -0.48223647475242615, 0.8689430952072144, -0.10946735739707947, -0.47261112928390503, 1.359007716178894, 0.4866238236427307, -0.6621067523956299, -1.0879311561584473, 0.4701189696788788, 0.37579360604286194, -2.456483840942383, 0.2288595288991928, -0.9345946907997131, 0.7165408134460449, -0.029377281665802002, 0.8932771682739258, 0.605697512626648, 0.5932708978652954, 0.04021012783050537, -0.9448215961456299, 1.3241440057754517, 0.6259442567825317, -0.16855356097221375, -0.5494204163551331, 0.8910830616950989, -0.02787017449736595, -0.48997122049331665, -0.5109649896621704, -0.34102529287338257, -0.08754843473434448, -1.3546757698059082, 1.0234471559524536, 0.0404108427464962, -0.7271674275398254, -0.1280999332666397, 0.47497689723968506, -0.6291170716285706, -0.8887712955474854, -1.2082728147506714, -1.1031707525253296, 0.0011800378561019897, 0.40808770060539246, -0.3448561728000641, 2.1766602993011475, 0.355339914560318, 1.3608074188232422, -0.6903711557388306, 0.28201261162757874, 0.007667750120162964, -0.21452659368515015, 0.2553424537181854, -0.018001625314354897, 1.5208674669265747, -0.9077717065811157, -2.1829416751861572, 1.2716128826141357, 1.0141181945800781, 0.6688832640647888, 1.424543857574463, -1.4049928188323975, -0.18794354796409607, -2.7771308422088623, -1.16508150100708, 1.4994808435440063, -2.3515896797180176, 0.3787570297718048, 0.10716864466667175, 0.2072557657957077, -0.93590247631073, -2.0422825813293457, 0.8150626420974731, -0.5030021071434021, -1.7655999660491943, -1.7998818159103394, 1.9840527772903442, -0.32376885414123535, 0.5723758935928345, 1.764603614807129, 0.09860282391309738, -0.40022704005241394, -0.09460583329200745, -1.6595189571380615, 1.76387619972229, 0.17032980918884277, 0.028121471405029297, -0.2146192491054535, 1.5947121381759644, 1.266357421875, -0.5271168947219849, 1.2170706987380981, 0.18771293759346008, -0.4302891790866852, 0.5330614447593689, -0.8054755926132202, -1.258346438407898, -0.2737424075603485, -0.16613784432411194, 1.5984649658203125, 1.1999106407165527, 0.6315280199050903, -1.4467623233795166, 0.9495540261268616, 0.9683279991149902, -1.4382288455963135, -0.508928120136261, 1.4011077880859375, -0.5562804937362671, -0.518078625202179, -0.38654714822769165, 0.1792190968990326, -1.5732877254486084, -1.263534665107727, -1.2814223766326904, -0.3111165165901184, 1.1240686178207397, 0.11638359725475311, 0.9803138971328735, 0.9353876709938049, 1.4180703163146973, -1.1751759052276611, -1.1681240797042847, -1.9738463163375854, 0.19116297364234924, 0.8720539808273315, 0.8028732538223267, -1.6851062774658203, -0.42423364520072937, 1.6648294925689697, 0.8239076137542725, 0.19791442155838013, -0.3359506130218506, 0.2652570605278015, -0.7206472158432007, 0.6311933994293213, -0.5876342058181763, -0.6506527066230774, -0.9839803576469421, 0.8578031659126282, -2.297454833984375, 1.051987886428833, -0.6233248114585876, 0.5210525393486023, 0.39768821001052856, -0.4374138116836548, 0.8710381984710693, -0.13518944382667542, 0.5254168510437012, 0.08879712224006653, -1.337201714515686, -0.995132565498352, 0.6412127017974854, -1.5222047567367554, -0.8079228401184082, -2.1795549392700195, 1.0584930181503296, 0.07514485716819763, -1.359574794769287, -0.9807047247886658, -0.1807737499475479, -0.6530994772911072, 0.2916921377182007, -2.3299458026885986, -1.4198461771011353, 0.44161778688430786, -0.12064196169376373, -0.0972519963979721, -0.47016316652297974, -1.065382480621338, -0.31806740164756775, -0.24639162421226501, -1.3467609882354736, 0.0826832726597786, -0.050340235233306885, -0.07511705160140991, 1.3483896255493164, 0.34957796335220337, -0.3481537103652954, -0.790885329246521, 0.05205157399177551, 0.3050938546657562, 0.5138149261474609, 0.6891785860061646, 1.3413950204849243, 2.701366901397705, -1.247901439666748, 2.3389477729797363, 1.115309476852417, 0.5842607617378235, -2.080162525177002, 0.019060075283050537, -0.177821084856987, -0.5457139015197754, -0.5444542765617371, 0.8206645250320435, -0.9789905548095703, -0.13589513301849365, 0.057130858302116394, 0.061250343918800354, -0.10206083953380585, 0.11365440487861633, -1.8702175617218018, 0.7974283695220947, 0.6688300967216492, -0.12679167091846466, 0.4653043746948242, 1.1658246517181396, 1.4554399251937866, -0.7517070174217224, 1.4534711837768555, 0.30232012271881104, 1.0897055864334106, 0.004874855279922485, 0.617527425289154, -0.4817085266113281, 0.32182756066322327, 0.041330695152282715, -0.7651763558387756, 0.3947941064834595, -0.043489668518304825, 1.4694381952285767, 0.7457119226455688, -2.135481595993042, 1.6838380098342896, -0.3321901857852936, -0.7236868143081665, -0.3880189061164856, -0.7389058470726013, -1.7395535707473755, 1.991855502128601, -2.0440003871917725, 0.9633747339248657, 0.7308924198150635, -0.5615425705909729, 0.5938311815261841, -1.380096673965454, -0.5061352252960205, 1.1993794441223145, 2.0272815227508545, -0.03208199888467789, -0.20782826840877533, 0.9514707922935486, -0.6098634004592896, 0.27368324995040894, 0.035738974809646606, 0.3248279392719269, -1.9543938636779785, -0.09465944766998291, 1.1191086769104004, -0.4211369454860687, 1.5212677717208862, -0.22120137512683868, 1.0941603183746338, -0.629558265209198, -1.4222807884216309, -0.30978092551231384, -0.4729393422603607, 0.5476664304733276, -1.0671985149383545, -0.31311145424842834, -1.2069458961486816, -0.3856332004070282, -0.8175691962242126, 1.2790058851242065, 0.2138921320438385, -0.3365463614463806, -1.210595965385437, -1.4851248264312744, -0.043869033455848694, 1.7213160991668701, -1.5207695960998535, 1.108605146408081, -0.45322227478027344, 1.360375165939331, 0.8675801753997803, 1.0476534366607666, 0.1647210419178009, 0.8812259435653687, -0.7405285835266113, -1.0958759784698486, 2.0336670875549316, -0.41688311100006104, -1.2900911569595337, 0.0788157731294632, -2.5676515102386475, 0.678807258605957, 1.1713794469833374, 0.2779458165168762, -0.7078492045402527, 0.1923750936985016, 0.6401435732841492, 1.4660364389419556, 2.9708518981933594, 0.06566543877124786, -0.01129913330078125, -0.8464999794960022, 0.9746396541595459, -0.11747309565544128, -1.1552517414093018, 0.9968058466911316, -2.0777952671051025, -0.5440762042999268, -0.11349386721849442, -1.0072932243347168, -0.2695806622505188, 0.4729268252849579, 0.1995289921760559, 0.7645858526229858, 0.5121830701828003, -0.8151352405548096, 1.5638681650161743, 0.18447721004486084, 0.7652461528778076, 0.9660418629646301, -1.7097690105438232, -2.0023958683013916, 1.6359350681304932, 1.6420884132385254, 0.5281227231025696, -0.7931488752365112, 1.6083714962005615, 1.909969449043274, 0.22542589902877808, 0.5019192695617676, 0.7027091979980469, -0.9176233410835266, -2.011615514755249, 0.3628941774368286, 1.1887873411178589, -0.776607096195221, -0.5560939311981201, 2.049874782562256, 0.22557120025157928, 1.5787301063537598, 0.3910183906555176, 0.4051264524459839, 0.24534496665000916, -1.224812388420105, 0.966170072555542, -0.1623823195695877, 0.26744359731674194, 0.47392886877059937, -0.9849074482917786, 0.6405697464942932, 0.7696678638458252, 2.724950075149536, 0.5283634066581726, 0.3820284307003021, -0.1289827823638916, 0.5078639388084412, -0.04045059531927109, -1.4321224689483643, 0.1887734830379486, 0.6767378449440002, -0.888690173625946, 0.2741585373878479, -1.3564155101776123, -0.3621751070022583, 0.36619800329208374, -0.14233779907226562, -0.6914598941802979, -0.29129618406295776, -0.19325296580791473, 2.8339481353759766, -0.8598904013633728, 0.760574221611023, -1.0700770616531372, 0.044912755489349365, -2.1325342655181885, 1.6568926572799683, 0.9925888776779175, -0.3523162305355072, -0.9612378478050232, 0.04670535773038864, 0.42868277430534363, 0.2432260662317276, 0.1900050938129425, 0.7976089715957642, 0.07472178339958191, -1.3909600973129272, 0.7928828597068787, 0.20885644853115082, -1.7845041751861572, 1.1950623989105225, -0.14275246858596802, 0.5660021305084229, 0.14999771118164062, 0.2527410387992859, -0.7354059219360352, 0.3071413040161133, 0.46280163526535034, -0.40401309728622437, 1.941164255142212, -0.07838636636734009, -0.33297666907310486, -0.9557763338088989, 0.687101423740387, -0.5167526006698608, 0.38696837425231934, 2.0358924865722656, -0.06012009084224701, -0.7012349963188171, 0.5121232271194458, 1.4090936183929443, -1.1608465909957886, -0.5758703351020813, 0.6028090715408325, -0.05457605421543121, -1.4911861419677734, -0.292079895734787, -0.3123614490032196, 0.8101527094841003, -3.1372909545898438, 1.681167483329773, 0.04806743562221527, -1.4787131547927856, 0.24211306869983673, -2.886049270629883, 0.7706727385520935, -0.21571862697601318, 0.614750862121582, 0.6256033778190613, -0.19374015927314758, -0.6945757865905762, -0.15948277711868286, 0.46846914291381836, -1.9155611991882324, -0.43129271268844604, 0.6023688316345215, -0.46772950887680054, 1.9564236402511597, -0.5929864048957825, -0.14563345909118652, -1.265208125114441, 0.2577296495437622, -0.65931636095047, -0.0909399539232254, -0.16038432717323303, 0.679359495639801, -1.9829246997833252, -0.390760600566864, -1.1264375448226929, 0.4450218081474304, 0.9582439661026001, 0.07453672587871552, 1.0393508672714233, -0.675590991973877, 1.1407997608184814, 1.2623965740203857, 2.993837594985962, -0.6348891258239746, 0.6208411455154419, 0.43436887860298157, -0.16125057637691498, 2.2445297241210938, 0.26140034198760986, -0.17859384417533875, 1.6789729595184326, -2.3769843578338623, -0.8843567371368408, -0.007357334718108177, -0.22128742933273315, -2.270467758178711, 0.8024702072143555, -0.3008227050304413, -1.727978229522705, -0.41077083349227905, -1.0975651741027832, -0.16657838225364685, 0.5558378100395203, 1.6624528169631958, -1.4345999956130981, -2.1331593990325928, -2.059018611907959, -1.1867797374725342, -0.4420720040798187, 0.5647605657577515, -0.48245638608932495, -0.22011318802833557, 2.6917836666107178, 0.9442606568336487, -0.24307233095169067, -1.496001124382019, -1.7333868741989136, 1.0300039052963257, 0.11697530746459961, -0.7552216053009033, -2.5217387676239014, -0.24888935685157776, 0.25170037150382996, 0.5671466588973999, 0.08668187260627747, 2.097572088241577, -0.8682740330696106, 0.4913743734359741, -0.11595837771892548, -1.2545723915100098, 0.32175976037979126, -1.3795162439346313, 0.6061504483222961, 0.8390940427780151, 0.18433192372322083, -0.004805207252502441, 0.18723580241203308, -0.6878396272659302, -0.49754631519317627, -0.007123544812202454, 0.21731789410114288, -0.8811899423599243, -1.4277853965759277, -0.8521644473075867, 0.5303093194961548, -0.9104342460632324, -0.4520633816719055, 0.2203383445739746, -0.9630463123321533, -1.1103075742721558, -1.4496982097625732, -0.6289423108100891, -0.21403276920318604, 0.14242704212665558, 0.9192277193069458, -1.8567031621932983, -0.5116925239562988, -0.013559605926275253, 0.32777202129364014, 0.01580832153558731, -1.0727542638778687, -0.5675442218780518, -0.3794366717338562, 1.432492971420288, 0.6478766202926636, 0.3145047426223755, 0.16443902254104614, -0.6391944289207458, -1.3066167831420898, -0.6499000191688538, -0.1945440024137497, 0.9146101474761963, -1.9322948455810547, 1.2629945278167725, -0.5869138240814209, 0.9000998735427856, 0.5628683567047119, 2.0902440547943115, 0.6336318254470825, 1.653003215789795, -0.6770356297492981, -2.278181314468384, 0.7007213830947876, -0.06722910702228546, 2.6549746990203857, 0.5166493654251099, -1.0227700471878052, -0.7580568790435791, -1.1883090734481812, -0.08303308486938477, 1.2756556272506714, -0.347711443901062, 2.0144917964935303, -0.07600414752960205, -2.474639892578125, -0.8317392468452454, 0.17231586575508118, 0.33326584100723267, -0.1473379135131836, 0.5457077026367188, 0.5343575477600098, -1.292441964149475, 2.0670289993286133, -0.6074063777923584, -0.11076969653367996, -3.62971830368042, 0.2166452258825302, 0.12904241681098938, -0.1558491587638855, 1.426735281944275, -0.6212390065193176, 0.9532222151756287, 1.4336133003234863, 0.8236148357391357, 0.2533816695213318, -0.7848412394523621, 1.6743674278259277, 0.4664890766143799, -1.2778664827346802, -0.8993932008743286, -0.03332909941673279, -0.2212999016046524, -0.8678621053695679, 0.27411848306655884, 1.4153821468353271, -0.4680868685245514, 0.8650501370429993, 0.7652829885482788, 0.6669023036956787, -0.0007291971705853939, 0.5952326655387878, -1.5100113153457642, 0.8740456700325012, -0.574485719203949, -1.502848744392395, 1.0128024816513062, 0.5263744592666626, -1.3295683860778809, -0.18846113979816437, 0.7286818027496338, 0.4931809902191162, -1.396505355834961, -0.2655692398548126, 1.6352404356002808, 3.1196601390838623, -1.334702730178833, -0.45268744230270386, 0.9320155382156372, -0.8492897748947144, 0.175313338637352, 0.0663529634475708, 2.2104878425598145, -1.555916666984558, -1.3692262172698975, -1.7464807033538818, -2.592853307723999, 0.319772869348526, 0.8059628009796143, 0.8699140548706055, -1.7910425662994385, -0.49523118138313293, -1.1770955324172974, -0.012082964181900024, 1.3403874635696411, -2.0138700008392334, -0.48897916078567505, 0.8108360767364502, -0.45736730098724365, -0.5460258722305298, -1.6122817993164062, 2.9081056118011475, -0.5935178995132446, 0.43076276779174805, -0.4896310567855835, -2.0619900226593018, 0.4826103150844574, 0.7969936728477478, -0.832686185836792, -0.009009052067995071, -0.03938552737236023, 1.0811622142791748, 0.4397711157798767, -0.05198424309492111, 1.613548755645752, -1.9478306770324707, 0.5873508453369141, -0.05184239149093628, 0.8046596050262451, -0.3161189556121826, 0.9735174179077148, 1.0622444152832031, -1.9555455446243286, 1.4881346225738525, 0.747367799282074, -0.7344337701797485, 0.9884340167045593, 0.9036315083503723, -0.025977320969104767, -0.14604395627975464, -0.6799249053001404, -0.6443792581558228, 0.006508082151412964, -0.9574301838874817, -0.05624723434448242, -0.5631281137466431, -0.13177847862243652, -0.9786073565483093, 0.11334715783596039, 0.3003076910972595, 0.32902148365974426, -1.6081486940383911, -0.8156237006187439, -0.6627985239028931, -2.297086000442505, -0.848933756351471, -0.2598503828048706, 0.7677338719367981, 1.1036512851715088, -0.6096526384353638, -0.698803722858429, -1.57252037525177, -0.563944399356842, 0.11598606407642365, -0.9905290603637695, 0.28999292850494385, -0.33887115120887756, -0.2866283059120178, -0.7010118365287781, -0.41302818059921265, -0.18095716834068298, 1.4053633213043213, -0.08760453760623932, 0.6730526089668274, -0.47140970826148987, 0.3223457932472229, -0.5878586769104004, -0.7398696541786194, -0.39164191484451294, -2.2705304622650146, 1.390527606010437, 0.20391131937503815, 1.26123046875, -0.4945449233055115, -0.6587319374084473, 1.698575735092163, -0.7482398152351379, -0.3167766332626343, 0.1484166383743286, 0.560697078704834, 0.5241096615791321, 0.452379435300827, -0.03138342499732971, 0.40749409794807434, -0.28118574619293213, 0.07835131883621216, -0.09174209833145142, -1.615559697151184, -0.42085084319114685, 1.6239569187164307, -1.3586688041687012, 1.0814791917800903, -0.562796950340271, -0.06909173727035522, -1.3440229892730713, -1.9022853374481201, -1.1345539093017578, -0.533016562461853, 0.10535447299480438, -1.8308498859405518, 1.1588201522827148, 0.41752752661705017, 1.2570480108261108, 0.20036636292934418, -0.07473830878734589, -1.4126684665679932, -0.9011563062667847, 0.1896008551120758, 2.231128454208374, 0.21272191405296326, -0.7507246136665344, 0.16172148287296295, -1.0745375156402588, -0.39740800857543945, 0.8889074921607971, -0.032825618982315063, -2.0900208950042725, -0.27519097924232483, 0.35584938526153564, 1.8425498008728027, 1.8457242250442505, -2.283599853515625, 0.7480641603469849, 0.3328728675842285, 1.3635684251785278, 0.3915877342224121, -0.46190932393074036, -0.3030778765678406, 0.8773858547210693, 0.1879444271326065, 1.1986651420593262, 0.4399940073490143, -0.6240214109420776, 0.1574203073978424, -1.1346046924591064, -0.8958905935287476, -0.405473530292511, -0.0651673823595047, 0.6988345980644226, 0.2655048072338104, -0.038954585790634155, 0.767733097076416, 0.6022478938102722, -0.36199241876602173, 1.0729678869247437, 0.08046425879001617, -0.43308955430984497, 0.4102253317832947, -0.3248768448829651, 0.144511416554451, 2.1931979656219482, 2.510157823562622, -0.42095059156417847, -0.17401377856731415, 0.9144929647445679, 2.021766424179077, -1.0348583459854126, -1.1818792819976807, -0.5947206616401672, 0.7401517629623413, -1.4343196153640747, -2.3528542518615723, 0.33910003304481506, -0.2760195732116699, 1.0321773290634155, 0.4269864559173584, -1.1954548358917236, -0.7614480257034302, 0.3813890814781189, 0.8748321533203125, 0.2943568229675293, 0.03205418586730957, -1.0351152420043945, 0.32714954018592834, 1.125415325164795, -1.0721701383590698, -0.19059324264526367, -1.820481538772583, 0.503745973110199, -1.3056327104568481, -0.7507386207580566, 1.7266438007354736, -0.3886278569698334, -0.5958108901977539, 0.15656355023384094, -0.10171793401241302, 0.8092254400253296, -0.25698328018188477, 0.48205679655075073, -2.080641984939575, -0.3456481695175171, 0.944585919380188, 0.728188693523407, 1.110000729560852, -0.1316831111907959, -0.8924955725669861, 1.8920072317123413, 1.000753402709961, -0.3263939321041107, 1.0936124324798584, 0.30186349153518677, -2.2051141262054443, -1.4354957342147827, 0.6531822681427002, 0.9358237981796265, -0.4267250895500183, 0.38282105326652527, 0.7129418253898621, -0.11996084451675415, -0.6693847179412842, 0.042633265256881714, 0.5026146173477173, -0.2945196032524109, -1.2718783617019653, -0.19897529482841492, -0.20291152596473694, -0.26247987151145935, -2.584411382675171, -0.13504144549369812, 1.7436991930007935, -0.4014244079589844, 0.47339874505996704, 0.5569261908531189, -1.067097783088684, 0.7270092964172363, 0.2545844316482544, 0.12023821473121643, -1.3315939903259277, 0.7922438979148865, -1.2493503093719482, 0.6390994787216187, 0.4561726748943329, 0.06248974800109863, -0.5531991720199585, 0.9428346157073975, 0.6156663298606873, 1.012744426727295, -0.9687620997428894, -1.7505784034729004, -0.3683968782424927, -0.9537175297737122, 1.7578630447387695, 0.9469116926193237, -1.0066883563995361, 0.7215004563331604, -0.8918620347976685, -0.05802701413631439, 1.4042348861694336, 0.24224010109901428, 1.0327978134155273, -0.843146800994873, 0.6287493109703064, 1.0655782222747803, 0.7896028161048889, 0.040877461433410645, 0.1653696894645691, 0.24433602392673492, 0.5322993397712708, 0.1561102569103241, -0.18874524533748627, 1.6976293325424194, -0.9079354405403137, 0.1566813588142395, -0.5620177388191223, -1.9378559589385986, 0.4671551287174225, 0.8336709141731262, -0.719294011592865, 0.9746549725532532, -0.30762091279029846, -0.1423749029636383, -1.7788931131362915, 0.28348276019096375, 0.4099957048892975, 1.5846848487854004, -0.6188629269599915, -2.978989362716675, -1.1715967655181885, 1.379810094833374, -1.3257484436035156, -0.005682215094566345, -0.13882215321063995, 0.694844126701355, -0.4107893705368042, -0.1542600393295288, 0.3491462469100952, 0.2109147608280182, -1.2236156463623047, -1.2654114961624146, -1.0221428871154785, 0.5018624067306519, -0.2746219336986542, -0.02039337158203125, -1.1448471546173096, 0.43905943632125854, -0.6635413765907288, 0.01000695675611496, 1.1615972518920898, 0.2374928891658783, -0.9323230981826782, 0.44736820459365845, -0.07772020995616913, 1.6265736818313599, -0.09309978783130646, -1.1378086805343628, -0.3831661343574524, -1.750311255455017, 1.00588858127594, -0.06835772842168808, -2.5479342937469482, 0.3141414523124695, -2.320713520050049, -0.3944368064403534, 0.07145045697689056, -1.1884393692016602, -0.09931570291519165, -0.8346256017684937, -0.34449946880340576, 0.1103336364030838, -2.008819103240967, 0.732732355594635, 1.5058821439743042, 1.0992591381072998, -0.7604683637619019, 0.21297723054885864, -0.992949903011322, -0.3976593613624573, 0.33078494668006897, -0.5403025150299072, 0.20508210361003876, 1.5057629346847534, -2.0236599445343018, 0.8888206481933594, 1.6052401065826416, 0.3433699607849121, -1.0245894193649292, 0.950951099395752, 1.8352608680725098, -0.9988046288490295, -2.7417263984680176, 1.291143774986267, -0.7487283945083618, -1.497741937637329, -0.07076795399188995, 0.8177061676979065, -0.5534043908119202, -0.19038769602775574, 0.8114066123962402, 2.542069911956787, -0.48582637310028076, -1.2620335817337036, 0.9879401326179504, 1.8866695165634155, -0.7688440084457397, -0.06966379284858704, 0.07794564962387085, 1.2022643089294434, -0.3579321503639221, -2.0905017852783203, -0.9705368876457214, 2.291407346725464, 1.2257850170135498, 0.39914166927337646, 0.7992125749588013, -1.221350908279419, 0.9131084084510803, -1.718458652496338, -0.23051300644874573, 0.8070651888847351, 0.11913436651229858, 0.5969517827033997, -0.1603444218635559, 0.39734941720962524, -0.539504885673523, 1.0663306713104248, -0.7364501953125, -0.4004434049129486, 0.1295458823442459, -0.3940499424934387, -1.0955843925476074, -0.5259822010993958, -0.3567018508911133, -0.4650570750236511, 0.2955509424209595, -1.9964921474456787, 1.294697880744934, -0.038607895374298096, 0.6702451109886169, -0.9736512899398804, 1.061539649963379, -0.49227774143218994, 0.5588741302490234, -0.3421066999435425, 0.9641671180725098, -0.1814398318529129, 0.07897916436195374, -0.6025579571723938, 1.2564061880111694, 0.27881908416748047, 1.3988518714904785, -0.4642767608165741, -0.5164051055908203, 1.0904167890548706, 0.6020983457565308, -0.04104611277580261, 1.29625403881073, 0.6421703696250916, 1.0966241359710693, -0.8457677960395813, 0.14265739917755127, -0.08856001496315002, 1.2278249263763428, 0.762662947177887, -1.2350633144378662, 0.19349360466003418, 1.0391570329666138, -0.3002212643623352, 2.354614496231079, -0.8585570454597473, 1.4658278226852417, -0.3855878710746765, 0.45058974623680115, -0.08952151238918304, -3.0059216022491455, -0.5732547044754028, -0.5544723272323608, 0.9558296203613281, -0.21001863479614258, -0.3082966208457947, 0.04261809587478638, 0.6238471865653992, -0.5256872177124023, -0.6637403964996338, 0.7628515362739563, 1.2367544174194336, 0.061522409319877625, 0.4555618464946747, -0.19733396172523499, -0.6563853025436401, 0.24710413813591003, -0.405592679977417, -0.3424292206764221, -0.6567325592041016, -0.23683583736419678, -0.6267344951629639, 0.8186136484146118, -0.32082849740982056, 0.31759488582611084, -0.3017503321170807, 0.06441375613212585, -1.4470789432525635, -1.3979942798614502, 1.8205385208129883, -0.0832611620426178, -1.3314237594604492, 0.6240453720092773, -0.37828055024147034, 1.0046796798706055, 0.24019838869571686, 0.04548555612564087, 0.8539743423461914, -0.8952955007553101, 0.5813459157943726, 1.1673412322998047, -0.22822198271751404, -2.917522430419922, 0.33258363604545593, 1.0380851030349731, 0.3715948462486267, -0.15504616498947144, 1.6601314544677734, -2.0183019638061523, -1.0970906019210815, 0.9180011749267578, -1.8115403652191162, 0.9041094779968262, 1.3203150033950806, -0.7624449729919434, -0.3911857008934021, -0.9946303367614746, 0.7353489995002747, 1.466137409210205, -0.13458654284477234, -0.056224361062049866, -0.5965908169746399, 0.7127537727355957, 0.24844546616077423, -0.47179973125457764, -0.12109445035457611, 0.6319704055786133, 1.6208101511001587, -0.2197592556476593, -0.38863635063171387, -1.0346417427062988, 2.152864933013916, 0.7961342334747314, -2.2372007369995117, -1.7513790130615234, -0.23491939902305603, 1.249516487121582, -1.6420118808746338, -0.8948136568069458, 0.7500501275062561, -1.4553749561309814, 0.2673969566822052, -1.3322076797485352, 1.216486930847168, -0.7732853293418884, 0.911426305770874, -0.2980835437774658, 1.736054539680481, 2.665668487548828, 0.6978403329849243, -0.0939212441444397, 1.0290971994400024, -0.5131921172142029, 1.0609163045883179, -1.6839064359664917, 2.031804084777832, 0.01955988258123398, -0.8699377179145813, -0.10505253076553345, -0.21994450688362122, -0.07318641245365143, -1.3928108215332031, -0.13568542897701263, -0.632798433303833, -0.7604756951332092, -1.1966450214385986, -0.3155137300491333, 1.1496968269348145, -1.2448495626449585, -0.24369969964027405, -0.35795608162879944, -0.4039851427078247, -0.09652459621429443, 0.37550076842308044, -0.18254786729812622, 1.181829571723938, 1.2270474433898926, -1.5505359172821045, 0.15067169070243835, 0.0003654062747955322, 0.6259361505508423, -1.351449728012085, -0.5628583431243896, -1.6070644855499268, -0.8792052865028381, 0.7817984819412231, -1.3053362369537354, -0.06291142106056213, 0.0986902117729187, -0.6325685977935791, -0.3260868489742279, -2.0934946537017822, -1.5688196420669556, 0.3509424924850464, 0.7245886921882629, 0.000296860933303833, -0.21848653256893158, 1.0496753454208374, -0.4142136871814728, -1.9530357122421265, 2.31772518157959, -0.25535523891448975, 0.7607930898666382, -1.2814970016479492, -0.9857916831970215, 0.4183904528617859, -0.8055025339126587, -0.3955693244934082, -0.5668237209320068, -0.41461247205734253, 0.7604238986968994, -1.1038434505462646, -0.5025362968444824, -0.1238354742527008, -0.344925194978714, 0.08193832635879517, -0.2527107894420624, 1.0426803827285767, -1.6576719284057617, 0.5823935866355896, -0.7002238035202026, -0.8407419919967651, 0.5787115097045898, -0.6029401421546936, 1.2633439302444458, 1.3769505023956299, -0.3296933174133301, 2.9570472240448, -0.5222893357276917, -1.074798822402954, 1.3904021978378296, -0.9903873205184937, -1.0380688905715942, 0.3967605233192444, 0.8452931642532349, 1.296079397201538, 0.6086164712905884, -0.05698159337043762, 1.2515541315078735, 0.10884696990251541, -0.2563832998275757, 1.3823339939117432, -0.07558870315551758, -0.36453109979629517, -0.31821149587631226, 0.7845988273620605, -0.5490053296089172, 0.6829805374145508, -0.17434032261371613, -2.141702890396118, -0.7977768778800964, 0.901853084564209, -1.6467279195785522, -0.2755579650402069, -1.1480430364608765, 1.4450182914733887, 1.2880083322525024, -1.0371215343475342, 0.5761265158653259, -1.2920842170715332, -0.9329708814620972, -0.1559973657131195, 1.116510033607483, 1.0821903944015503, 0.25527846813201904, 1.7050089836120605, 1.1495879888534546, -0.42514514923095703, 0.505415141582489, -0.029067695140838623, -0.24706707894802094, -0.22912916541099548, 1.023244023323059, -0.9283884763717651, 1.049569845199585, -1.7544634342193604, -0.32011085748672485, -0.08070170879364014, 0.8008360862731934, -2.092980146408081, -0.21068641543388367, 0.9706620573997498, -0.9835337400436401, -0.8814347982406616, 0.5067888498306274, -1.9989572763442993, 2.1913352012634277, -0.6688629984855652, -0.7336454391479492, 0.828079104423523, 0.32829874753952026, -1.26845121383667, 0.24973145127296448, -0.2617802321910858, -2.237070322036743, -0.3960793614387512, 0.2967700958251953, -2.104900598526001, 1.207122802734375, 1.8870480060577393, -1.9089679718017578, 0.816868007183075, 0.9216674566268921, -2.5524606704711914, -1.465122103691101, -0.6439603567123413, -0.31827297806739807, -1.6973214149475098, -0.6224738359451294, -1.1479965448379517, 1.1939412355422974, 0.6443929672241211, -0.9573240280151367, 1.447555422782898, 0.5124207735061646, 1.321426510810852, -0.457230806350708, -0.3142019212245941, -0.9215155839920044, -0.45225638151168823, -0.29877325892448425, 0.6422426700592041, 0.20382636785507202, 1.0614973306655884, 2.6595616340637207, 0.914535641670227, 1.3645224571228027, 0.6018518209457397, -0.24962924420833588, 0.4076085388660431, 1.5289497375488281, -1.458423137664795, -0.003252953290939331, -0.18322190642356873, -1.1788583993911743, 0.799770712852478, 0.934020459651947, -0.25328266620635986, -0.9892311096191406, 1.8335468769073486, 1.0717709064483643, 1.4427860975265503, -0.017075955867767334, -0.02858826518058777, -0.10149084031581879, -0.6828759908676147, 0.3356840908527374, -1.8501213788986206, 0.5941340327262878, 0.4437648057937622, -0.9975729584693909, -1.4609330892562866, -2.2914607524871826, 1.1362122297286987, -0.6678793430328369, 0.2696361839771271, 0.4122546315193176, -2.1772162914276123, 0.3006739616394043, -0.0804428905248642, -1.1614913940429688, 0.17526187002658844, 0.2775352895259857, -0.8299948573112488, -0.7772343158721924, 0.6856662631034851, -0.057316362857818604, 0.030482947826385498, -0.049703508615493774, 0.6186582446098328, -0.5193241834640503, 0.1462855339050293, 0.4260464906692505, 0.8214441537857056, -1.0780426263809204, -0.5081719160079956, 0.3994150161743164, -0.3600853383541107, 1.413504958152771, -0.33239150047302246, -0.524835467338562, 0.5007941126823425, -0.964276134967804, -0.6919138431549072, -0.4613901376724243, -0.3627893328666687, 1.7872092723846436, -0.9779553413391113, 1.3005423545837402, -0.13557475805282593, -0.36317116022109985, -0.24674755334854126, -0.400465190410614, -0.11428605765104294, -1.3032232522964478, 2.078617572784424, 0.5162951350212097, 0.3661448359489441, 0.7830811738967896, 0.6228399276733398, -0.8080224990844727, 0.6611742377281189, 2.017038345336914, 0.9313784837722778, 1.332377552986145, -0.6591062545776367, 0.5782092809677124, 0.5783272981643677, -0.2357180416584015, 0.8218249678611755, -0.9971274733543396, -0.515617847442627, 1.3419955968856812, -0.00831642746925354, 2.3986458778381348, -0.4043958783149719, 2.8633134365081787, -1.1022030115127563, -1.678332805633545, -0.9065060019493103, -0.7598099708557129, 1.9878093004226685, 1.5726863145828247, -2.077118396759033, -0.20568817853927612, 1.4245095252990723, 0.7881642580032349, 1.3275959491729736, -2.47029972076416, -0.4190272390842438, -1.3527367115020752, -0.16315127909183502, 0.40840473771095276, 1.0886971950531006, 0.8509498834609985, 2.439800977706909, 0.8170323371887207, -0.5821582674980164, -0.504243791103363, 0.13635402917861938, -1.3226778507232666, 0.20175369083881378, -0.15332037210464478, -1.0402579307556152, -1.1090537309646606, 1.5086920261383057, -0.291824609041214, -0.32832324504852295, -0.1153731569647789, -0.9681911468505859, 0.21001547574996948, 0.6747032403945923, 0.8410432934761047, -0.9774691462516785, 0.518433690071106, -0.44383251667022705, 1.7013514041900635, 1.1441408395767212, 0.33589717745780945, 1.1383373737335205, 0.18058770895004272, 0.2293727546930313, 2.780362367630005, -0.3558797240257263, -0.2013145089149475, 1.7423847913742065, 1.488445520401001, -0.35163557529449463, -0.8160578608512878, -0.8252584934234619, 0.27957311272621155, -0.4202335774898529, 0.5011191368103027, 0.5688530802726746, -0.06560835242271423, 0.7926837205886841, -1.8799923658370972, -0.06519286334514618, 0.36258625984191895, -0.7997195720672607, 0.2717708945274353, 0.1303350180387497, -1.8195569515228271, 0.43856263160705566, -0.3934904634952545, 0.9742395877838135, -0.5560089945793152, 0.06303650140762329, -1.6009626388549805, -0.6957850456237793, -1.5401241779327393, -1.239676833152771, -0.12104764580726624, -0.7171869277954102, 0.4288008213043213, -0.20793357491493225, 0.7750666737556458, -1.0612138509750366, -1.4840798377990723, 0.12015950679779053, 1.639220952987671, 0.0632379949092865, -0.6871284246444702, -0.6750433444976807, -1.9137392044067383, 1.6483498811721802, 0.7269556522369385, -0.7146614789962769, -0.25961577892303467, -0.8491529226303101, -1.5046018362045288, 0.9660478830337524, -0.9060276746749878, 0.5247230529785156, -1.2492083311080933, -1.5061964988708496, 0.5222352147102356, -0.7284026741981506, -0.42921024560928345, 0.690500020980835, 0.04116278141736984, 0.5651772022247314, 0.8678996562957764, -0.7179573774337769, -0.5166093707084656, -0.9070836305618286, -0.6658806204795837, -0.9077845215797424, 1.1588689088821411, 0.18840499222278595, -0.2482183575630188, 0.132503479719162, -1.486329197883606, 0.24175295233726501, 0.07231777906417847, -0.15604239702224731, -1.407604455947876, -0.5496591329574585, 0.6219608783721924, -1.7091524600982666, 0.31081026792526245, -0.9394349455833435, -0.9800838232040405, 2.2306277751922607, -1.942075490951538, 0.36700916290283203, -1.3966543674468994, 0.4683138132095337, 0.6605652570724487, -1.422623872756958, 0.577547013759613, 2.297736167907715, 2.586118698120117, -0.014319300651550293, -0.8440647125244141, -0.2350941300392151, 1.3780133724212646, 0.5025889277458191, -0.6632384657859802, -2.0519988536834717, 0.17499326169490814, 0.3393115997314453, 0.5451564192771912, 0.949039101600647, -0.05940169095993042, -0.7417348623275757, -0.858383297920227, 1.3771401643753052, 0.710070013999939, -1.9207048416137695, -1.1083247661590576, -0.29764482378959656, -0.5990022420883179, 0.06506769359111786, 1.098105788230896, -0.09597678482532501, -0.8222771883010864, -0.45744186639785767, 0.6114076972007751, -2.3396167755126953, -0.2346765697002411, 1.8101874589920044, 0.7352624535560608, 0.9762948751449585, -1.4835652112960815, 1.208716630935669, 0.8107988834381104, 0.45450082421302795, -1.0065853595733643, -0.5632010698318481, -1.8447442054748535, -0.378548264503479, -0.6269143223762512, -0.6519923210144043, -0.7515047192573547, 0.860427975654602, -0.2725740671157837, -1.2966110706329346, 0.3813098967075348, -0.3376392126083374, -0.8543200492858887, 0.47017431259155273, 1.937842607498169, -0.46092039346694946, -0.028568759560585022, -0.596638560295105, 0.18610724806785583, -0.8052692413330078, 1.1818232536315918, 2.009524345397949, -1.5883063077926636, -1.195215106010437, -0.680840253829956, -1.5687099695205688, 0.8107444047927856, 0.5781956911087036, 0.8043581247329712, -0.9953054189682007, 0.5003368854522705, -0.02097531408071518, -1.049232006072998, 1.9155062437057495, 0.691046953201294, 0.3819437325000763, 1.7150001525878906, 0.16121536493301392, 0.2191832959651947, 1.0773792266845703, 0.8674776554107666, -0.9669920206069946, 0.5627778172492981, 0.19672216475009918, -0.1414472460746765, 0.456665575504303, 0.39290159940719604, 0.1885642409324646, -0.9458761215209961, 0.7273351550102234, 1.5224668979644775, 0.47997766733169556, -0.6114604473114014, -0.7864677906036377, 0.37789568305015564, -0.6787313222885132, -0.44009312987327576, 1.0888372659683228, 0.9853158593177795, -0.5713682174682617, 1.3624671697616577, 0.5595709085464478, -1.2744855880737305, -0.3604874014854431, -1.9241318702697754, 0.2113453894853592, -0.03958392143249512, -1.4071879386901855, 0.3469441831111908, -0.782795786857605, -0.9791760444641113, 0.6619474291801453, 1.9229657649993896, 0.08202701807022095, -0.10427546501159668, -1.484835147857666, 1.8197062015533447, -0.4330441951751709, -2.3561408519744873, -0.7440503239631653, 1.899851679801941, 0.4422973394393921, -1.3194549083709717, 0.4751213788986206, -0.02144692838191986, -1.064946174621582, -2.3323252201080322, -0.09289732575416565, 0.2326601892709732, 1.5393335819244385, 1.173376441001892, -1.0344486236572266, 1.138117790222168, 0.15374775230884552, 1.0771174430847168, -0.7461057901382446, 0.18393373489379883, 1.0467454195022583, 0.09773129224777222, 0.04795035719871521, 1.0567359924316406, -1.6620320081710815, 2.56852650642395, 0.48168736696243286, -0.3590586185455322, -1.180433988571167, 0.188486248254776, -0.16081270575523376, 1.8626205921173096, 0.3046683967113495, 0.3301160931587219, 1.8088728189468384, -0.6464338302612305, -0.7286484837532043, -0.3925912082195282, 0.6731215715408325, 1.9207818508148193, 0.4730222821235657, -0.2798219919204712, 0.011437952518463135, 0.1032809317111969, 1.373531460762024, 0.27669984102249146, 0.22799921035766602, -0.8817882537841797, 2.1717445850372314, 0.48643478751182556, 0.4628521203994751, -0.4579775333404541, 1.5275689363479614, 0.7288541793823242, 0.94411301612854, -0.06985589861869812, -0.7914045453071594, -0.24361124634742737, -0.7339507937431335, -0.0066530704498291016, 0.32857632637023926, 0.16319379210472107, -1.658689022064209, -0.14395582675933838, 0.2428821623325348, 0.49355486035346985, -0.28514307737350464, -3.2027180194854736, -1.7025532722473145, -1.6604140996932983, -0.94134521484375, -0.43470942974090576, 0.752160370349884, 0.7434705495834351, -0.5707552433013916, -0.5730408430099487, -1.2264708280563354, 0.1628936231136322, 0.5825361013412476, 2.4861576557159424, -0.7662497162818909, -0.9703139066696167, -1.5655757188796997, 2.1999292373657227, -0.8058981895446777, 1.0637743473052979, 1.4594264030456543, 0.8419288396835327, -0.6216638088226318, -0.5750525593757629, 0.6457483768463135, -0.06499037891626358, 0.5420041084289551, -0.36853694915771484, 1.090484380722046, 1.6718899011611938, -2.9268033504486084, 1.942269206047058, 0.05362185835838318, 0.4786100387573242, -0.7430252432823181, -1.0419604778289795, -1.0705041885375977, -0.6407456398010254, 1.6871109008789062, -0.6408100724220276, 0.7548902630805969, 0.3176080882549286, 0.9895699620246887, -0.29186302423477173, 0.794839084148407, -0.9174988865852356, -0.7319625020027161, 0.6772806644439697, -0.29565274715423584, 0.22283953428268433, 3.2347960472106934, -2.426175832748413, 1.2017483711242676, 0.3612760603427887, -1.7914048433303833, 0.2763681411743164, -0.11691747605800629, 1.1921848058700562, -0.0014786869287490845, -0.5069563388824463, -0.8245959281921387, -1.1156542301177979, -0.33574551343917847, -0.5668136477470398, 1.8787482976913452, -0.3678450584411621, -0.593001127243042, 1.500216007232666, -0.15762661397457123, -0.8029059767723083, -0.6931031942367554, 0.31948187947273254, -1.0592275857925415, 0.2347991168498993, -0.028042495250701904, -0.1478416919708252, 3.1170380115509033, 0.0588228702545166, -0.8403838872909546, 0.6726165413856506, 1.1844508647918701, -0.6664466857910156, -1.2964811325073242, -0.2627665400505066, 0.03357306122779846, 0.6485424637794495, -1.823535442352295, -1.2042021751403809, -0.676429271697998, 2.0879156589508057, 1.1238620281219482, -0.22046047449111938, -0.06553667038679123, -1.0091633796691895, -0.41273918747901917, 0.00833381712436676, 0.3428061604499817, 0.27987900376319885, -2.3539938926696777, -1.4863709211349487, -1.8358712196350098, 0.42824092507362366, 0.41345787048339844, -2.0835893154144287, 0.902158796787262, -1.3130544424057007, -1.8379027843475342, 0.5098521709442139, -0.19757960736751556, -1.3342831134796143, -0.9581909775733948, 1.322104811668396, 2.240180730819702, -0.6685031652450562, 0.6594007015228271, -0.7483228445053101, 0.6673840284347534, 1.7486599683761597, 0.811371922492981, -0.34485381841659546, 1.2480690479278564, -0.5740774869918823, 0.44303813576698303, -4.209935188293457, 0.3057010769844055, 0.5011224150657654, 1.4896025657653809, -1.6652588844299316, -0.7301524877548218, -0.06477487087249756, 0.25166118144989014, 0.3922380805015564, -0.816299557685852, -0.4940040111541748, -0.41333338618278503, -0.8942055106163025, 0.22614750266075134, -0.130699023604393, 0.961207389831543, 0.2768164873123169, -0.3609767556190491, -0.19068999588489532, 1.1031643152236938, 0.18627622723579407, 0.7031214237213135, 0.4587610960006714, -0.9992854595184326, -2.482804536819458, -0.3274380564689636, -0.34596845507621765, 1.135187029838562, 0.8201553821563721, 0.9443995952606201, -1.1100810766220093, -0.5048130750656128, 1.539006233215332, 0.43877384066581726, -0.9607284069061279, 0.5772258043289185, -0.8098888397216797, 1.6295932531356812, 1.1377828121185303, 1.4329513311386108, 0.22830629348754883, -2.778225898742676, 0.09394015371799469, 0.2232840657234192, -0.2033555507659912, -1.098567247390747, -0.5266306400299072, -0.6281798481941223, -0.08819007873535156, -1.4062838554382324, -0.02152290940284729, 0.10561636835336685, -2.05320405960083, -0.657013475894928, -0.17799532413482666, -0.34410521388053894, 0.09581999480724335, 0.13616913557052612, -0.1660810112953186, 1.607161521911621, 0.3850504159927368, -0.4035031795501709, 0.6398123502731323, 0.28805869817733765, 2.1845932006835938, 1.4308947324752808, -0.018191739916801453, -0.1659448891878128, -0.7348984479904175, 2.0531725883483887, 0.6849963068962097, 1.1834807395935059, -1.1671370267868042, 0.375249445438385, 1.596211314201355, 1.5910004377365112, 0.29925069212913513, -0.08720065653324127, 0.7025087475776672, -0.6712603569030762, -0.01743564009666443, 1.569315791130066, 2.1712288856506348, -2.4661290645599365, 0.39359256625175476, -1.5472971200942993, 1.1154121160507202, 0.7530623078346252, 0.9485608339309692, 1.594463586807251, -0.4202580749988556, 0.33056584000587463, -0.11752374470233917, 1.691932201385498, -1.3916301727294922, -0.6900771260261536, 1.0328375101089478, -1.8727128505706787, -0.3456651270389557, -0.7510015964508057, 0.9235590696334839, 1.405444860458374, -0.6301631927490234, 1.0828590393066406, 0.6950510144233704, 1.0068514347076416, 0.21931493282318115, 1.1350001096725464, -0.1319938600063324, -1.1566270589828491, -0.17781786620616913, -0.7465260624885559, -0.6453379988670349, 1.7703502178192139, -0.49980634450912476, -1.5232311487197876, -0.037029922008514404, 0.7996580600738525, -0.04573054611682892, -0.2698636054992676, 0.6554576754570007, 0.19788464903831482, -0.6093857884407043, -0.5705012083053589, 1.3776211738586426, 1.6376389265060425, 0.3198060989379883, 0.5411854386329651, 0.6731973886489868, -0.15369898080825806, 0.7454464435577393, 1.724682331085205, 0.25213921070098877, 0.4442214071750641, 0.5901575684547424, -0.8290050625801086, -1.524780511856079, 1.0098371505737305, -0.7467988133430481, 0.6671983003616333, 0.6462588310241699, -1.818751335144043, 0.6505506634712219, -0.126980721950531, -1.1438770294189453, 0.898187518119812, -1.894609808921814, 0.6915114521980286, 3.2119641304016113, 0.6796383857727051, 0.657722532749176, -0.13493141531944275, 0.36086928844451904, -1.541323184967041, 0.37232422828674316, 1.859043002128601, -1.6022205352783203, 0.20444843173027039, 0.5646450519561768, 1.2980655431747437, 1.1984223127365112, -0.4904193580150604, -1.6837303638458252, -0.08888167142868042, -1.8872935771942139, -0.65438312292099, -0.4251863956451416, -1.8479609489440918, 0.3552830219268799, 0.600757896900177, -1.0477811098098755, -1.4342644214630127, -0.6468471884727478, -0.7257944941520691, -1.0141712427139282, 0.5853436589241028, -0.9155207276344299, 0.9357688426971436, -0.5138317346572876, 0.8889181017875671, -0.7874411344528198, -1.545548677444458, -1.2029507160186768, 2.2463197708129883, -0.3746393918991089, -1.06305992603302, -2.2368757724761963, 0.24095140397548676, 1.0359530448913574, 0.36903077363967896, 1.568691611289978, 0.47204968333244324, -2.28928542137146, 0.9962871074676514, 0.46185335516929626, -0.9856232404708862, 1.4790092706680298, 1.0685855150222778, 0.2934630513191223, -0.6187371015548706, 0.9066687226295471, -0.9299241304397583, -1.0428144931793213, 1.0758626461029053, -0.4665992259979248, -1.1626255512237549, -1.625701904296875, 0.14022161066532135, 2.0804409980773926, 1.3829275369644165, 0.5288262963294983, 0.44493627548217773, -1.3641477823257446, -0.44946417212486267, 0.6433396339416504, -1.890684723854065, 0.6377059817314148, -0.0928419679403305, 1.2240443229675293, 0.913653552532196, -1.41145920753479, -0.23687529563903809, 1.0483357906341553, -0.7254704236984253, 0.7094910144805908, -1.4987225532531738, 0.5507084727287292, -1.0387330055236816, 0.8996609449386597, -0.5247939229011536, -0.6789208650588989, -0.20263195037841797, -1.5533833503723145, -0.58107590675354, 0.336374968290329, -0.9837546944618225, 0.7480202913284302, -0.4346013069152832, -0.6595187187194824, 0.35098928213119507, 0.20093518495559692, 1.1416816711425781, 1.0014525651931763, -0.03845234215259552, -0.3759574890136719, 2.247105598449707, 0.728477418422699, 0.9658737182617188, 0.829308032989502, -0.3561168313026428, 0.681701123714447, 1.9284714460372925, 0.2586430013179779, -0.2860161066055298, 0.5553597211837769, 0.7281163930892944, 1.3430984020233154, 0.6974507570266724, 1.220716953277588, 0.19501832127571106, 0.3388426899909973, 2.334652900695801, 0.3782312870025635, -0.4491588771343231, 2.1071131229400635, 0.4218207597732544, 1.152769684791565, -0.12823104858398438, 1.079831600189209, 0.7036433815956116, 0.9022806882858276, 0.48410239815711975, -0.6614710092544556, -1.1619067192077637, -0.6006262302398682, -0.5040361285209656, 1.5362939834594727, 0.6027293801307678, 1.2124541997909546, 0.42427492141723633, 0.683668851852417, -0.7979607582092285, -0.19317412376403809, -1.7246969938278198, 1.2914890050888062, 0.3325047791004181, 0.3455924093723297, 1.983918309211731, -1.0021957159042358, 0.3786203861236572, 1.3337242603302002, -0.66969895362854, 0.21498405933380127, 1.123474359512329, 1.6120736598968506, -1.810327172279358, -0.7956132292747498, -0.6281434893608093, -0.43959107995033264, -0.9343873858451843, -0.11638180911540985, -0.7514595985412598, -0.6493518352508545, -0.9977279901504517, 0.49836671352386475, -0.6960557699203491, 0.1346779465675354, -1.0549565553665161, -0.4432457387447357, 0.5710636973381042, 2.3585715293884277, 0.408218652009964, 0.584887683391571, 0.31808042526245117, -0.2583770155906677, 0.7580081224441528, 0.532528281211853, -0.5698418021202087, -0.9674268960952759, 2.3204092979431152, -0.16361486911773682, 0.4540107250213623, -0.9328413605690002, 0.7959250211715698, -0.005985504947602749, -0.3086751103401184, 0.3277771472930908, -1.2055244445800781, 1.2361267805099487, 0.39743879437446594, 2.1030383110046387, 1.2664319276809692, -0.1389121413230896, -0.7671361565589905, 2.1205897331237793, -1.9533518552780151, 0.001361548900604248, 0.4696851372718811, -0.28226742148399353, -0.21972736716270447, -0.4785003662109375, 1.145837664604187, 0.12978817522525787, -0.620582103729248, 1.0247416496276855, 0.27288728952407837, 0.8412488698959351, -0.7342987656593323, -0.052056312561035156, -0.6453063488006592, 0.24963003396987915, 0.4162192940711975, 0.7781087756156921, 0.1181427389383316, 0.2722511887550354, 0.4982677102088928, -0.7426897287368774, 0.7493436932563782, 0.35296201705932617, 1.5846834182739258, 1.069753885269165, 0.7908741235733032, -0.6843873262405396, -1.8676269054412842, -0.7798052430152893, 0.25524163246154785, 1.7207354307174683, 0.6783888936042786, 2.0959982872009277, 0.7412011623382568, 0.8042183518409729, -1.03611159324646, -0.13327908515930176, -0.40948721766471863, 0.09393608570098877, 1.8155468702316284, -1.3421438932418823, 2.6895713806152344, -0.5398240685462952, 0.3664419651031494, 2.678163528442383, -3.028334379196167, -0.6787568926811218, 0.3225247859954834, 1.8426626920700073, 0.22065132856369019, 0.9864102602005005, 0.5102342963218689, -0.46628624200820923, 0.7558414936065674, -1.6693793535232544, -0.6301841735839844, -1.6860469579696655, -0.4570842981338501, -0.2833704352378845, 0.6075882911682129, 0.2757461369037628, -0.14825351536273956, -1.1841366291046143, 0.4682943820953369, 0.4231857359409332, -1.5070964097976685, -1.0929410457611084, 0.045665234327316284, 0.14088833332061768, -0.869576096534729, 0.5783727765083313, 3.1183371543884277, 0.2096898853778839, -1.0004382133483887, 0.23259055614471436, -0.5517346262931824, 1.7499154806137085, -0.16135957837104797, 0.8238897919654846, -0.17378197610378265, 0.6850959658622742, -0.5227982401847839, 0.43317919969558716, 0.964240550994873, -0.4296240210533142, 0.5854516625404358, 0.7158801555633545, -0.3675076365470886, 0.8121305108070374, -0.3907628655433655, -0.9432785511016846, 0.20950764417648315, 0.806232213973999, 0.3431437909603119, -0.3224388659000397, -1.4305522441864014, -0.775786280632019, -0.09742513298988342, 1.8483749628067017, -0.738490641117096, -0.006260573863983154, 1.2723435163497925, -0.29402607679367065, -1.4153869152069092, 3.7253217697143555, 0.633162260055542, 0.7868894934654236, -0.03587743639945984, -0.1707930862903595, 0.7999007701873779, 0.5523983240127563, 0.040699541568756104, -0.61160808801651, -0.6571099162101746, -0.6692821979522705, 1.4781627655029297, 0.27413660287857056, -0.9358705282211304, -1.9912312030792236, -0.10917383432388306, -1.2409247159957886, 0.8662943840026855, 0.6095485687255859, -0.5587815046310425, 0.15518629550933838, -0.985891580581665, -0.25570976734161377, -0.2329471856355667, 1.0363996028900146, -1.2784152030944824, -1.287001371383667, -2.4108026027679443, -0.6662980318069458, -1.049640417098999, 0.5264208912849426, -0.3669968545436859, -1.1718239784240723, -1.2582149505615234, -1.3011596202850342, 0.58463054895401, -0.5873218774795532, -0.026890777051448822, -0.7269755005836487, 1.1986541748046875, 0.12278980016708374, -0.9914633631706238, -0.8432744145393372, 0.5323877930641174, 0.297675758600235, 0.13486087322235107, 0.7975252270698547, -0.060054630041122437, -0.1339094489812851, 0.40457260608673096, -1.1318130493164062, 0.703970193862915, 0.235365629196167, -0.6846060752868652, -0.23580145835876465, 0.5968072414398193, -0.5597383379936218, -0.8035011291503906, 0.9100170135498047, 1.9213919639587402, -0.5132701992988586, -0.9925931692123413, 1.8795111179351807, 0.5633596181869507, -0.5259382724761963, 1.2650374174118042, -0.9086068868637085, 0.30556049942970276, 1.0892889499664307, 1.4214328527450562, 0.5979742407798767, -0.5792205333709717, 0.7968681454658508, 0.27312132716178894, -1.5586256980895996, 0.6093375086784363, 0.6703815460205078, -0.9654884338378906, 0.8099125623703003, -0.31247490644454956, -0.37415340542793274, 1.8695764541625977, 0.5247577428817749, 0.03782209753990173, -0.2906668484210968, 1.1731243133544922, 1.5474681854248047, 0.8767261505126953, -1.6864173412322998, 1.0854361057281494, 0.0004495978355407715, 0.5728850364685059, 0.5335062146186829, -0.19230762124061584, -0.18111974000930786, -0.11736811697483063, -1.2598966360092163, 0.24902799725532532, 0.4737134575843811, -0.9612183570861816, 1.5529290437698364, -2.968418598175049, 0.11646872758865356, 1.2470818758010864, -0.6239829063415527, 1.5216039419174194, 1.3812944889068604, 0.028833448886871338, -0.5386325716972351, -1.7023578882217407, 0.6763651371002197, -1.2010390758514404, 0.7631458044052124, -0.79685378074646, -1.635064721107483, -0.02082309126853943, 0.12027901411056519, -0.22342097759246826, -1.0023349523544312, -1.531672477722168, 0.1963391900062561, -0.9895737767219543, -2.5797722339630127, 0.12603500485420227, 0.5878334045410156, -1.04470956325531, -0.35933753848075867, -0.33167099952697754, 0.7246755957603455, 1.9137766361236572, 0.6592454314231873, 0.21667133271694183, 1.0719822645187378, -0.8101725578308105, -0.7047016024589539, -0.3167097270488739, -1.529923677444458, -1.2712594270706177, -1.1893935203552246, -1.205805778503418, -0.16478364169597626, -0.5395033359527588, 1.7977261543273926, 1.6580989360809326, -0.8144203424453735, -1.0537066459655762, 0.5399516820907593, -0.6859299540519714, 0.34716641902923584, -0.7851195335388184, 1.6348257064819336, -1.6633579730987549, -1.5631989240646362, 0.5341299772262573, -0.44491487741470337, -0.8384175896644592, -1.6857073307037354, 1.1145527362823486, -0.2233675867319107, 1.0904929637908936, -0.7304216623306274, -1.3925414085388184, -1.2932851314544678, -1.3668780326843262, 0.8703969717025757, -1.2036173343658447, -0.7024317979812622, -1.9266972541809082, 0.11283722519874573, -1.0563887357711792, 0.4901536703109741, -0.5183036923408508, 0.32074472308158875, -0.18578781187534332, -0.5209177732467651, 0.027254261076450348, -0.8217917680740356, -1.6444106101989746, -0.029371868818998337, -1.342788815498352, -0.1702060103416443, 1.00310480594635, -0.7211193442344666, 0.8446885943412781, -1.5512908697128296, 1.4035789966583252, 0.9106945991516113, 1.1017638444900513, -0.05010402202606201, -0.4131239950656891, -1.4876736402511597, -0.28393012285232544, 0.5564559102058411, 1.1566230058670044, -1.357033133506775, -0.2306385636329651, -1.194032073020935, -1.1466357707977295, 1.763442039489746, -0.364734023809433, -0.7030429244041443, 0.8035262823104858, 0.058463748544454575, 0.5715961456298828, 0.7306739687919617, -0.40023040771484375, -0.8575173020362854, 0.6886196732521057, 1.7154046297073364, -0.33595895767211914, -2.6041259765625, -1.3277517557144165, 0.08422669023275375, 0.28415343165397644, 0.4809298515319824, -0.2989960312843323, -0.24986682832241058, -1.8647220134735107, 0.05858790874481201, -0.0434359610080719, -1.0328943729400635, -0.014040052890777588, 0.5177801251411438, -0.7141397595405579, 1.1198370456695557, 0.12514212727546692, -0.7463712692260742, -0.38401520252227783, 0.7363723516464233, -0.9910312294960022, -0.8835208415985107, 0.41164591908454895, -0.1231963038444519, 0.46588799357414246, 1.0416555404663086, 1.5878574848175049, -0.06593164801597595, -1.2600939273834229, 0.1320187747478485, 0.3463136553764343, 0.050423502922058105, -2.085695743560791, -0.2712157964706421, 0.7993931770324707, 1.028865933418274, -0.6681987643241882, 0.9163156747817993, -1.488061547279358, -0.12936416268348694, 0.24065300822257996, 0.29264113306999207, 0.9931982159614563, -0.8554900884628296, -1.9693331718444824, -0.5842817425727844, 0.1863790601491928, -0.22724977135658264, -0.6678645610809326, -0.22149035334587097, -1.009675145149231, -0.034883737564086914, 0.11657768487930298, -0.43870270252227783, -0.41519781947135925, 0.3854600489139557, 1.7997618913650513, 0.5557790994644165, -1.3813384771347046, -1.2887462377548218, 1.0744136571884155, -0.7645803689956665, -0.10610069334506989, 0.01117616891860962, -0.5431923866271973, 1.3042123317718506, 0.1320972442626953, 0.6204073429107666, 0.18529808521270752, -2.210120439529419, -0.6738755702972412, 0.8418049216270447, 0.8764040470123291, 0.5185164213180542, 0.41993969678878784, -0.28789785504341125, 0.4684063196182251, 0.7312030792236328, -0.15218275785446167, 0.11651407182216644, -0.3002849221229553, -1.4088128805160522, 1.378880500793457, -0.6651275157928467, -0.3940715193748474, -0.7333433032035828, -1.4122205972671509, 1.0984424352645874, -4.257443904876709, 0.9132587909698486, 0.9614976644515991, -0.11969073116779327, -0.06974270194768906, 0.6612834930419922, -1.2123947143554688, -0.04272696375846863, 0.12894907593727112, 0.606072187423706, -0.8427656888961792, -2.3486576080322266, -0.5317311882972717, -1.1725642681121826, -0.6701737642288208, -1.8519079685211182, -1.6225217580795288, 1.1930437088012695, -0.7605050802230835, -1.049022912979126, -2.2939319610595703, -0.12358735501766205, -1.6168936491012573, -0.9291881322860718, -0.4330407381057739, -0.42089125514030457, -0.6377999782562256, -0.022096484899520874, -1.468321681022644, 1.5932763814926147, -0.08323395252227783, 0.35862061381340027, -0.7185012102127075, -0.24994757771492004, 0.03006349503993988, 0.1683523803949356, -0.921890377998352, 0.47100090980529785, 1.2555452585220337, -2.1418521404266357, 0.8583362102508545, 0.2548273503780365, 0.47801533341407776, 0.32151728868484497, -0.22084364295005798, -0.753841757774353, 0.05418212711811066, -1.7466360330581665, 0.38562843203544617, 0.22815802693367004, 1.864387035369873, -1.014420509338379, -0.08275395631790161, 1.509042501449585, -0.263960063457489, 0.9203526973724365, 0.7434982657432556, -1.4348499774932861, 1.578857421875, -0.07681143283843994, -0.22586742043495178, -1.293094277381897, -0.35452160239219666, -0.6501647233963013, -1.5668450593948364, -2.001253604888916, -0.4009733200073242, -1.5827549695968628, 1.1639618873596191, -1.1843554973602295, -1.5345585346221924, -1.4097398519515991, 0.7422877550125122, 2.090939998626709, -0.02683544158935547, -0.861094057559967, 0.43103817105293274, 0.10731367766857147, 1.327549695968628, -0.4241206645965576, 2.796379566192627, -0.004970625042915344, -0.2871966063976288, -1.1726747751235962, -0.5054793357849121, 0.6694766283035278, 1.2963881492614746, 0.49974802136421204, -1.5316569805145264, -1.1737499237060547, -0.6303494572639465, -3.0479397773742676, 0.32254600524902344, 0.6402208805084229, -1.1388261318206787, -0.7047392129898071, 0.8185666799545288, -0.2984387278556824, -0.20862293243408203, -0.1867242008447647, -1.7338733673095703, -2.2172422409057617, -0.8892506957054138, 1.1199603080749512, 0.6621040105819702, 0.5756253600120544, 1.8697900772094727, -0.19266104698181152, -1.7393254041671753, 0.6616206765174866, 0.016046136617660522, -0.11208778619766235, -1.428958535194397, -0.35320594906806946, 0.4787583351135254, 0.7331530451774597, 1.0212175846099854, 0.6067827343940735, 0.334442675113678, -0.4826975464820862, -0.7788247466087341, 0.1925291121006012, -2.200836420059204, -1.4564467668533325, 0.6476815938949585, 0.7320143580436707, -0.16313108801841736, 1.797520399093628, 1.0010303258895874, -1.8534258604049683, 0.17004504799842834, 0.21158090233802795, -0.6627651453018188, -1.2654037475585938, -0.9416047930717468, -0.9499718546867371, -0.2781256139278412, 1.3387444019317627, -0.1543319821357727, 0.4232753813266754, 0.843113362789154, -0.4079127311706543, 0.9110021591186523, -0.5447376370429993, -0.1158609390258789, 2.318631649017334, 0.8093131184577942, -2.1845245361328125, -1.005727767944336, -1.8484268188476562, 0.39126914739608765, -3.0217628479003906, -2.0454561710357666, -1.021653175354004, 0.7192286252975464, 0.12309940159320831, -0.6946824789047241, -1.0442246198654175, 0.8282848596572876, -2.0114691257476807, -0.41367635130882263, -0.3220551013946533, -0.6056243777275085, -0.07025167346000671, 1.0611817836761475, -2.582986354827881, 0.533299446105957, -1.0231013298034668, 1.6546216011047363, -0.026239067316055298, 1.1587523221969604, 2.2343006134033203, 0.15324673056602478, -0.39403125643730164, -0.8484614491462708, 0.1637190282344818, -0.4842104911804199, -0.28349336981773376, -1.293785572052002, -0.08217063546180725, -1.7628685235977173, -0.7922941446304321, -1.2451226711273193, 0.3095366358757019, 0.36692988872528076, -1.1533703804016113, 0.6770105361938477, -0.4053742289543152, -1.486574649810791, -1.7343605756759644, -0.9324556589126587, 0.7621785998344421, -0.23812881112098694, 0.15216867625713348, 0.4146203398704529, 1.3137829303741455, -0.49928292632102966, 0.5393989086151123, 0.8821478486061096, -1.1327455043792725, -0.20438161492347717, -0.36661601066589355, -0.5098139643669128, -0.3174850642681122, 0.1849670112133026, 1.9129880666732788, 1.9433671236038208, 1.406079649925232, 0.5749329924583435, 1.891396164894104, -0.16566777229309082, 1.2486088275909424, 1.4510279893875122, -0.8518927693367004, 0.0011589527130126953, 0.8529086709022522, -0.4086971879005432, 0.633562445640564, -1.0832626819610596, -0.13542866706848145, -0.2092265486717224, 0.3501310646533966, -0.4533427953720093, -0.12101373076438904, 0.009722225368022919, 1.0622419118881226, 1.6447144746780396, -1.9086321592330933, -0.48870915174484253, -2.035923480987549, 2.032200813293457, 0.3397209942340851, 1.2305643558502197, -1.363797664642334, -0.4213877320289612, -0.0889335423707962, -0.22680704295635223, 0.09644114971160889, 0.569939136505127, -1.0388482809066772, -1.2671363353729248, 2.570122480392456, 0.2649077773094177, -0.2443884313106537, 2.3852035999298096, 0.07059382647275925, -1.052311658859253, 0.10954514890909195, -0.7551150321960449, -0.428938090801239, -1.6931800842285156, 0.6698018312454224, 0.736585259437561, 1.5745283365249634, -0.9523827433586121, 0.5246157646179199, 0.1701802909374237, -0.9771280884742737, 1.0838717222213745, -0.06567990779876709, 0.03385050594806671, -2.7567343711853027, 0.6877927780151367, 0.5673407912254333, -1.1415669918060303, 1.3432546854019165, 1.8512635231018066, -1.1811074018478394, 0.008492916822433472, 1.0260000228881836, 1.7056739330291748, -0.10953404754400253, -0.11052626371383667, -0.8914439678192139, 1.163616418838501, 2.7656404972076416, 0.22770705819129944, 0.06385038793087006, -0.2792181968688965, -0.04637026786804199, -0.16674524545669556, -0.059794411063194275, 1.2232191562652588, 0.8230407238006592, 0.5935327410697937, 0.8338320255279541, -0.3012516498565674, -1.8043864965438843, 2.141906499862671, -0.44670963287353516, 0.4586228132247925, 0.04853224754333496, 0.6794225573539734, 0.686125636100769, -0.048517294228076935, -0.0051351189613342285, -0.8211379647254944, 0.6151325106620789, 1.3543667793273926, -2.337368965148926, -0.9420318007469177, -0.4139404892921448, -0.8419124484062195, -1.1122065782546997, 0.029705755412578583, 0.8259762525558472, 1.8219475746154785, -0.7777782678604126, -0.18453329801559448, 0.20604442059993744, -1.5759387016296387, 1.1748427152633667, -1.7955279350280762, -0.5980791449546814, 1.037398099899292, -0.11029713600873947, -0.11166660487651825, 0.45336002111434937, 1.0303164720535278, -2.8598010540008545, 1.407954216003418, -0.24871471524238586, 1.5307163000106812, 0.26046034693717957, 2.712149143218994, -0.4380897283554077, -1.0271679162979126, -0.09077756106853485, 0.07006368041038513, 0.26766976714134216, 0.23138242959976196, -1.089421272277832, -0.48701268434524536, 1.249027132987976, -1.808670997619629, -1.1029648780822754, 0.25636839866638184, 1.1679375171661377, -1.8099243640899658, 0.1797129362821579, -0.10221923142671585, 0.4628784954547882, -1.7801897525787354, -0.4477156698703766, -0.5337489247322083, -0.8767751455307007, 0.3718298077583313, 0.6793085336685181, -0.6629818677902222, 0.189487487077713, -1.1956790685653687, -1.1500028371810913, -1.2999584674835205, -0.6333498954772949, -1.0081933736801147, -0.27719035744667053, -0.4714834988117218, -1.111068606376648, 0.5972066521644592, 0.29655879735946655, -1.4211452007293701, 0.7203826904296875, 1.4529684782028198, 0.24973836541175842, 0.25932496786117554, -0.9086371660232544, -0.026566654443740845, 1.1130366325378418, -0.7832058668136597, 0.05862218141555786, -2.1921226978302, -1.6716771125793457, 0.24760231375694275, -0.140537291765213, 0.3316599428653717, 0.7124416828155518, -2.3521881103515625, 0.12929776310920715, -1.6898037195205688, 1.6514257192611694, -0.8011049032211304, 0.834421694278717, -0.7392410039901733, -0.1428387463092804, 1.0922757387161255, -0.05006004124879837, 1.466309666633606, -1.034809947013855, -0.5744606852531433, -0.42952635884284973, -1.0905498266220093, 0.8614034652709961, 0.6095143556594849, -0.2578829824924469, 1.0566953420639038, 0.3768906891345978, 1.0004640817642212, 0.9796794652938843, 0.28995078802108765, -1.3494594097137451, -1.2798367738723755, 0.9684485197067261, -0.4764450192451477, 0.39372581243515015, 0.4104158282279968, -0.29424774646759033, -0.406838059425354, -0.20179450511932373, -0.14778615534305573, 0.647415280342102, -1.4027228355407715, -1.0197231769561768, -1.9940898418426514, -0.8691835999488831, 0.8494749665260315, -1.6747233867645264, -0.7292990684509277, 2.6719603538513184, -0.8047022223472595, 0.17798231542110443, 1.085204839706421, 1.1950461864471436, -0.39410728216171265, -0.37960317730903625, 0.11813375353813171, -2.2085134983062744, -1.1404451131820679, -0.36256158351898193, -2.141409397125244, 0.9202075600624084, -0.7283710241317749, 1.2457283735275269, 0.932120144367218, -1.1429729461669922, -1.129747986793518, -1.2197885513305664, -1.1675772666931152, 0.1902201622724533, -0.1867862492799759, -0.896714985370636, -0.22468818724155426, -0.09959714859724045, 0.18808147311210632, 1.4789929389953613, 0.6905380487442017, 1.709499716758728, 1.5756829977035522, -1.1983294486999512, 0.5806002616882324, 0.4428865611553192, -0.14109747111797333, -0.35388678312301636, -1.1849615573883057, -0.3378300666809082, 1.3255443572998047, 0.1843515932559967, 0.3020090162754059, 0.9353483319282532, -0.31702589988708496, -0.42936205863952637, 0.45405763387680054, 0.7218934297561646, -0.9200383424758911, -0.9800409078598022, 0.5557161569595337, 0.9767911434173584, 0.5664713382720947, -0.17891991138458252, 0.541680097579956, -1.331176996231079, -0.6307191848754883, -0.7281392812728882, -0.520755410194397, 0.5598433613777161, -0.07329733669757843, -0.5351260304450989, 1.4150034189224243, -1.275604248046875, 0.33950313925743103, 2.2501795291900635, 0.3472546339035034, 0.3875652849674225, -2.030640125274658, -1.2866324186325073, 1.9662319421768188, -0.6148656606674194, -1.9794389009475708, 0.5679845809936523, 0.155289888381958, -0.08378417789936066, 1.7155375480651855, 1.738783597946167, -0.12132420390844345, 0.5836507081985474, 0.8982124924659729, -1.182605504989624, 1.3349008560180664, -0.1938406378030777, 0.44401443004608154, -0.5798327922821045, -0.4546682834625244, -0.6101492643356323, 0.8270726203918457, 1.045141339302063, -0.3188698887825012, 0.54981929063797, 1.9934133291244507, -0.7529466152191162, 1.6194531917572021, 0.061387643218040466, -0.9813200235366821, 0.46680930256843567, -0.6614421606063843, 0.8988534808158875, -1.6362918615341187, 2.1924548149108887, -0.5015689730644226, 1.1400142908096313, -0.23659196496009827, 0.4610458016395569, 0.23586386442184448, 0.5020899772644043, 1.8327383995056152, 0.3598209023475647, -0.5898548364639282, 0.13524281978607178, 0.10797105729579926, 0.5049753189086914, 0.4079485535621643, -0.9764201045036316, -0.0029520392417907715, 0.276024729013443, -2.2563414573669434, -0.8196196556091309, 0.6733907461166382, -0.04869118332862854, -1.2043523788452148, -0.453301340341568, 1.471285343170166, 0.024399995803833008, 0.06200852245092392, -0.3877972960472107, 0.34521764516830444, -0.4523993730545044, 1.3920633792877197, 0.12978364527225494, 0.16233395040035248, 1.9951260089874268, -1.2489655017852783, -2.5655148029327393, 2.2410237789154053, 0.02187010645866394, 0.1513541340827942, -0.7456597089767456, 0.9627354145050049, -0.3464736342430115, 0.12920235097408295, 0.14240224659442902, -0.9676187038421631, -1.3184285163879395, 0.6629334092140198, 1.5346423387527466, 0.25684303045272827, -1.4446077346801758, 1.8290938138961792, -1.409670114517212, 0.25992751121520996, 0.45655205845832825, 1.1328635215759277, -0.45730072259902954, -0.19723844528198242, -0.5859436988830566, -0.19318845868110657, 1.0974375009536743, 0.7362179160118103, -0.8547490239143372, 2.1092116832733154, 0.3180041015148163, 1.459416151046753, -1.7357534170150757, -0.15352272987365723, 0.45550093054771423, 0.005451485514640808, -1.1363155841827393, 0.8666598796844482, -1.278057336807251, 1.631731629371643, -1.273254632949829, 1.1303621530532837, 0.4029707908630371, -0.6432398557662964, 0.5590890645980835, 0.3637348711490631, -0.6189753413200378, 1.7493412494659424, 0.8269898891448975, 0.7188049554824829, 3.224972724914551, 1.8541337251663208, 0.6255035996437073, 0.9427025318145752, 0.5445917844772339, 0.5115320086479187, -0.23375436663627625, 1.0402462482452393, -1.9167736768722534, 0.3227231204509735, 1.7236346006393433, 0.979316234588623, 1.028570532798767, 1.0559237003326416, 0.24452964961528778, -0.04269421100616455, 2.098841667175293, -2.380171537399292, -1.8530700206756592, 1.064312219619751, 0.548366129398346, 3.2158117294311523, 1.3946726322174072, -0.3014289140701294, 0.2625274062156677, -0.9370160698890686, 1.0440967082977295, 1.069823145866394, -0.5251938104629517, 0.07639244198799133, -1.3125990629196167, -0.3832505941390991, -0.45421266555786133, -1.5874451398849487, -0.122263103723526, -0.4096779227256775, -1.0531188249588013, -1.2925461530685425, 0.8217304944992065, 1.1307679414749146, 0.8051023483276367, -0.0322074294090271, -0.35336655378341675, -0.997770369052887, -1.6070072650909424, -0.4253544211387634, -1.877149224281311, 0.13241785764694214, 0.011903718113899231, 0.44801557064056396, 0.8637296557426453, -0.7932365536689758, -0.8586013317108154, 1.1054449081420898, 1.0432683229446411, 0.011254757642745972, 0.5864633321762085, -1.2748279571533203, -0.914031982421875, 0.8032937049865723, -1.3932102918624878, -0.4275849759578705, -0.5531020164489746, 0.3663839101791382, -0.01674056053161621, 1.235059380531311, 0.5612550973892212, -1.290167212486267, -1.266494870185852, -0.16660556197166443, 0.35137614607810974, -2.2441787719726562, 0.7745935916900635, -1.502013087272644, -1.747241735458374, 0.34152093529701233, 1.001456379890442, -0.20635271072387695, 1.4931163787841797, 0.5606297254562378, 0.30436867475509644, -2.71809983253479, -0.9681998491287231, 0.15531662106513977, -0.41588836908340454, -0.29885417222976685, -0.7174756526947021, -1.475856065750122, -0.39584052562713623, -0.6192783117294312, 0.4903622269630432, 0.2520047724246979, 0.7639633417129517, 1.185989499092102, 0.3297630846500397, 0.18055379390716553, 0.5180997252464294, 1.1683998107910156, -1.4237759113311768, 0.9838387966156006, -0.4391135573387146, -0.7003886699676514, -0.8744738101959229, -0.7073397040367126, 0.30924510955810547, 0.5392078161239624, -1.0694011449813843, 1.9785200357437134, 0.0957990288734436, 1.530854344367981, -1.5545682907104492, -0.8810743093490601, 0.9184922575950623, 0.465366005897522, 0.08961330354213715, 1.271986484527588, 0.2183455228805542, -0.3156735599040985, -0.0804838240146637, -0.11738106608390808, -2.189748764038086, -0.08993753790855408, 0.9927260875701904, -0.47770196199417114, 0.40036535263061523, 1.0731925964355469, 1.0149214267730713, 0.43572357296943665, -0.8951883912086487, -1.0057988166809082, -0.08413302898406982, 0.504849374294281, -1.0611084699630737, -0.2603268623352051, 1.0937107801437378, 0.06278415024280548, -1.1746721267700195, 0.03157350420951843, 1.403185248374939, -0.09883484244346619, -0.8365552425384521, -0.41145196557044983, 2.2477853298187256, -0.5664883255958557, 0.7395031452178955, -0.9493045806884766, 0.5908181667327881, -0.14864832162857056, 0.5155408382415771, 0.6529849171638489, -0.49496281147003174, -0.06495989859104156, -0.03950321674346924, 0.7204188704490662, 0.39013421535491943, 0.06742516160011292, 1.027232050895691, 1.6445918083190918, -0.0062543898820877075, 0.5315926671028137, 0.7308274507522583, -0.3304501473903656, -0.6134034395217896, -2.063514232635498, -1.1448675394058228, -0.6892573237419128, 0.726851761341095, 1.3662704229354858, 2.8632123470306396, -0.6813122630119324, 0.8910371661186218, -1.1374701261520386, 0.15889298915863037, 1.7726373672485352, -1.8010337352752686, 0.5575321912765503, -0.3656068444252014, -0.17517828941345215, -0.5247485637664795, 1.4336071014404297, 0.33186328411102295, -0.07836033403873444, 0.8621309995651245, -2.3056085109710693, 0.18831336498260498, -1.2570468187332153, 1.035223364830017, -2.0424575805664062, 0.8330889344215393, -0.39406901597976685, -0.2870110273361206, 0.049581319093704224, 0.09302055835723877, -0.28015604615211487, 0.5660126209259033, -0.7409634590148926, 0.4587482810020447, 0.3483475148677826, 1.0546557903289795, -0.1121334508061409, 0.9158529043197632, -0.6903399229049683, -0.015898501500487328, -0.1630532145500183, -0.26776134967803955, -1.0439473390579224, 0.48582005500793457, 1.1853052377700806, 1.2571879625320435, 0.5003386735916138, 0.6367397308349609, -1.8054134845733643, 0.18528324365615845, -0.5299322009086609, -1.176332950592041, -0.9666769504547119, -1.3713116645812988, -0.08031859993934631, -0.35079485177993774, -1.9862996339797974, -0.2861785888671875, -0.31914448738098145, -0.4640142321586609, 1.0945152044296265, -1.5844160318374634, 1.2990715503692627, 2.128715753555298, 0.2003747522830963, -2.647818088531494, 1.9340028762817383, -0.9032407999038696, 0.7214890718460083, -0.3346126675605774, -1.0961084365844727, 1.059809684753418, 0.6595326662063599, 0.8867908716201782, 1.7608213424682617, -0.7062318921089172, -0.24261796474456787, -0.2435004562139511, 1.5841997861862183, -0.3132709860801697, 1.2517703771591187, -1.061186671257019, 2.2152159214019775, -0.10693378746509552, 1.5746538639068604, 0.508445143699646, -1.545569658279419, 0.8203808665275574, -0.7934656143188477, 0.9334182739257812, -0.06527784466743469, -0.4395449161529541, -0.19315430521965027, 0.2881945073604584, -0.8010312914848328, 0.8385940790176392, -0.8481805920600891, -0.933576762676239, 0.6873197555541992, -0.20793569087982178, -2.721377372741699, -0.6916474103927612, -0.9682885408401489, 0.2263171374797821, 0.04873724281787872, -1.5208516120910645, -0.4740034341812134, 0.005031226202845573, 1.1959419250488281, 0.21656325459480286, -0.7598447799682617, 0.440060555934906, 1.1865328550338745, -0.5758423805236816, 0.9797265529632568, -2.2799456119537354, 1.348547339439392, 1.1702299118041992, 0.978793740272522, -0.5847712755203247, 2.456782341003418, 0.7475839853286743, 0.060536131262779236, 1.2581008672714233, 0.5643519759178162, -0.6042454838752747, 0.5225681066513062, -0.8521367907524109, -0.5010679364204407, 0.8136506080627441, -0.1152196004986763, -1.5383751392364502, 0.36855584383010864, 1.675880789756775, 0.03253734111785889, 1.009064793586731, 0.6533992290496826, -0.44162440299987793, -1.561745524406433, 0.07798433303833008, 1.567814588546753, 1.1408185958862305, -2.5021255016326904, 0.451609343290329, 1.9007043838500977, -1.0359535217285156, -0.20907606184482574, -1.1618133783340454, 0.6315504312515259, -0.24493679404258728, -1.8104439973831177, -1.5533326864242554, -0.607109785079956, -0.5424851775169373, -2.0073788166046143, -0.9692547917366028, -0.6794817447662354, -1.4033198356628418, 0.978217601776123, 0.34505197405815125, -0.5009834170341492, -0.9519919157028198, 0.8300045728683472, -0.14554283022880554, 1.123565673828125, 1.600700855255127, 2.302013397216797, -0.7592822909355164, 0.9534386992454529, -0.2222106009721756, -0.22784662246704102, -1.3742988109588623, 1.3682116270065308, 2.1946544647216797, -0.35243818163871765}; diff --git a/software/apps/spatz_apps/sp-axpy/main.c b/software/apps/spatz_apps/sp-axpy/main.c index f94d8ccb4..982ae83e1 100644 --- a/software/apps/spatz_apps/sp-axpy/main.c +++ b/software/apps/spatz_apps/sp-axpy/main.c @@ -29,14 +29,13 @@ #endif // dump(result, 1); -const unsigned int csize = 64; -const unsigned int core_count = 64; +const unsigned int csize = 128; +const unsigned int core_count = 128; const unsigned int esize = csize*core_count; float x[esize]; float y[esize]; float r[esize]; -float alpha; // 32-bit AXPY: y = a * x + y void faxpy_v32b(const float a, const float *x, const float *y, @@ -106,9 +105,7 @@ int main() { mempool_barrier(num_cores); float *x_, *y_; - alpha = axpy_alpha_dram; - unsigned int remaining_elem; - + float alpha = axpy_alpha_dram; if (cid < core_count) { init_matrix(x, axpy_X_dram, csize, cid); @@ -122,17 +119,21 @@ int main() { printf("start calc\n"); mempool_barrier(num_cores); + mempool_start_benchmark(); + timer_start = mempool_get_timer(); if (cid < core_count) { - timer_start = mempool_get_timer(); faxpy_v32b(alpha, x_, y_, csize); } // Wait for all cores to finish matmul mempool_barrier(num_cores); - // End timer and check if new best runtime timer_end = mempool_get_timer(); + mempool_stop_benchmark(); + + + // End timer and check if new best runtime if (cid == 0) { unsigned int timer_temp = timer_end - timer_start; if (timer_temp < timer) { @@ -142,7 +143,7 @@ int main() { // Check and display results if (cid == 0) { - unsigned int performance = 1000 * 2 * 16 / timer; + unsigned int performance = 1000 * 2 * esize / timer; unsigned int utilization = performance / (2 * core_count * N_FPU); printf("\n----- (%u) axpy -----\n", esize); diff --git a/software/apps/spatz_apps/sp-axpy/script/axpy.json b/software/apps/spatz_apps/sp-axpy/script/axpy.json index fd83adc33..405ddf1b8 100644 --- a/software/apps/spatz_apps/sp-axpy/script/axpy.json +++ b/software/apps/spatz_apps/sp-axpy/script/axpy.json @@ -6,6 +6,6 @@ { kernel: "AXPY" - M: 4096, + M: 16384, prec: 32 } diff --git a/software/apps/spatz_apps/sp-fmatmul/data/data_64_128_64.h b/software/apps/spatz_apps/sp-fmatmul/data/data_64_128_64.h deleted file mode 100644 index 965cd0b55..000000000 --- a/software/apps/spatz_apps/sp-fmatmul/data/data_64_128_64.h +++ /dev/null @@ -1,35 +0,0 @@ -// Copyright 2023 ETH Zurich and University of Bologna. -// Licensed under the Apache License, Version 2.0, see LICENSE for details. -// SPDX-License-Identifier: Apache-2.0 - -// This file was generated automatically. - -#include "layer.h" - -const gemm_layer gemm_l = { - .M = 64, - .N = 128, - .K = 64, - .TA = 0, - .TB = 0, - .ALPHA = 0, - .dtype = FP32, - .expand = 0 -}; - - -float a[64*64] __attribute__((section(".l1"))); -float b[64*128] __attribute__((section(".l1"))); -float c[64*128] __attribute__((section(".l1"))); -static float gemm_A_dram [64*64] __attribute__((section(".data"))) = {1.9269152879714966, 1.4872840642929077, 0.9007171988487244, -2.1055209636688232, 0.6784184575080872, -1.2345448732376099, -0.04306747764348984, -1.6046669483184814, -0.7521352767944336, 1.6487230062484741, -0.3924786448478699, -1.4036071300506592, -0.7278813123703003, -0.5594301819801331, -0.7688388824462891, 0.7624453902244568, 1.6423169374465942, -0.1595974713563919, -0.4973975419998169, 0.439589262008667, -0.7581311464309692, 1.078317642211914, 0.8008005619049072, 1.680620551109314, 1.27912437915802, 1.2964228391647339, 0.610466480255127, 1.334737777709961, -0.2316243201494217, 0.041759490966796875, -0.2515752911567688, 0.859858512878418, -1.3846737146377563, -0.8712361454963684, -0.223365917801857, 1.7173614501953125, 0.3188803195953369, -0.42451897263526917, 0.3057209253311157, -0.7745925188064575, -1.5575724840164185, 0.9956361055374146, -0.8797858357429504, -0.6011420488357544, -1.2741512060165405, 2.1227850914001465, -1.234653115272522, -0.4879138767719269, -0.9138230085372925, -0.6581372618675232, 0.07802387326955795, 0.5258087515830994, -0.48799172043800354, 1.1913690567016602, -0.8140076398849487, -0.7359927892684937, -1.4032478332519531, 0.03600366786122322, -0.06347727030515671, 0.6756148934364319, -0.0978068932890892, 1.8445940017700195, -1.184537410736084, 1.3835493326187134, 1.4451338052749634, 0.8564125299453735, 2.218075752258301, 0.5231655240058899, 0.34664666652679443, -0.19733144342899323, -1.0545889139175415, 1.2779955863952637, -0.1721901297569275, 0.5237884521484375, 0.056621819734573364, 0.4262961447238922, 0.575005054473877, -0.6417241096496582, -2.2063984870910645, -0.7508030533790588, 0.01086814422160387, -0.33874234557151794, -1.3406795263290405, -0.5853705406188965, 0.5361881256103516, 0.5246226191520691, 1.1412016153335571, 0.05164359509944916, 0.7439519762992859, -0.4815843999385834, -1.0494661331176758, 0.603898823261261, -1.7222950458526611, -0.827768862247467, 1.334702968597412, 0.48353928327560425, -2.5095443725585938, 0.4880010485649109, 0.7845868468284607, 0.02864718623459339, 0.640755295753479, 0.5832474231719971, 1.0669267177581787, -0.4501533806324005, -0.18526747822761536, 0.7527588605880737, 0.4047577977180481, 0.17846599221229553, 0.2649095058441162, 1.2731683254241943, -0.0013108636485412717, -0.30360376834869385, -1.457029104232788, -0.10233523696660995, -0.5991530418395996, 0.4770564138889313, 0.7261772155761719, 0.09115186333656311, -0.3890652060508728, 0.5279164910316467, -0.012685478664934635, 0.24083632230758667, 0.13253536820411682, 0.7642406225204468, 1.095009684562683, 0.3398909568786621, 0.7199674844741821, 0.41140761971473694, 1.931160569190979, 1.0118638277053833, -1.4364064931869507, -1.1298598051071167, -0.1360345333814621, 1.6354095935821533, 0.6547407507896423, 0.5760045647621155, 1.1415079832077026, 0.018564576283097267, -1.8058050870895386, 0.9254348874092102, -0.3753443658351898, 1.0330873727798462, -0.6866509318351746, 0.6368136405944824, -0.9726738929748535, 0.9584577679634094, 1.6192004680633545, 1.450609803199768, 0.2694815397262573, -0.21037597954273224, -0.7328027486801147, 0.10429783165454865, 0.3487516939640045, 0.9675941467285156, -0.46568843722343445, 1.6047972440719604, -2.4801201820373535, -0.4175437390804291, -1.1954537630081177, 0.8123369216918945, -1.9005532264709473, 0.22857652604579926, 0.02485940419137478, -0.34595024585723877, 0.2868328094482422, -0.7308424115180969, 0.17482025921344757, -1.0939292907714844, -1.6021603345870972, 1.3528969287872314, 1.288827657699585, 0.05229547247290611, -1.5468504428863525, 0.7567060589790344, 0.7755194902420044, 2.0265355110168457, 0.03581761196255684, 0.12058872729539871, -0.8056637048721313, -0.20757682621479034, -0.9319478273391724, -1.5909662246704102, -1.13597571849823, -0.52259761095047, -0.5187733173370361, -1.5012763738632202, -1.9266542196273804, 0.1278512328863144, 1.0229133367538452, -0.5557951331138611, 0.7042727470397949, 0.7098760008811951, 1.7743884325027466, -0.921550989151001, 0.9624499082565308, -0.33701515197753906, -1.1753336191177368, 0.35805708169937134, 0.47876790165901184, 1.353700041770935, 0.5260620713233948, 2.1120378971099854, -0.5207571387290955, -0.9320061206817627, 0.18516133725643158, 1.0686918497085571, 1.3065344095230103, 0.4598345160484314, -0.8146268725395203, -1.0212392807006836, -0.49492356181144714, -0.5922516584396362, 0.15431594848632812, 0.4407670795917511, -0.14829230308532715, -2.3184432983398438, -0.39799532294273376, 1.0804862976074219, -1.7808643579483032, 1.5080454349517822, 0.30942854285240173, -0.5003090500831604, 1.0350031852722168, 1.6896470785140991, -0.004505051765590906, 1.666792392730713, 0.15392017364501953, -1.0602530241012573, -0.572657585144043, 0.0835680365562439, 0.39990535378456116, 1.989207148551941, -0.07198750972747803, -0.906094491481781, -2.0487122535705566, -1.0810555219650269, 0.01762307994067669, 0.0782259851694107, 0.19315829873085022, 0.40967342257499695, -0.9291303157806396, 0.2761908769607544, -0.5388752818107605, 0.4625823199748993, -0.8718891143798828, -0.027118360623717308, -0.3532457649707794, 1.4638569355010986, 1.255434274673462, -0.7149558067321777, 0.8539193272590637, 0.512991189956665, 0.5397310256958008, 0.5655050277709961, 0.5057917237281799, 0.22245365381240845, -0.685481607913971, 0.5635589957237244, -1.507175087928772, -1.610666036605835, -1.4790465831756592, 0.4322742819786072, -0.1250254064798355, 0.7821183800697327, -1.598767638206482, -0.10912995040416718, 0.7151994705200195, 0.03913922235369682, 1.305860161781311, 0.24659274518489838, -1.9775909185409546, 0.01789604313671589, -1.3793021440505981, 0.625802755355835, -2.5849502086639404, -0.02399955503642559, -0.1221928745508194, -0.7469954490661621, 1.7093087434768677, 0.05792269483208656, 1.1929805278778076, 1.9372931718826294, 0.7287133932113647, 0.9808937907218933, 0.41459226608276367, 1.15656316280365, 0.2690545618534088, -0.036629438400268555, 0.9732939004898071, -1.0150787830352783, -0.5419175624847412, -0.44102486968040466, -0.3136177957057953, -0.12925422191619873, -0.7149624228477478, -0.047562163323163986, 2.0207436084747314, 0.25391900539398193, 0.9364385008811951, 0.7122363448143005, -0.031765542924404144, 0.10164086520671844, 1.3433040380477905, 0.7132695913314819, 0.4038029611110687, -0.7139783501625061, 0.8337291479110718, -0.9585452079772949, 0.45363426208496094, 1.2460919618606567, -2.3065085411071777, -1.2868918180465698, 0.17988650500774384, -2.126762628555298, -0.13408313691616058, -1.0407685041427612, -0.7647228837013245, -0.05528254434466362, 1.204850673675537, -0.982473611831665, 0.4334380030632019, -0.7171905636787415, 1.055369257926941, -1.4533969163894653, 0.46515071392059326, 0.37139150500297546, -0.004656785633414984, 0.07954943925142288, 0.3781784772872925, 0.7051141262054443, -1.7236974239349365, -0.8434810638427734, 0.4351435601711273, 0.26588720083236694, -0.5870985388755798, 0.0826888456940651, 0.8853808045387268, 0.1824439913034439, 0.7863810062408447, -0.057920295745134354, 0.5666652917861938, -0.7097623348236084, -0.4875054359436035, 0.050095997750759125, 0.6084084510803223, 1.6308681964874268, -0.08472306281328201, 1.0844124555587769, 0.9477656483650208, -0.676629364490509, -0.5730168223381042, -0.3303174376487732, -0.7939430475234985, 0.3752319812774658, 0.08790969103574753, -1.241483449935913, -0.32025346159935, -0.844377875328064, -0.5513465404510498, 1.9889612197875977, 1.900311827659607, 1.6950805187225342, 0.028089528903365135, -0.17536965012550354, -1.7734959125518799, -0.7046414017677307, -0.39465200901031494, 1.8868111371994019, -0.21844321489334106, 0.16629981994628906, 2.1441681385040283, 1.7045671939849854, 0.3459012508392334, 0.6424751281738281, -0.20395424962043762, 0.6853673458099365, -0.13968797028064728, -1.1807502508163452, -1.282929539680481, 0.448485791683197, -0.590737521648407, 0.8540631532669067, -0.4900680184364319, -0.35945725440979004, 0.6663737893104553, -0.07426456362009048, -0.20960482954978943, 0.16632132232189178, 1.4703037738800049, -0.9390866756439209, -0.6013189554214478, -0.09964022785425186, -0.9851518273353577, -2.488459348678589, -0.33131900429725647, 0.8435799479484558, 0.9874473810195923, -0.33197471499443054, -0.8076189756393433, 0.824364185333252, 0.024699924513697624, -1.0641486644744873, -0.7601934671401978, -0.4075061082839966, 0.9623646140098572, -0.14264194667339325, 0.15271379053592682, -0.0388023778796196, 0.9446058869361877, -1.5824053287506104, 0.9871290922164917, 1.1456739902496338, -0.14181147515773773, -0.2763414680957794, -0.19321373105049133, 0.7767809629440308, 0.6838752627372742, -1.3245893716812134, -0.5160817503929138, 0.6001842617988586, -0.4702208340167999, -0.6086435317993164, -0.046192023903131485, -1.6457397937774658, -0.4833274185657501, -0.740294337272644, 0.31428107619285583, 0.1415553092956543, 1.0348176956176758, -0.626437783241272, -0.5150922536849976, 0.6902899742126465, -0.4939993619918823, 1.1366126537322998, -0.46184006333351135, 1.419979453086853, 0.848518967628479, -0.047891248017549515, 0.6685602068901062, 1.0429801940917969, 0.6899017095565796, -1.3129348754882812, 0.03780364990234375, -1.1702114343643188, -0.10318559408187866, 1.1894739866256714, 0.7606944441795349, -0.7463049292564392, -1.3838845491409302, 0.4868715703487396, -1.0020296573638916, 0.0329488180577755, -0.42919591069221497, -0.9817978739738464, -0.6420586109161377, 0.8265887498855591, 1.591395616531372, -0.1208132952451706, -0.48302069306373596, 0.11329790204763412, 0.0771508663892746, -0.9228128790855408, -1.2619991302490234, 1.0860532522201538, 1.096641182899475, -0.6836934685707092, 0.06604336202144623, -0.0007737990817986429, 0.1620604395866394, 1.195958137512207, -1.3061535358428955, -1.4039719104766846, -1.0597201585769653, 0.3057299852371216, 0.4150581359863281, -0.7174144983291626, 2.833967924118042, 1.9534740447998047, 2.0486814975738525, -1.0880382061004639, 1.621694564819336, 0.8512656688690186, -0.40046969056129456, -0.6088271737098694, -0.508095383644104, -0.6184902191162109, -1.647040605545044, -1.0362098217010498, -0.4503057301044464, -0.0729660615324974, -0.5479549169540405, -1.1425532102584839, -0.44875210523605347, -0.03045438416302204, 0.3830311596393585, -0.04476971551775932, 1.179942011833191, -0.33142781257629395, 0.6495042443275452, 0.09495851397514343, -0.7525874376296997, -0.647229790687561, -1.2822614908218384, 1.96529221534729, -0.9638485312461853, -2.5667941570281982, 0.7096128463745117, 0.8198426961898804, 0.6214459538459778, 0.42318588495254517, -0.33889833092689514, 0.5179733633995056, -1.363769769668579, 0.1929578185081482, -0.6103342771530151, 0.16323445737361908, 1.51017165184021, 0.21230429410934448, -0.7252011299133301, -0.9527732729911804, 0.5216943025588989, -0.46386733651161194, 0.18237744271755219, -0.38666075468063354, -1.7906768321990967, 0.09329313784837723, -1.9152568578720093, -0.6421752572059631, 1.3438509702682495, -1.2922308444976807, 0.766244113445282, 0.64540034532547, 0.353316068649292, -2.6474881172180176, -1.4575366973876953, -0.9712379574775696, 0.25403109192848206, -0.1790592074394226, 1.1992844343185425, -0.4292171895503998, 1.010284185409546, 0.6110401153564453, 1.2208385467529297, -0.6076440215110779, -1.7376028299331665, -0.12535162270069122, -1.3658148050308228, 1.111746072769165, -0.6227966547012329, -0.7891808748245239, -0.167823925614357, 1.6433145999908447, 2.0070879459381104, -1.2531019449234009, 1.118869423866272, 1.7732776403427124, -2.071660280227661, -0.4125255346298218, -0.9769555926322937, -0.03363388776779175, 1.8594977855682373, 2.6221468448638916, 0.36905255913734436, 0.3802972435951233, 0.19898031651973724, -0.23609064519405365, 0.30340856313705444, -0.45007675886154175, 0.47390419244766235, 0.6503364443778992, 1.1662380695343018, 0.01693599671125412, 0.5325868129730225, -0.6035352349281311, -0.1742597371339798, 0.6092063784599304, -0.8032152652740479, -1.1209005117416382, 0.1956406533718109, -0.7815181016921997, -1.7898789644241333, -0.26157355308532715, -0.44025033712387085, 2.1848294734954834, -0.48009708523750305, -1.2871733903884888, 0.7388824224472046, 0.03389474004507065, -0.31229403614997864, -0.2541753351688385, -1.205536127090454, -0.9542103409767151, 0.061276569962501526, 0.08526104688644409, 0.7481252551078796, -0.16356196999549866, -0.9085567593574524, 0.3129958212375641, 0.8050477504730225, -1.1133604049682617, 0.4981626570224762, -1.1999552249908447, 0.12711313366889954, 0.4403660297393799, 0.6377718448638916, 0.15978877246379852, 1.7697970867156982, 0.6268176436424255, -1.8736529350280762, 2.3259060382843018, -0.9203909635543823, 0.6661149263381958, -0.44026491045951843, -2.3179564476013184, 1.294582724571228, 0.22267311811447144, -0.8483412265777588, 1.6489421129226685, 1.6005686521530151, -0.07858924567699432, 0.43104586005210876, 0.3683530390262604, 0.7637977004051208, 1.1792222261428833, -0.4137862026691437, 0.5184088349342346, -0.7015367746353149, -0.4323408901691437, 0.1414770483970642, 0.07110362499952316, 0.5633530616760254, -0.5786357522010803, -1.083811640739441, -0.3889259994029999, 0.8126105666160583, 1.4981187582015991, 0.043896086513996124, 1.4443233013153076, 0.23202891647815704, 0.5064983367919922, -1.2786966562271118, -0.03842746838927269, 1.9138009548187256, 0.3378446102142334, 0.12505611777305603, -0.7621514797210693, -1.190559983253479, 0.7756073474884033, 0.455719918012619, 0.2503303289413452, -1.3610970973968506, 1.8018341064453125, -0.07434194535017014, -0.15664155781269073, -0.8708454966545105, -0.6410972476005554, -0.414562851190567, -0.6902380585670471, -0.22995619475841522, -2.172283887863159, 0.08768323808908463, 1.0937845706939697, -0.1177205815911293, -0.29864323139190674, -0.9536206126213074, -0.09247277677059174, -1.01665461063385, -0.007675689645111561, -0.518220841884613, 0.83954256772995, 0.05852266773581505, -1.6682480573654175, 2.129624843597412, -1.5181471109390259, 0.1387282907962799, -1.1797568798065186, -0.5297411680221558, 0.9625157713890076, 0.2794382870197296, -0.5718191266059875, -2.7936289310455322, -0.7111545205116272, 0.5235219597816467, -1.71055006980896, 0.8384853601455688, -0.2698453664779663, 0.12306158244609833, 0.8757511377334595, 0.15132997930049896, 0.739393413066864, 0.27310314774513245, 2.7312309741973877, 0.43200522661209106, -0.30918216705322266, -0.09658124297857285, 1.541925072669983, -0.108744777739048, -0.4189043343067169, 1.4384385347366333, -0.7068426609039307, -1.2519514560699463, 3.0250484943389893, 1.3462589979171753, 0.8556069731712341, 0.3220294117927551, 0.44605663418769836, 1.5229592323303223, 1.2804899215698242, -0.11616043001413345, 1.3705363273620605, -0.4809381365776062, -0.9903622269630432, -1.3641812801361084, 0.008205652236938477, -0.40586018562316895, -0.7110859751701355, -0.3495793640613556, 0.3797488212585449, 0.9993040561676025, 1.2751853466033936, 0.9594927430152893, 0.10350999981164932, 0.8290349841117859, 2.0921294689178467, 0.7953095436096191, 0.2792847752571106, 0.1864478439092636, 0.3547132909297943, 0.09063850343227386, 1.7422553300857544, -1.2660012245178223, 0.38916081190109253, 0.34287506341934204, -1.4590637683868408, -1.4936561584472656, -0.22138521075248718, 0.22523505985736847, -0.07724537700414658, 0.9856945276260376, 1.2783364057540894, 0.28815189003944397, 0.869049608707428, -0.8097057938575745, -1.4298604726791382, 0.45901596546173096, 0.5309328436851501, -1.3614802360534668, 1.9562491178512573, 1.7684898376464844, -0.9857985377311707, -1.2370758056640625, -2.301875114440918, -0.0010087001137435436, -0.8494256734848022, -1.6593921184539795, 0.3062905967235565, 1.182044506072998, 0.32602694630622864, -0.3894469738006592, 2.8543806076049805, 0.8243650794029236, 0.7983470559120178, 1.8890222311019897, 0.5934628248214722, 0.0696544423699379, -1.6034338474273682, -0.42982181906700134, 0.5761587619781494, 0.34436315298080444, -3.1016058921813965, -1.4587225914001465, -1.4318257570266724, -0.6071268916130066, -0.25973787903785706, -0.7190185785293579, -0.38583096861839294, 0.5233525037765503, -0.8211768269538879, -0.47086891531944275, 0.6016423106193542, -0.28251126408576965, 0.7692679762840271, -0.7668924331665039, -0.9494866728782654, 0.01691739819943905, 0.08027740567922592, 0.7448412775993347, 1.345484972000122, 0.12682189047336578, -2.4520716667175293, 0.4159761369228363, 1.9025356769561768, -0.7346699833869934, 0.044657133519649506, -1.5211198329925537, 0.3478375971317291, 0.7401772737503052, 1.4161995649337769, 0.6833979487419128, -0.13825182616710663, 0.9212995171546936, 0.5282443761825562, -0.008228386752307415, -1.4493319988250732, -0.605182409286499, -0.17924511432647705, 0.19955870509147644, -1.2461947202682495, -0.41459938883781433, 1.4558700323104858, 0.3316534161567688, -1.00010085105896, -0.6919524669647217, -0.47199076414108276, -1.2894343137741089, 1.0762810707092285, -1.0667427778244019, -1.9893426895141602, 0.29731303453445435, 0.4344584047794342, 0.0033933203667402267, -1.0240145921707153, 0.22404761612415314, -0.7554785013198853, 1.3675810098648071, -0.3197358250617981, -0.9130924344062805, 1.919209361076355, -1.6514869928359985, 2.1477253437042236, -0.6604134440422058, 0.11352583765983582, -0.22056575119495392, 0.7118127346038818, 0.3415871560573578, 1.5885895490646362, -0.3488781750202179, -0.45791950821876526, -1.2322070598602295, -0.598077118396759, -0.28154700994491577, 0.05281926319003105, 0.42497751116752625, 0.4825834333896637, 0.48813387751579285, 1.0082393884658813, -0.595004141330719, 0.3926331400871277, 0.8229668736457825, -0.886031985282898, 1.4801039695739746, 0.8391514420509338, -0.20004984736442566, 0.9949536919593811, 0.7201864719390869, -0.13413065671920776, -1.4067999124526978, -2.3609628677368164, -0.2904934287071228, -0.13345853984355927, -0.15693345665931702, 1.138344645500183, -0.2505214214324951, 1.6704555749893188, -0.545271098613739, -2.15816330909729, -1.6607975959777832, -0.6637441515922546, 0.3657907545566559, -0.39920157194137573, 0.49674081802368164, -2.369169235229492, -0.5614708065986633, -0.5949130654335022, 1.2687278985977173, 1.2904434204101562, -1.1755682229995728, -0.0783226415514946, -0.9705761075019836, 1.4723693132400513, 1.4108561277389526, -1.3143675327301025, -1.31621515750885, -1.2524477243423462, -1.5844100713729858, -2.5446670055389404, 1.3719074726104736, -0.5379461050033569, 0.7378400564193726, -0.8505349159240723, 0.03610055148601532, 1.3406710624694824, 0.9199973940849304, -0.3787555396556854, -1.5597758293151855, -0.8009540438652039, -0.7111086845397949, -0.3866667151451111, 0.9578314423561096, -0.8225308656692505, -2.3908050060272217, 0.322247713804245, 1.875388741493225, 1.1042989492416382, -0.5223758816719055, -0.7401803731918335, 0.16235657036304474, -0.2369976043701172, 0.5099347233772278, 1.670624852180481, 1.5921050310134888, -0.41619211435317993, 1.861944556236267, -1.077892780303955, 0.8848565220832825, -0.8342104554176331, 1.0300744771957397, -0.8680985569953918, -0.5701602697372437, 0.32332202792167664, 1.1284750699996948, -1.2123126983642578, 2.602391004562378, -0.09572362899780273, -0.08114803582429886, 1.2586976289749146, 0.8691263794898987, -0.9609367251396179, 0.05182264745235443, -0.3284812867641449, -2.247206211090088, -0.4478967487812042, 0.4234687089920044, -0.3874586224555969, -0.22963792085647583, -0.40709349513053894, 0.8702965974807739, -1.0552809238433838, -1.3284013271331787, 0.7060741186141968, 0.35730111598968506, 0.5892837643623352, 0.9187757968902588, 0.6662830114364624, 0.24650610983371735, 0.1328691989183426, 0.12191462516784668, 0.47808775305747986, 0.2761341631412506, -0.5895728468894958, 0.569182813167572, -0.7911050319671631, -0.19896702468395233, -1.3615714311599731, -0.5193602442741394, 0.07648162543773651, 0.34005025029182434, 1.4557304382324219, -0.3461014926433563, -0.2633814215660095, -0.447700172662735, -0.7288169264793396, -0.16066236793994904, -0.32063713669776917, -0.6307737827301025, -0.788766622543335, 1.3061575889587402, -0.9275763630867004, -0.26273947954177856, 0.9314952492713928, -0.4593467116355896, -0.9419456720352173, -0.7089186310768127, 2.1860759258270264, -0.6493158936500549, 0.45214036107063293, 0.8520749807357788, -1.6946725845336914, 1.1805996894836426, -2.8929238319396973, -0.3875778615474701, -0.7124031782150269, -1.6171332597732544, -0.35899198055267334, 0.051366694271564484, 0.6950237154960632, 1.835181474685669, -1.9180361032485962, -1.3923954963684082, 0.540465772151947, 0.4350730776786804, -2.2717032432556152, -0.13386189937591553, -0.058557309210300446, 0.12574470043182373, -0.5525766611099243, 0.07448001205921173, -0.1492866724729538, -0.5522539615631104, -0.09342008084058762, -1.0284309387207031, 0.40444278717041016, 2.1425962448120117, -0.5153723955154419, 1.0827196836471558, 1.2498642206192017, 0.9821351766586304, 0.22690092027187347, 0.4927920699119568, -0.5128253102302551, 0.3006223440170288, 0.07734657824039459, 0.6477669477462769, -0.4324244260787964, 1.1740480661392212, 0.7011352777481079, 0.6674330234527588, -0.8035953640937805, -1.3776048421859741, -0.4410470724105835, 0.1417587399482727, 1.1084681749343872, 0.5544233322143555, 1.5817502737045288, -1.2247875928878784, 0.962885856628418, -1.5785412788391113, 0.6715953946113586, -0.060151856392621994, 0.06978437304496765, -1.6634936332702637, -0.7650561332702637, 1.2306435108184814, 0.4252126216888428, -0.016383398324251175, -0.10749480873346329, -1.3085604906082153, 0.659813642501831, -0.07032525539398193, 0.27448296546936035, -0.3450125455856323, -0.11961783468723297, 1.1861584186553955, -1.2203160524368286, 0.2909986078739166, -0.07964225858449936, 1.3200364112854004, -1.5196866989135742, -0.29335519671440125, 2.106604814529419, -0.10875027626752853, 0.608341634273529, 0.7894347310066223, 0.7824702858924866, -0.06465863436460495, -0.0002302070497535169, 0.6830949187278748, 0.1063748151063919, 0.3503226041793823, 0.12109924107789993, 0.2984321117401123, 1.3447729349136353, 1.4614392518997192, 1.0566132068634033, 0.8155362606048584, -0.8240620493888855, 0.8932762742042542, -0.386881560087204, -0.35717684030532837, -1.1568186283111572, -1.7659958600997925, -2.5379507541656494, 0.09694309532642365, -0.7912065982818604, 0.37119555473327637, 1.5117958784103394, -0.8914596438407898, 0.5247467160224915, 0.3517809212207794, 0.2491273283958435, 1.1900452375411987, 1.410936951637268, 0.7980097532272339, 0.49413225054740906, -0.18495284020900726, -1.0380902290344238, -0.10130416601896286, -0.9271824359893799, 0.23484112322330475, 0.08861476927995682, -0.3476867079734802, 0.8490674495697021, 0.2014705091714859, 0.3839779198169708, 1.2309634685516357, 1.2286686897277832, 0.7042104005813599, -0.05628490820527077, -1.4897207021713257, -1.5194628238677979, 0.32580918073654175, -1.458429217338562, 1.8989076614379883, -0.04056643322110176, -0.2933650016784668, 1.397810459136963, -0.9166569709777832, -0.7793720364570618, -0.41753849387168884, 1.1059718132019043, 0.2528532147407532, -0.10754015296697617, 0.7705280780792236, -1.1304327249526978, 0.996456503868103, -1.1809622049331665, 0.9626035690307617, -1.1049346923828125, -0.7909473180770874, -0.21609316766262054, 0.0019485306693241, -0.20979070663452148, 1.2010222673416138, 0.675596296787262, -1.8900177478790283, 0.19431965053081512, 1.6020095348358154, -1.0371782779693604, -0.7486876249313354, -0.3844030499458313, 0.14350247383117676, -0.0812682956457138, 1.1261653900146484, 0.04061844199895859, -0.06464217603206635, 3.445625066757202, -1.1129159927368164, -0.4341987073421478, -0.015211731195449829, 0.5427215099334717, 0.12508316338062286, -0.8761705756187439, 1.2222594022750854, 0.32681646943092346, -0.10487240552902222, 2.476804733276367, 0.5769069790840149, 0.14730526506900787, -1.3136197328567505, -0.6061143279075623, 0.6449755430221558, -0.24771355092525482, -1.407819390296936, -0.0801108106970787, 0.5194124579429626, 1.170888900756836, 2.1779797077178955, 1.7791979312896729, 0.25832492113113403, -2.4340736865997314, -0.3497500419616699, -1.338055968284607, -0.4389103353023529, -0.5850174427032471, 1.8071491718292236, -0.7326241135597229, 0.4093967378139496, -0.5840954780578613, 0.10613418370485306, -0.3067088723182678, 0.8642276525497437, -1.0658658742904663, -1.0129939317703247, -0.9939178228378296, 2.9082677364349365, 1.4483332633972168, -0.5614521503448486, -0.9464563131332397, -0.7419731020927429, 0.15562251210212708, -0.25843867659568787, -0.7501540780067444, 1.2354754209518433, 1.0141247510910034, 1.01323664188385, 0.6346396803855896, 0.8768793344497681, 0.8142848610877991, 0.19737061858177185, -0.6367602348327637, -0.8768263459205627, -1.5509816408157349, -0.7881835699081421, 0.5684375166893005, 0.7622402906417847, 0.5568539500236511, 1.2983627319335938, 1.7561308145523071, 0.21129246056079865, 1.4860185384750366, 0.5585124492645264, 0.3491472005844116, 0.8483667373657227, 2.0354838371276855, 0.3772087097167969, 0.48434850573539734, -0.030398759990930557, 1.0925219058990479, -0.5064011812210083, -0.8441710472106934, -0.22143854200839996, 2.274590015411377, -0.783242404460907, -0.26778313517570496, 1.5684525966644287, -0.283514142036438, -0.09603477269411087, 1.0644340515136719, 1.4888246059417725, 0.8825610876083374, -0.23840203881263733, 0.5468734502792358, -0.06058019772171974, -0.5304896831512451, -2.0363707542419434, 0.5246880650520325, -0.6970252990722656, -0.08793152123689651, -0.27431318163871765, 1.2922906875610352, -1.4458993673324585, -0.31466683745384216, 0.11260014772415161, -1.4679176807403564, -1.716816782951355, -0.5502451658248901, 0.535078763961792, -1.3392163515090942, 1.2357676029205322, -2.0370566844940186, 1.4171453714370728, 0.1686755269765854, -1.1421011686325073, 0.6069639921188354, -0.8331825137138367, -0.47921040654182434, 0.2998451292514801, 0.721377432346344, -0.6184468865394592, 0.545662522315979, -0.7691330313682556, 0.0793362557888031, -0.7584667801856995, 0.941990315914154, 0.43399056792259216, 1.1234275102615356, 0.5057575702667236, -1.137097716331482, -0.758182168006897, 0.04228341206908226, -0.6900910139083862, -0.5621538162231445, 0.825295627117157, 2.268347978591919, -1.7732727527618408, -0.9907275438308716, 0.634861409664154, 1.0238486528396606, 0.9574744701385498, 0.019129564985632896, -1.0700304508209229, -0.7518913149833679, 2.4400694370269775, -1.912861704826355, 0.31076598167419434, -1.4762635231018066, -0.47829392552375793, -0.11727923899888992, -0.630508303642273, -1.265464186668396, -0.294853538274765, -0.2798626720905304, 1.0837124586105347, 0.17298388481140137, 0.5123522281646729, -0.9818529486656189, 1.1258721351623535, 0.25538599491119385, -0.4588965177536011, -0.9283785820007324, -0.17175325751304626, -0.6866653561592102, -0.1326882690191269, 1.6295740604400635, -1.5456795692443848, -0.16959930956363678, 0.02781728096306324, 0.0910743772983551, 0.6718529462814331, 0.9851812124252319, -0.7609738707542419, -1.2726119756698608, -0.6267421841621399, 1.3712586164474487, 0.23598231375217438, -0.446566104888916, -1.1778020858764648, 1.4125137329101562, -0.02316661737859249, -0.011093219742178917, -0.9952824711799622, -0.29935362935066223, 0.7670295834541321, -0.937210738658905, -2.330476999282837, -0.7808834314346313, 0.8250064849853516, 1.2206652164459229, -0.06297583878040314, 1.1463638544082642, 1.2215378284454346, -0.31372663378715515, -0.7234253287315369, -0.3627345860004425, 0.4424906373023987, 0.19418247044086456, -0.4999869465827942, -0.5500510334968567, 0.023851748555898666, -1.5203826427459717, 0.5293999314308167, -0.39082857966423035, -1.9291036128997803, 0.03497670218348503, -0.4833625555038452, -1.2260730266571045, -0.33963847160339355, 0.007326157763600349, -0.052180398255586624, 1.167490005493164, 1.730208158493042, 2.056168794631958, -0.23472319543361664, -1.345624327659607, -0.5165784358978271, -0.6881742477416992, 0.4755038022994995, -1.4316335916519165, 0.1427735835313797, 0.6328914165496826, -1.048923373222351, -0.5224623084068298, -1.1338030099868774, -0.14128278195858002, -0.6456266641616821, 0.4101375937461853, 0.32671934366226196, -0.8344282507896423, -0.4921732246875763, 0.658042311668396, 0.5361921191215515, 1.2350000143051147, -0.21214154362678528, 1.387345790863037, -0.8248465657234192, 0.3544987440109253, -0.28073710203170776, -0.243259459733963, -0.29366371035575867, -0.6286743879318237, -0.04226749762892723, -0.27004849910736084, 1.4387904405593872, 0.03258634731173515, -0.5479734539985657, -0.49368005990982056, 2.8818862438201904, -1.1672022342681885, 1.9413354396820068, -1.163609266281128, -1.5966553688049316, 0.08320564776659012, -0.9222075343132019, -0.3711417317390442, -0.9714295268058777, 0.15282166004180908, 0.7250988483428955, -1.3895257711410522, 1.1874427795410156, 0.027558235451579094, 2.0010547637939453, -0.12460697442293167, -1.156516194343567, 0.9009959697723389, -0.18842656910419464, -1.2726235389709473, 0.5764585137367249, -0.35594069957733154, -0.5188538432121277, -0.3927396237850189, 1.7511601448059082, 0.19589348137378693, 1.7757917642593384, -0.18522614240646362, 1.0595130920410156, 1.2978315353393555, 0.3285580277442932, 0.14869070053100586, 0.2704370319843292, -1.1818499565124512, -1.0340323448181152, -0.4947497546672821, -0.8951197266578674, 0.5340191125869751, -0.88661128282547, 0.7963698506355286, 0.6588250994682312, -0.2966694235801697, 0.23320063948631287, -1.3473118543624878, -0.8231000304222107, -0.539470374584198, 0.09215065091848373, 0.8539144992828369, -1.0331605672836304, 0.5837976336479187, 1.0166347026824951, -0.794191300868988, 0.36742645502090454, 0.42930465936660767, 2.0288591384887695, -0.7683921456336975, -1.2262547016143799, -0.11334973573684692, 0.30849045515060425, -0.4410642385482788, -0.77969890832901, -0.756567120552063, 1.514818549156189, -1.5796416997909546, 0.6386357545852661, -0.4361383616924286, -1.0022987127304077, 0.3780289888381958, 0.04276036471128464, 0.5858650803565979, -1.3687634468078613, 1.1168509721755981, 0.27692535519599915, 1.0580254793167114, -0.05147926136851311, 0.17128385603427887, -0.21165163815021515, -0.1787608563899994, -0.8498311638832092, 1.166976809501648, -0.34847185015678406, 0.07418181002140045, 1.757521390914917, -0.6254484057426453, 0.20935998857021332, 1.505028486251831, -1.1346086263656616, -0.7111839056015015, 1.3401062488555908, 1.5108956098556519, -1.198473572731018, 0.37665531039237976, -0.251127690076828, -0.527733564376831, -0.47698524594306946, -0.5625298023223877, -1.0562596321105957, 0.24130821228027344, 0.18275369703769684, 0.6246524453163147, -0.7939775586128235, -0.674835205078125, -0.3876877427101135, 0.44965043663978577, 0.3726101517677307, -1.9104946851730347, 0.26085028052330017, 1.4177610874176025, 0.6738032102584839, 1.4665507078170776, -1.1077474355697632, -0.7443782091140747, 1.0188977718353271, -1.8317182064056396, 0.2806217670440674, 0.6909231543540955, 0.7183824777603149, -0.5719326138496399, -0.46663370728492737, 0.1017654687166214, 0.38033702969551086, -1.962886095046997, -0.7805798053741455, -0.1343953162431717, -0.3609391152858734, 0.104627326130867, -0.325872004032135, 0.3189202845096588, -0.10977188497781754, 0.0964970588684082, -1.4932167530059814, 0.5237964987754822, 0.7530690431594849, -0.2219216674566269, 0.5819101333618164, -1.9369032382965088, -1.5334482192993164, -0.17965702712535858, -0.6577823162078857, -1.2317392826080322, -1.2463988065719604, -1.4996418952941895, -0.5403968691825867, 1.2409895658493042, -1.6212294101715088, -0.9035959839820862, 1.3967915773391724, 0.9178156852722168, 0.5120382905006409, -0.8405776023864746, -1.0445209741592407, 0.5547724366188049, -0.9492565989494324, 1.0457415580749512, -1.1297553777694702, -2.800556182861328, 1.2796905040740967, 0.2199985533952713, 0.3249095380306244, 1.319007396697998, -0.8496796488761902, -0.6987038254737854, -0.20516234636306763, -0.7811664342880249, 0.6872723698616028, 0.7835897207260132, -1.1108732223510742, -3.106328010559082, -0.9897713661193848, -0.6022037863731384, -0.7153372168540955, -0.467404842376709, 0.551419734954834, 2.654942035675049, 1.0582451820373535, -0.1468161642551422, -0.8913255929946899, 0.1937909871339798, 1.9681813716888428, -0.7403607368469238, -0.8665743470191956, -0.30639445781707764, -0.5359372496604919, -0.35750812292099, -1.2398927211761475, -1.523536205291748, -0.8158796429634094, 1.1372771263122559, 0.21925963461399078, 0.4133651852607727, 0.0061524491757154465, -0.5672794580459595, -0.17038141191005707, -0.3027929663658142, -1.2868070602416992, -1.3662828207015991, -0.04625223949551582, -0.6149584650993347, 1.2366944551467896, -0.8143561482429504, 1.146209955215454, -1.178733229637146, -0.036672789603471756, 0.6718097925186157, 0.9242297410964966, 0.26971569657325745, 0.628537118434906, -0.7066188454627991, -0.8558416366577148, 0.9040606021881104, -0.5659265518188477, 0.3840969204902649, -0.7815778851509094, -0.15094131231307983, 0.4199317395687103, 1.4059346914291382, -0.8270853161811829, 1.560778021812439, -1.0952261686325073, 1.1855055093765259, 1.1880545616149902, 2.055619716644287, 0.6602651476860046, -1.1078379154205322, -0.2919580638408661, 0.45643651485443115, -0.3147018849849701, -0.41328999400138855, 0.3946205973625183, 1.1304746866226196, 0.8258382678031921, 0.9458276629447937, -0.15447334945201874, -1.6013476848602295, -0.059470854699611664, -0.9928666353225708, 1.1634254455566406, 1.609459400177002, -0.29416555166244507, 1.0819309949874878, 0.8866236805915833, -0.8611426949501038, -0.27264782786369324, 0.9804211258888245, -0.17533333599567413, -0.12276917695999146, 0.7414069175720215, 0.35395190119743347, -0.5345798134803772, 0.645367443561554, -2.9890658855438232, 0.18370741605758667, -0.4726978540420532, -0.958824634552002, -1.5123530626296997, 1.5067633390426636, -0.938051164150238, -0.638506293296814, 0.21946865320205688, -0.439242959022522, -0.1391070932149887, -0.018692156299948692, 1.6560721397399902, 1.0661178827285767, -0.18189188838005066, -1.2379671335220337, 0.514220118522644, -0.15104348957538605, 0.13773603737354279, 1.2250828742980957, -0.7642602920532227, 0.9183834791183472, 0.40576910972595215, 0.25104597210884094, 0.1281542032957077, -0.19802889227867126, -1.478035569190979, -0.5910253524780273, 0.8357481956481934, -0.22924941778182983, -1.2403943538665771, 0.24919238686561584, -1.1415528059005737, 0.7821402549743652, 0.010817415080964565, 0.3816293179988861, -1.652677059173584, -0.38139888644218445, 0.10698884725570679, -0.10149910300970078, 0.08301469683647156, 0.712009072303772, -0.9005926847457886, 0.8906894326210022, 0.47655177116394043, -0.8396266102790833, 0.33320167660713196, -1.2525506019592285, -0.5745509266853333, -1.9059150218963623, -0.9665390253067017, 0.367727130651474, -0.5785751342773438, 1.2373405694961548, 0.8713390231132507, -0.522757887840271, 1.2400078773498535, -0.905766487121582, 0.768028736114502, 1.62221360206604, 0.08158037811517715, 0.20281589031219482, 0.33024173974990845, -0.9533721804618835, 1.5734566450119019, 1.8697383403778076, -1.0638948678970337, -0.2272576242685318, 0.25006136298179626, 1.161847710609436, -0.11422315984964371, -0.05629456788301468, 0.8497498631477356, -0.8599120378494263, -0.6105663776397705, 1.0629346370697021, 1.2221823930740356, 0.771891176700592, -1.2797164916992188, -1.5433486700057983, -0.6020243763923645, 0.3213997781276703, -0.060615699738264084, -1.170371651649475, -2.773613929748535, -0.02982438914477825, -0.91661536693573, 0.4702746272087097, 1.8777929544448853, 0.5223742127418518, 0.051756951957941055, 0.4260155260562897, 0.9475129246711731, 0.4364280700683594, -0.2053070068359375, -1.4739270210266113, 0.5066304802894592, 0.2779245674610138, 1.351500153541565, -0.8949641585350037, -1.596110463142395, 0.673722505569458, -0.9970720410346985, -0.34807199239730835, 0.2176828533411026, 1.1277714967727661, -1.5005147457122803, -0.2404831200838089, -0.4854878783226013, -0.06616523861885071, -0.9029294848442078, 0.6440190076828003, 0.7591805458068848, -2.0202691555023193, -0.6739510893821716, -0.9192121624946594, 1.212047815322876, -1.3463493585586548, -0.4831593930721283, 1.7186238765716553, -0.5684311389923096, -2.9151322841644287, 1.0834310054779053, 0.07731132954359055, 1.2316521406173706, 2.9194436073303223, 1.9377914667129517, -0.5536230206489563, -1.3029974699020386, 1.0696011781692505, -0.4561823606491089, 1.3634916543960571, -2.4219810962677, -0.08308011293411255, 0.1034913882613182, 0.11661393195390701, -0.02522038109600544, 0.3788713812828064, 0.24455690383911133, -0.8915789723396301, 1.4343817234039307, -1.9291707277297974, -0.5713837146759033, -0.6671661734580994, -0.09203547239303589, 0.9548746347427368, 0.18482893705368042, -0.11677189916372299, -0.22911065816879272, -0.3448553681373596, -1.0765177011489868, -0.5477657318115234, -0.3289257884025574, 0.05841278284788132, 2.1100034713745117, 0.7726138234138489, -0.34265488386154175, 1.2370060682296753, -0.2497664988040924, 0.22397132217884064, -0.6875526905059814, -0.489844411611557, 0.399687796831131, 0.6981958150863647, 0.05211031809449196, 0.2882064878940582, 0.05950453504920006, 1.7285562753677368, 0.2920781075954437, -0.6925867199897766, -0.8442767858505249, -0.32920387387275696, -0.1140289157629013, -0.8452204465866089, 0.3004419207572937, 1.6395184993743896, -1.0744175910949707, 0.32121846079826355, 0.2892301678657532, 0.35196706652641296, 2.0987792015075684, -0.5285679697990417, -1.7715193033218384, 0.0968942642211914, 0.36735019087791443, 0.47318676114082336, 0.5876799821853638, 0.183979332447052, -0.8425887823104858, -1.6971954107284546, 1.0871516466140747, 0.6801379919052124, 1.1616994142532349, -0.17657233774662018, 0.5214431285858154, -2.3571479320526123, -0.8351162672042847, -2.262038469314575, -1.2966164350509644, 0.3269132375717163, 0.6064606308937073, -0.46068817377090454, -0.8800807595252991, -1.4766337871551514, 0.982934296131134, 0.034095875918865204, 1.1689343452453613, 0.9025653600692749, -1.7167327404022217, 0.04617787152528763, 0.09389957040548325, -1.35635244846344, -1.0603324174880981, 1.0654057264328003, 0.5447612404823303, 1.522364616394043, 0.02351505309343338, 0.28558799624443054, 0.020343216136097908, 0.9289091229438782, -0.9238923788070679, 1.1473842859268188, -0.7054344415664673, 1.1544770002365112, -1.7462857961654663, 0.7103408575057983, -0.10175959765911102, -0.9663392901420593, -1.4231536388397217, -0.7846477627754211, 0.6107109189033508, 0.2142704576253891, -0.17470814287662506, -1.7561272382736206, 1.4259073734283447, 0.5127183794975281, -0.4026731848716736, 1.9770677089691162, 0.0267170500010252, -0.25020739436149597, -0.09136287868022919, -0.5283262729644775, -0.46433013677597046, -0.15669254958629608, -1.5964131355285645, -1.5322294235229492, 0.8562206029891968, 0.4322175085544586, 0.24113479256629944, -0.05469474941492081, 0.04771281033754349, -0.8637551665306091, -1.141897201538086, -0.8292406797409058, 1.3149042129516602, 1.2470786571502686, -0.25818052887916565, -1.340453863143921, -0.5561836957931519, 1.173104166984558, 0.6859942674636841, 0.8626111745834351, -0.41023650765419006, -0.7588294148445129, 1.6981608867645264, 0.7436892986297607, -0.03276701644062996, 1.0600273609161377, 0.003909424878656864, -0.6951659917831421, -1.8829604387283325, 0.304572194814682, -0.7002271413803101, 1.7811017036437988, -0.2936820983886719, 0.5242968797683716, 1.0186315774917603, -0.15129554271697998, 1.1705763339996338, 1.6411151885986328, 0.428303986787796, -1.0703928470611572, -0.6159464716911316, -1.0194525718688965, 0.3848164975643158, 0.29434895515441895, -1.7715047597885132, 0.4349344074726105, 0.06312361359596252, -0.6894504427909851, -0.2829807698726654, 0.6600029468536377, -0.16193436086177826, 0.886084258556366, 0.5484238862991333, 0.45765289664268494, 0.9676891565322876, -0.6673583388328552, 1.6183183193206787, -0.26440465450286865, 1.354137897491455, -0.07092823088169098, -0.3697128891944885, -0.24597389996051788, 0.3293827772140503, 0.6973733305931091, 0.8342204093933105, -1.098692774772644, 0.5674765110015869, 0.8283826112747192, -3.832531690597534, 0.11584769189357758, 1.9915446043014526, 1.0238094329833984, 2.1327197551727295, 0.23347528278827667, 1.2004878520965576, -1.2668757438659668, -0.9444983005523682, -0.1793764978647232, 0.31204938888549805, 0.717379093170166, -0.2302778661251068, 0.7147674560546875, 1.443666696548462, 0.196146160364151, -0.8332573175430298, -0.952683687210083, -0.36366915702819824, -1.2479252815246582, -0.09261447191238403, 0.6533640027046204, 0.16369947791099548, 1.3456140756607056, -0.369537353515625, -0.47141557931900024, 0.4779217541217804, 0.8509474396705627, 0.36884430050849915, 1.0622302293777466, 1.763922929763794, -0.048379626125097275, 0.9127081632614136, -1.3906173706054688, -0.7096118927001953, 0.9307976365089417, -0.4243218004703522, -0.4821736812591553, 0.4773769974708557, -0.1789979487657547, 0.4937354028224945, 0.5612083077430725, -1.7986558675765991, -0.6078459620475769, -0.5876336097717285, -0.3451770842075348, -0.7287773489952087, -1.4957531690597534, 0.7350953221321106, -0.269319087266922, 0.45085909962654114, 0.04091703146696091, -0.06933283060789108, 0.2585761547088623, 0.4775455594062805, -1.4889180660247803, -1.2930028438568115, 0.8795658946037292, 0.07306429743766785, 0.9967362284660339, 1.0237910747528076, -1.2132517099380493, 0.9744538068771362, 0.45322731137275696, -0.9927570819854736, -0.2557562291622162, -0.9585699439048767, -0.5702749490737915, 0.19281719624996185, 0.3847457766532898, -0.8595814108848572, 1.2814747095108032, 0.1276102066040039, 0.6101059317588806, -1.2942535877227783, 0.6038413643836975, 1.117246150970459, 0.4163925051689148, 0.6747081875801086, 0.2249874323606491, -0.9556332230567932, -0.7779799103736877, 0.6935068964958191, -0.4358613193035126, -0.9824548959732056, -0.9062879085540771, 1.25956130027771, 0.34626761078834534, -2.0042972564697266, 0.005512263625860214, 0.46033239364624023, 1.179113507270813, -1.0105520486831665, -0.620258629322052, -1.4548780918121338, -0.5897568464279175, -0.6960206627845764, 0.5155009627342224, 0.723761260509491, -0.18846826255321503, -0.5821011662483215, -0.4351550042629242, -1.2878144979476929, 1.9290111064910889, -0.17678959667682648, 0.6398148536682129, 0.6912683248519897, 0.5198960900306702, -0.7546091079711914, -0.033411234617233276, -0.8276495337486267, -0.3524166941642761, -0.6002296209335327, -0.05797451362013817, 0.2974875271320343, 1.6327744722366333, -1.4954025745391846, -0.29969948530197144, -0.4144572615623474, -0.7832543253898621, -1.508280873298645, -1.3664311170578003, 0.9639950394630432, 0.04045804589986801, 0.24693161249160767, 0.11251302808523178, -0.534939169883728, 0.9171100854873657, 2.065865993499756, 1.0178154706954956, -1.9378867149353027, -0.5477144718170166, -0.18265697360038757, -1.2373387813568115, -0.16857317090034485, 0.688886284828186, 0.10380307585000992, -0.6988955140113831, -0.5013832449913025, -0.1714278757572174, -1.5410068035125732, -0.3302071988582611, -0.7394251227378845, -1.5103271007537842, 0.17837846279144287, -0.1793491244316101, -0.5583686828613281, -0.880412757396698, -0.8791263699531555, 1.5490630865097046, 0.015372429974377155, -0.027610722929239273, -0.4480331838130951, 1.8764188289642334, -0.8918796181678772, 0.43931636214256287, -1.030982494354248, -1.4263975620269775, 0.7195857763290405, 0.5959717631340027, -1.3461240530014038, -0.05369861051440239, -1.0822854042053223, 0.3181943893432617, -2.230900526046753, -1.0310343503952026, 0.20588766038417816, -0.8329556584358215, 0.960921049118042, -1.2231221199035645, 0.0782814472913742, -0.15562321245670319, 0.40913498401641846, 0.4989188015460968, -1.0028913021087646, 0.06786083430051804, -0.5345580577850342, -1.4051520824432373, 1.8911962509155273, 1.3595441579818726, -0.8487699627876282, -1.841177225112915, 1.3572540283203125, 1.1483440399169922, -0.8582409024238586, -0.47482022643089294, -0.9581076502799988, -0.3348093032836914, -1.7462626695632935, 0.2912493050098419, -0.4571112394332886, 0.9602430462837219, 0.4171837866306305, 1.6657739877700806, -1.8149548768997192, -0.48883718252182007, 0.3190588653087616, 0.8207234740257263, 0.26855215430259705, 0.5349103808403015, -1.9663856029510498, 0.3475550413131714, -0.3839770257472992, 0.9996393918991089, -0.411981463432312, 1.7920981645584106, -0.7672699093818665, -1.307977557182312, -1.3159326314926147, -0.1285407692193985, 1.3067461252212524, 0.1913401335477829, 1.3767470121383667, 0.6892044544219971, 0.43921586871147156, -0.04813985526561737, 1.1221709251403809, 2.794304609298706, -0.08464081585407257, -0.2434539496898651, -1.4118940830230713, -1.0503880977630615, 1.110618233680725, 0.21369199454784393, 0.5067698955535889, 0.2828640341758728, -1.1814165115356445, -0.9001671671867371, 2.1022186279296875, 0.13313262164592743, 0.022425031289458275, -0.2799490988254547, -0.6847841143608093, -0.7950332760810852, -1.7333787679672241, 1.1858826875686646, 0.4465799629688263, 1.1301037073135376, -0.3333589434623718, 0.8935588598251343, -1.4335700273513794, -0.8756160736083984, 0.009384111501276493, 0.6304294466972351, 0.8632985949516296, 1.1419644355773926, 0.3109254240989685, -0.10642467439174652, -0.7953895330429077, -1.1213213205337524, 0.8579769134521484, -1.6933249235153198, 0.28935855627059937, 0.12979160249233246, 0.802577018737793, 0.20192822813987732, 0.3846955895423889, 0.17066167294979095, 1.3613444566726685, -0.2574329078197479, 0.006673657335340977, -0.5435441136360168, -1.4135205745697021, 2.787760019302368, -1.013744592666626, 1.001903772354126, 0.8672234416007996, 0.2449631243944168, 1.3056882619857788, -1.0610337257385254, -0.040719106793403625, -1.9681334495544434, 0.646186888217926, 0.9474418759346008, -0.9643430113792419, -0.05544678866863251, 0.6487414836883545, -1.5244767665863037, -0.4629494845867157, -1.6245776414871216, 1.4023377895355225, 0.38867735862731934, 0.7254555821418762, -1.389511227607727, -0.8552696704864502, -1.5335310697555542, 0.1288852095603943, 0.2891088128089905, -0.7377328872680664, 0.8807763457298279, -0.7662639021873474, 1.4585676193237305, 1.7723872661590576, 0.32965973019599915, 0.6587340831756592, 0.6428387761116028, 0.40178006887435913, 0.6623095273971558, -0.8157885074615479, 1.7509973049163818, -0.07119281589984894, -0.3190559148788452, 0.9801297783851624, 0.11956664174795151, -0.06124546006321907, -0.9422666430473328, 1.4318883419036865, 0.13153165578842163, -0.18222470581531525, 0.3660371005535126, -0.6753576993942261, -0.32092228531837463, -1.2091906070709229, 0.07767737656831741, 0.17619068920612335, 0.578863799571991, 1.5511679649353027, 0.08444352447986603, -0.28734639286994934, -0.6621134877204895, -0.01396828331053257, -0.2777872681617737, -0.7856798768043518, 0.46062397956848145, 0.566218376159668, 1.1541569232940674, -2.3971786499023438, 0.38038408756256104, -1.0242971181869507, 0.7994512319564819, 0.8700053095817566, 0.07007400691509247, -0.338508278131485, -0.09547755867242813, 0.15840405225753784, -0.8398545384407043, 1.6116095781326294, -0.07980115711688995, 1.6326349973678589, -0.2204407900571823, -0.22251465916633606, 0.1314752995967865, 0.683012068271637, -0.1380276381969452, 1.4818451404571533, 1.6592570543289185, 0.40998774766921997, -0.0009331775945611298, -0.1083751767873764, 0.4794066250324249, -1.2922874689102173, 0.3474847674369812, -0.006388451438397169, -0.3764995038509369, 2.26790452003479, 0.9991294741630554, -0.29818224906921387, -0.7564722895622253, -0.20476730167865753, 1.405016303062439, -0.9434769749641418, 2.028413772583008, 0.3931215703487396, 0.4133126139640808, 0.4638987183570862, -0.02982708252966404, 1.2715272903442383, 1.0848652124404907, -1.0124340057373047, -0.14667245745658875, -0.49658793210983276, 0.36382463574409485, 0.3396982252597809, 1.273138165473938, -1.5371414422988892, 1.0402002334594727, -0.7641999125480652, -0.44291654229164124, -0.009090420790016651, 0.253021240234375, 0.8895691633224487, 2.015190601348877, 0.12288182973861694, 0.283156156539917, 0.5790372490882874, -0.19989891350269318, -2.4690840244293213, -1.6101100444793701, 1.7436981201171875, -0.38771313428878784, 0.7723278403282166, 0.22671592235565186, 0.8466978073120117, 0.8503185510635376, 1.8044092655181885, 1.4646685123443604, 0.2913444936275482, -1.1624996662139893, -0.4783642590045929, 1.3870203495025635, -0.05114508792757988, -0.6013848185539246, -1.144444465637207, -1.1233654022216797, -0.7945832014083862, -0.5694751739501953, 0.0549420528113842, -0.7646363377571106, -1.4184188842773438, -0.8973153829574585, -0.0699654296040535, 0.4944293797016144, 2.1871159076690674, 1.1617707014083862, 0.6346917748451233, -0.43252453207969666, -1.411285400390625, 1.8677223920822144, -0.9821573495864868, -0.958099365234375, 1.774613618850708, 1.5161906480789185, -1.0465848445892334, 1.3759163618087769, -0.23219886422157288, 0.8947616219520569, -0.11953603476285934, 0.7854304909706116, -0.5617773532867432, -0.4788385033607483, -0.7523331046104431, -0.47686854004859924, 0.7134581804275513, -1.9506268501281738, -0.6031076312065125, -0.7942026853561401, 0.023615580052137375, -0.7165514230728149, 0.9313072562217712, 0.11275313794612885, 0.2154434323310852, -1.2841796875, 2.2291040420532227, 0.8249357342720032, 0.4553866684436798, 0.5599141120910645, 0.21209175884723663, 1.1861251592636108, 0.2881997525691986, 1.6998308897018433, 2.432499408721924, 0.2585121691226959, 1.3330131769180298, 0.12649448215961456, -0.15770527720451355, 0.5783246755599976, 1.2233283519744873, 0.791765034198761, 0.5074418187141418, 0.4391697347164154, -0.8357943892478943, -0.6900646686553955, 0.4646506905555725, 0.9826740026473999, 0.41359904408454895, -0.5239112377166748, 0.13422854244709015, -1.1245696544647217, 1.3094075918197632, -0.07285541296005249, 1.1227099895477295, -0.24534782767295837, 0.2722645699977875, -0.428587943315506, 0.8239985108375549, -1.3989880084991455, -0.6815629601478577, 0.8538435697555542, 1.5678290128707886, -0.5997704267501831, 0.23984402418136597, 2.1043002605438232, -0.7700114250183105, -1.5130436420440674, -0.403571754693985, -0.442477822303772, -1.2654074430465698, 1.4840714931488037, -0.2043316662311554, -1.1885942220687866, 0.14065788686275482, 0.64668208360672, -0.23070071637630463, 0.3957928717136383, -0.13584411144256592, 0.2491820603609085, -0.3809734880924225, -1.632828712463379, -0.32575365900993347, 2.110002040863037, -0.5582384467124939, 0.3878994286060333, -2.2768142223358154, 0.37306782603263855, 0.2061154842376709, -1.0159707069396973, -0.599851667881012, 0.17797666788101196, -1.143309235572815, 1.3394620418548584, -0.996752142906189, -0.6021904945373535, 0.8778835535049438, 0.7318398952484131, 0.27381452918052673, 1.7188366651535034, 1.3450311422348022, -1.2653104066848755, 0.45117413997650146, 0.6597211360931396, -0.6524525284767151, -0.8879274725914001, -1.0803741216659546, 1.424518346786499, -1.1213253736495972, 0.3918372392654419, -0.49036842584609985, -0.2890629470348358, -0.20463405549526215, -0.1949448138475418, 1.668276309967041, 0.674127995967865, 1.1708104610443115, 0.02161409705877304, -0.9156265258789062, 0.21846671402454376, 1.2556324005126953, -0.8077448010444641, 2.0619850158691406, 0.3048802316188812, -1.4610782861709595, -0.20266015827655792, 0.03146770969033241, 0.2910449504852295, 0.4191838204860687, -0.8154667019844055, -0.6950612664222717, 0.8987486362457275, -0.763476550579071, -0.19663105905056, -0.3633078634738922, -1.5530608892440796, -0.8605437278747559, -0.400406152009964, 1.6809849739074707, -0.11213088780641556, -0.5451535582542419, 0.5253366231918335, 0.6945744156837463, 0.730522096157074, 1.6037938594818115, 0.7152358293533325, 1.9036880731582642, -1.1197839975357056, -2.211786985397339, -0.5754631757736206, 0.4319401681423187, 0.8337973356246948, 0.3153077960014343, 1.3361929655075073, 0.5864706635475159, 0.21032211184501648, -0.06552600860595703, 0.6110420823097229, 0.6648997068405151, -0.2499617040157318, 0.5851041674613953, 1.2774115800857544, 0.8801257610321045, 0.5200220942497253, -1.0255969762802124, 1.7627143859863281, -2.815762519836426, -0.28375279903411865, -0.6867133378982544, 1.02428138256073, 1.7308460474014282, -0.32468941807746887, 0.3143851161003113, -0.0669134333729744, -0.6546841263771057, 1.0335131883621216, -2.1418421268463135, 0.7724379897117615, -0.6358500719070435, 0.2520127594470978, 0.9832903742790222, 0.27252840995788574, 0.7202309370040894, 0.8769089579582214, -1.6670103073120117, -2.2226719856262207, -1.0847980976104736, 0.612401008605957, -0.5785004496574402, -0.725740909576416, 1.8645329475402832, -0.8439115881919861, 1.395574688911438, -0.4016047418117523, -0.47602152824401855, 0.6024074554443359, -0.13895398378372192, -0.5199072957038879, -0.4297706186771393, -0.9330264925956726, -0.3255579173564911, 0.9303890466690063, -0.2840443551540375, 0.8463886380195618, 0.018565375357866287, -1.6755516529083252, -1.9437434673309326, 0.09865526109933853, -0.6744462847709656, -1.8892930746078491, -1.842443585395813, 0.13227719068527222, -0.792870283126831, 1.2297093868255615, 0.07773400843143463, 1.8036106824874878, -0.3388381898403168, -0.46696820855140686, -0.40187644958496094, -1.3109723329544067, 0.03079218976199627, -0.5921895503997803, -1.1771180629730225, 1.7409440279006958, -0.29608187079429626, -0.3473694622516632, -0.49671268463134766, -1.301006555557251, 1.3098556995391846, -0.2666304111480713, 0.19697873294353485, -0.6992143392562866, 1.1395643949508667, 0.1911749392747879, -0.009462441317737103, 0.35460788011550903, -0.42382940649986267, 1.0711755752563477, 2.7124791145324707, -0.19352838397026062, 1.7502615451812744, -0.11171314865350723, -0.8220173120498657, 0.7975156307220459, -0.7685240507125854, 1.5375657081604004, -1.7771120071411133, -1.0646121501922607, 1.050782322883606, 1.3841030597686768, -1.5027097463607788, -1.0865437984466553, 2.1495704650878906, -0.9262224435806274, -0.8617974519729614, -0.013285640627145767, 0.976121723651886, -0.07730520516633987, -2.168846368789673, 1.213675856590271, -1.8085858821868896, 0.19425715506076813, 0.6679531335830688, -1.1588698625564575, -0.7162472605705261, -1.0271012783050537, -1.4785282611846924, 0.045808374881744385, -0.10694064944982529, 0.35308536887168884, 0.3301672339439392, -0.5309102535247803, 0.03632983937859535, 2.4672696590423584, -0.16547387838363647, -0.3069077730178833, 1.4188532829284668, -0.4566229581832886, -1.5976078510284424, 0.7735506892204285, -0.6360014081001282, -0.2509534955024719, 0.7005379796028137, 1.4387873411178589, -1.0684497356414795, -0.16634242236614227, 0.517611563205719, -0.7325262427330017, 0.33585336804389954, -0.7603669166564941, 0.056602153927087784, -1.5038570165634155, -0.4485261142253876, 0.5257315635681152, 0.2619018256664276, 0.7167068123817444, -0.696540355682373, 0.8436497449874878, 1.9249420166015625, -0.3405316174030304, -0.43293496966362, 1.3083903789520264, 0.4293099343776703, 0.07122802734375, -1.4018466472625732, 0.5611289739608765, 1.151316523551941, 0.698857843875885, -0.5897563099861145, -0.16460900008678436, -0.49309614300727844, 0.50408536195755, 0.13771948218345642, 0.27507975697517395, 0.4682971239089966, -0.7029951810836792, -0.179605171084404, 0.8973854184150696, 0.051693860441446304, -0.5315346121788025, 0.40693262219429016, 0.4082213044166565, -0.4960733652114868, -0.9290968179702759, -0.1992855966091156, 0.468250036239624, 1.0863715410232544, -0.48916497826576233, -0.08609210699796677, 0.60735023021698, 0.227834552526474, -0.6186387538909912, 1.1308897733688354, -0.12078773975372314, 1.6046744585037231, 0.08605138957500458, 0.2812545895576477, 0.08700139075517654, -0.25706610083580017, 2.2180261611938477, 1.240154504776001, -0.6573424935340881, 1.848445177078247, -1.1966158151626587, -0.45390239357948303, 1.4244478940963745, 2.269195318222046, 1.3104835748672485, -0.3178943395614624, -0.3773656487464905, 2.260444164276123, -0.3309515118598938, -0.7194162011146545, 1.2199066877365112, 1.435631513595581, -0.31398269534111023, 0.8979019522666931, 0.6358874440193176, -0.8475749492645264, -0.09313177317380905, -0.39359593391418457, -0.02484050951898098, -0.3632628321647644, -0.6941221952438354, -0.9816297292709351, -0.05556102097034454, -1.0469098091125488, -0.16152557730674744, -0.5717736482620239, -1.5560880899429321, -0.9632699489593506, -0.4366423487663269, -0.008548072539269924, 0.04459971562027931, -0.3537367880344391, 0.15752233564853668, -1.1567072868347168, 1.8155642747879028, -2.0921216011047363, -0.651735246181488, 1.1426492929458618, -0.7538284063339233, -1.4663174152374268, 0.08023621141910553, -0.6316997408866882, -0.7410086393356323, 1.8063979148864746, 0.9378061294555664, -0.38452786207199097, 0.658501386642456, 0.7616772055625916, -0.6450856328010559, -3.6307897567749023, -2.186370372772217, 0.26440107822418213, -0.5598823428153992, 1.4536970853805542, -0.2962888479232788, -0.4702155888080597, -1.499106526374817, 2.2968475818634033, 1.649484395980835, 1.3179066181182861, 0.7556464672088623, 1.2471561431884766, 0.7881364822387695, 1.5493229627609253, -0.6088662147521973, -2.7026309967041016, -0.6108700037002563, 1.1897660493850708, -0.4802001118659973, 2.2536263465881348, 1.1717573404312134, 0.8793008327484131, -0.7796709537506104, -0.07809803634881973, -0.3723330795764923, 0.36377331614494324, 1.2563191652297974, -0.1220834031701088, 0.10120877623558044, 0.47121289372444153, 0.6840168237686157, 0.5099198222160339, -0.7801733613014221, 0.6629142165184021, 0.6556671857833862, 0.058465536683797836, 0.7882350087165833, -1.0857888460159302, 1.0519514083862305, -0.38931718468666077, 1.4754453897476196, -0.17086558043956757, -2.0883853435516357, 0.7963455319404602, 0.4962165355682373, 0.6029451489448547, -0.5226418375968933, 1.0360978841781616, 0.5318379998207092, -0.31479185819625854, 0.02101830020546913, -0.054547298699617386, -0.8116031885147095, -0.26106584072113037, -0.6925831437110901, 1.5522570610046387, -2.3087081909179688, -2.195838451385498, 0.32025381922721863, 0.772721529006958, -0.16666415333747864, -0.011849306523799896, -0.11284743994474411, -0.6838181614875793, -1.2513858079910278, -0.0759536400437355, 0.3789231479167938, 0.6201399564743042, -0.08987566083669662, 1.209662675857544, 0.8767158389091492, 1.8312735557556152, -0.6159215569496155, -0.6072822213172913, -2.05973744392395, 1.5289140939712524, 0.3378683924674988, 0.1915358453989029, 0.16352267563343048, 0.6710167527198792, -0.4096096158027649, -0.530225396156311, 0.2532861530780792, -0.19900000095367432, 0.6101416945457458, -1.4391361474990845, 1.6620672941207886, 0.35557520389556885, -1.8119957447052002, 0.46456536650657654, -0.5480050444602966, -1.0596239566802979, 0.17400647699832916, 0.3821605145931244, -0.19578158855438232, -0.15132363140583038, 0.625577986240387, -0.6219039559364319, -1.087321400642395, -1.3252078294754028, 0.37722504138946533, -0.05841507390141487, -1.4766151905059814, -0.9860185384750366, 1.4865750074386597, 0.14713206887245178, -1.366032361984253, -0.6708536148071289, 0.9521092176437378, 1.4749457836151123, -1.4756296873092651, -0.8660301566123962, 1.278135895729065, 0.35259687900543213, -0.07500791549682617, 0.40587425231933594, 0.5351168513298035, -0.06878392398357391, -0.6154842972755432, 0.2695809602737427, -0.031602293252944946, -1.2756946086883545, -0.6372569799423218, -0.761553168296814, -0.4670298099517822, -1.2028323411941528, -2.4587764739990234, -0.489888072013855, -1.5937029123306274, 0.9481480121612549, -0.4264816343784332, -1.4827039241790771, -0.45044565200805664, 0.8889638781547546, -1.1525547504425049, 0.0294801015406847, -0.5199353098869324, -0.16537998616695404, -0.27732527256011963, -0.24465647339820862, -1.988023042678833, -1.266395926475525, -0.3072216808795929, 0.8398252725601196, -0.4688802659511566, 0.22658583521842957, 0.34186819195747375, 0.5933657884597778, 1.917343020439148, -0.47865501046180725, -0.0578240342438221, -1.7239394187927246, -0.9909027814865112, 1.9551998376846313, -0.06532658636569977, 0.14630116522312164, 1.1357200145721436, -0.2688539922237396, -0.9126741886138916, 0.6866339445114136, 1.5644149780273438, 1.013214111328125, -1.1486494541168213, -0.7915613055229187, -0.3213580846786499, 0.5456286668777466, -1.2671267986297607, 0.5779818296432495, -0.02104124240577221, -0.13801060616970062, 0.09937097132205963, -0.16284485161304474, 0.18977606296539307, -1.2571945190429688, 0.25705486536026, -1.0625808238983154, -0.632580041885376, -0.6293240189552307, -1.676796793937683, 0.6724022030830383, 1.988852858543396, 0.8156912922859192, -1.4682725667953491, 1.6630321741104126, -1.454459547996521, -0.23146040737628937, 0.5549997091293335, 0.32450324296951294, 1.4936802387237549, 0.5853366255760193, 0.7599589824676514, -1.0136138200759888, -1.391968846321106, 0.8856539726257324, 0.9161636829376221, 0.4850791096687317, -1.0356383323669434, 0.1620996594429016, -0.34564009308815, 0.7718657851219177, 0.01673818565905094, 0.6803566813468933, -0.12983323633670807, 0.09731336683034897, 0.7956935167312622, -2.1607372760772705, -0.5694004893302917, -2.002260208129883, -1.2304245233535767, 0.8770406246185303, -2.0921499729156494, 1.5937228202819824, 2.563725471496582, -0.12678508460521698, 0.2314254492521286, 0.7924410104751587, -0.3076462149620056, 0.6760215759277344, 2.6805763244628906, -0.870776891708374, 0.036105670034885406, 1.0989755392074585, -0.2800213098526001, 0.5310931205749512, 0.5320438146591187, -1.5853021144866943, 2.4220407009124756, 0.47723037004470825, 0.5956750512123108, 0.2792662978172302, 0.2393292933702469, 0.4738202393054962, 0.031055578961968422, -0.1489359736442566, -0.3652037978172302, -1.8156386613845825, 1.1129406690597534, 1.1716068983078003, -1.7179490327835083, 1.0239890813827515, -1.036582350730896, -1.997800350189209, 1.5087897777557373, 0.19652637839317322, 1.0685486793518066, 0.4850883483886719, 0.005962289869785309, 1.0006709098815918, 0.7048721313476562, -0.6978404521942139, 0.4728609323501587, -0.6566532254219055, -0.8678151965141296, -0.10431576520204544, 0.9755558371543884, -0.8829219937324524, -0.7062578201293945, -1.2799997329711914, 0.13592901825904846, -0.28107115626335144, 1.7253460884094238, 0.12699493765830994, -0.8810292482376099, -0.6380594372749329, 0.5336771607398987, 0.1680675745010376, -1.0805774927139282, 0.8652670383453369, 0.9823195338249207, 0.7240417003631592, 0.13301636278629303, -0.6277503967285156, -0.14591790735721588, -0.42359691858291626, -1.4881683588027954, 0.8582064509391785, 3.035121202468872, -1.1487538814544678, 0.22709836065769196, 0.030582552775740623, 0.01513738464564085, 1.1773208379745483, -0.9649611115455627, -0.24674776196479797, -0.6797627210617065, -1.0098387002944946, -0.3882693350315094, -1.3795819282531738, 1.0700255632400513, -0.9035424590110779, 0.7684311866760254, 0.43918681144714355, -0.5032515525817871, 2.1168277263641357, 1.2190254926681519, -0.7853313088417053, 1.0900859832763672, -0.06645472347736359, 1.2572640180587769, 0.1582425981760025, -1.742975115776062, -1.2938947677612305, 1.3074569702148438, 0.7085686922073364, 0.294900506734848, -0.6937687397003174, -0.8013211488723755, -0.07756809890270233, -0.5014570951461792, -2.2269856929779053, -0.17264695465564728, -0.6625555157661438, -0.5495360493659973, 0.05868193879723549, 1.5382329225540161, 1.0444575548171997, -0.26301294565200806, 0.2191448211669922, 0.05122251436114311, 1.1272135972976685, 0.5445007681846619, -0.2185828685760498, 0.4121098220348358, -1.132474660873413, -2.3891191482543945, 0.7177993655204773, -1.5831094980239868, -0.9634820222854614, -1.054305911064148, -0.6109879612922668, 0.11032737791538239, 0.12355764210224152, -1.4388847351074219, -0.45935776829719543, 0.719353199005127, -0.09622633457183838, -0.6806969046592712, 0.7339244484901428, 0.0939386859536171, 1.0834808349609375, 0.8089823126792908, -0.9773237109184265, -0.26083904504776, 0.9019067287445068, 0.3177003860473633, 1.5053801536560059, -0.0004540873342193663, -0.8399935364723206, -0.9963456988334656, 1.9695827960968018, -0.6241140961647034, 0.7812330722808838, -1.473711609840393, 0.9128003120422363, -0.8139405250549316, -0.32805025577545166, -1.6033560037612915, 0.15657921135425568, 1.240025281906128, -1.338854193687439, -0.10444001853466034, 0.15694653987884521, -1.5131834745407104, 0.9912806153297424, 0.5573175549507141, -0.6779595613479614, 0.968483567237854, 0.8363486528396606, -2.076538562774658, 0.9263569712638855, 1.882336139678955, 0.02799459546804428, -0.36298084259033203, 0.45504313707351685, 0.7594925165176392, -0.9625334739685059, 0.9539335370063782, -1.4123497009277344, 0.812851071357727, 1.4345933198928833, 0.05774686485528946, -0.8951465487480164, -0.08590231090784073, -0.6046251654624939, -0.6875012516975403, 0.20559696853160858, -0.7192203998565674, -1.1452873945236206, 0.888896107673645, 0.24766799807548523, 0.9761032462120056, -1.0025994777679443, -0.8691359758377075, 1.034902811050415, 1.141363263130188, -0.6113547086715698, 0.5669911503791809, -0.15298272669315338, -0.291658490896225, -1.206941843032837, -0.1684180051088333, -1.0213230848312378, 0.45474377274513245, 0.05628223717212677, 0.1908469796180725, -0.002813637489452958, -0.6423792839050293, -0.2348310351371765, 0.1834784746170044, 0.8270988464355469, 0.6817458271980286, 0.40630635619163513, 1.706158995628357, 1.165942907333374, -0.24008060991764069, 0.22484587132930756, -2.3762154579162598, 0.4015538990497589, -2.294616460800171, 0.9543997049331665, -0.3883368968963623, 2.195984125137329, 0.8412518501281738, -1.4890133142471313, 0.5850116610527039, -0.6405912041664124, -1.9063634872436523, -0.21498170495033264, 0.1672649085521698, 0.08594394475221634, -0.3800842761993408, -1.3825470209121704, 0.5667335987091064, -2.2063262462615967, 0.28583550453186035, 2.49943208694458, 0.05457804724574089, -1.1838287115097046, 0.8204308748245239, 0.7991358637809753, 0.34314191341400146, -0.7108830809593201, 0.4065439999103546, 0.956223726272583, 0.30748531222343445, 0.31810882687568665, -1.8297702074050903, 1.8508250713348389, -1.2886475324630737, 1.2673413753509521, -0.9688137173652649, -0.4609397351741791, 0.8407386541366577, -0.19394119083881378, -0.14037710428237915, 0.07062987983226776, -0.06806328892707825, 1.2692794799804688, 2.2910208702087402, -0.07968119531869888, -2.142704963684082, 1.5941132307052612, 2.5384137630462646, -0.49620160460472107, 1.5591261386871338, -1.202392816543579, -0.6351379156112671, 0.20571336150169373, 1.2084790468215942, -1.2975432872772217, -1.7842353582382202, -1.738688588142395, 1.7332375049591064, 0.7335371971130371, 0.9938647150993347, 0.00801965780556202, -0.28345587849617004, -1.0710505247116089, -0.39534568786621094, 0.7241055965423584, 1.134980320930481, 0.1372641772031784, -0.28324073553085327, 0.8449776768684387, -1.6651710271835327, 0.9013379216194153, 0.29200538992881775, 0.9830695986747742, -1.6503483057022095, -0.8447388410568237, -1.7777600288391113, 1.6797508001327515, -0.30756810307502747, 0.17818263173103333, 0.3059806525707245, 0.6469754576683044, 1.3000932931900024, 0.6430982351303101, -1.3191593885421753, -0.5693896412849426, -1.8995989561080933, 0.2520817816257477, 0.7248584032058716, -0.010661646723747253, 0.32635602355003357, -0.39913156628608704, -0.545810341835022, -0.9492759704589844, -0.5826377272605896, 0.6084815859794617, 1.8586663007736206, -2.0493991374969482, 1.2204482555389404, 1.375124216079712, 0.4969656765460968, -0.5050092339515686, 1.3103235960006714, -0.08344011753797531, 0.21578916907310486, 0.11008060723543167, -0.4993351697921753, 1.4105430841445923, 0.9097362756729126, 0.8360456228256226, -0.45466870069503784, -0.1434730738401413, 0.10889503359794617, 0.6914839744567871, 0.43387478590011597, 0.16470061242580414, 0.9299246072769165, 0.43112990260124207, -2.24063777923584, 0.3479395806789398, -1.4978502988815308, 0.28999093174934387, -0.2832452356815338, -0.7486765384674072, -1.4253593683242798, -0.3249308466911316, 0.24911366403102875, -1.4054443836212158, 0.007234930992126465, -0.6603827476501465, -0.9291712641716003, 1.041069507598877, 1.7303272485733032, -0.8963518738746643, -1.7486891746520996, 0.23789522051811218, -1.805619716644287, -0.41451194882392883, -1.7112841606140137, 0.3645228147506714, -0.5803453326225281, -0.7104259729385376, -0.27301856875419617, 0.8717845678329468, 0.21581949293613434, 0.5219618082046509, 0.34126174449920654, 1.010899543762207, -0.04691770300269127, 0.2992815375328064, -0.4206298589706421, -1.0377240180969238, 0.6390634179115295, 0.023252639919519424, -1.0357731580734253, 0.6917201280593872, -0.6789452433586121, -0.9247362613677979, -0.31068262457847595, 0.19665859639644623, 0.6306189298629761, -1.7228929996490479, -0.5210925936698914, 0.060717396438121796, 0.42114102840423584, 1.0576668977737427, 1.3254046440124512, 1.1331552267074585, 0.42492198944091797, 0.7735913395881653, 0.1407848447561264, -0.2778305411338806, 0.015577469952404499, -0.9061261415481567, -0.6032977104187012, 0.052168138325214386, -0.5992977023124695, -3.028942346572876, 0.26209768652915955, 2.572078227996826, -0.4753718972206116, 0.3616485893726349, -0.5793269276618958, -0.7253870964050293, 1.380509853363037, -0.1533069908618927, -1.384834885597229, 0.45417457818984985, 0.3879549503326416, -0.6664285659790039, -0.5420844554901123, 2.9302103519439697, 0.03980718180537224, -0.6927292346954346, -0.62174391746521, 0.7456277012825012, -0.46407395601272583, 0.354059636592865, 0.5733898282051086, -1.9253568649291992, 1.3460670709609985, 1.213753581047058, -0.08656994998455048, -0.7561046481132507, -0.6087163090705872, 0.06523545831441879, 0.2073671668767929, -0.4843977093696594, -0.4931473731994629, -0.6058419346809387, -0.384304404258728, -1.5004045963287354, 1.424126148223877, 0.4264316260814667, 1.725341796875, -1.1767886877059937, -0.5571966767311096, 0.6258861422538757, -0.021849583834409714, -1.742424488067627, -0.7672881484031677, 1.4304224252700806, 0.39234694838523865, -1.3970006704330444, -2.0020906925201416, 0.23755493760108948, 2.4901089668273926, 0.31265851855278015, -0.3883015811443329, 0.8928799033164978, 0.7249560952186584, 0.4335167407989502, 0.9744104146957397, -0.0040825954638421535, 0.07298417389392853, -0.2591007351875305, 0.7960927486419678, 0.5791953802108765, -0.13450507819652557, -1.3556065559387207, -0.394126832485199, 1.3682804107666016, 1.2855982780456543, -1.3335460424423218, 0.9432480931282043, -1.1379897594451904, -1.1207023859024048, -0.6621626615524292, 1.877629041671753, -0.9047854542732239, -0.010661765933036804, -0.3060213625431061, -2.0311243534088135, 0.28344160318374634, 0.38604170083999634, -0.23575513064861298, -1.2252846956253052, -0.7928091883659363, 0.47012677788734436, 0.0962943509221077, 1.7161751985549927, -0.15964199602603912, -0.17000924050807953, -0.8211831450462341, 0.02373058721423149, 0.5297332406044006, -0.3778044879436493, 1.417391061782837, 0.4910713732242584, 0.9159647822380066, 0.1735081523656845, 0.1896892935037613, -0.15840917825698853, -1.969196081161499, -0.2445336878299713, -0.6776713728904724, 0.47822806239128113, -1.8905819654464722, 0.2990115284919739, 1.5097410678863525, -0.40140989422798157, 0.3616181015968323, 0.4444722533226013, 0.37175261974334717, 0.17289385199546814, -1.2141680717468262, 1.9441745281219482, 1.1369043588638306, -0.9767837524414062, 0.9717959761619568, 0.15757296979427338, -0.1293685883283615, 0.9459248185157776, 0.7486283779144287, 1.3489890098571777, 1.659290075302124, -0.6820825934410095, 0.8591998815536499, 1.068840742111206, 1.035352349281311, -1.0888819694519043, -0.9833644032478333, 0.18595486879348755, 1.5627477169036865, -1.3852146863937378, -0.4541301131248474, 0.6979333758354187, 0.546902596950531, 0.5524624586105347, 0.2402925342321396, -1.1295276880264282, -0.2912011444568634, -1.4456785917282104, 0.3279983699321747, -0.22356857359409332, 0.6338478326797485, 1.2712687253952026, 0.5257611274719238, -0.08859143406152725, 0.08357129991054535, -0.5507245063781738, -0.4532349705696106, 1.0591752529144287, -1.7508755922317505, 0.8312178254127502, -0.20036070048809052, -1.2242252826690674, -0.16658450663089752, -0.6370474696159363, -0.8235613107681274, -0.3667309880256653, 0.5760944485664368, -0.54014652967453, 2.1436846256256104, -0.8468038439750671, 1.0048247575759888, 0.09949837625026703, -0.9246644377708435, 0.6715037226676941, 0.4190317988395691, -0.22128069400787354, 0.5416959524154663, 0.2708130478858948, 0.6526481509208679, -0.22562873363494873, -0.2700897455215454, 1.132546067237854, 1.2827181816101074, 0.40247759222984314, 1.5573612451553345, 2.0378758907318115, -0.11328133195638657, -1.0065470933914185, -0.3798253536224365, -0.6784350275993347, -1.0525683164596558, 0.22322431206703186, 1.0225255489349365, 0.7757742404937744, 0.645967423915863, 0.7403110861778259, -0.7495615482330322, -1.1345824003219604, 0.4310223162174225, -0.22314855456352234, 0.04046724736690521, 0.3553427457809448, 1.9382938146591187, -1.254912257194519, 0.45961233973503113, 1.5001028776168823, 0.38991880416870117, 0.40374335646629333, -0.47906389832496643, -0.5763978362083435, -2.3239030838012695, -0.28545263409614563, -0.5037038922309875, 0.5824847221374512, -2.674952745437622, 0.185286283493042, -1.3125312328338623, -0.7755544781684875, -0.09462077915668488, -1.171595811843872, 0.5121238231658936, 0.3450745940208435, -1.171151041984558, 0.2559703290462494, 0.4515315592288971, -0.7774103879928589, -2.5792624950408936, 1.3327691555023193, 0.3100223243236542, 0.08071848005056381, 0.13722558319568634, 1.2756917476654053, 0.4262869358062744, 0.1224641352891922, 0.5574866533279419, 0.30463987588882446, -0.508442759513855, -0.3841925263404846, -0.1862286925315857, -0.16418692469596863, 0.5037822723388672, 1.202155351638794, 0.5476107001304626, -0.5097048878669739, 1.6419692039489746, -1.3696054220199585, -0.1333233267068863, 0.6123214364051819, -2.772749185562134, 0.4360438287258148, 0.12461294233798981, 1.5179888010025024, 0.685245931148529, 1.6848376989364624, -0.5546149015426636, -0.3906600773334503, 1.0325298309326172, 0.16486017405986786, -0.5915296077728271, -0.014602077193558216, -0.38007304072380066, -0.2619936764240265, -0.5226048827171326, -0.42274436354637146, 0.0772174745798111, 1.6049801111221313, 1.2685400247573853, 0.1908181607723236, 0.36240431666374207, -1.1095906496047974, 0.15686734020709991, 0.6184999346733093, 1.4835309982299805, -0.26363199949264526, 0.918861985206604, 0.15021729469299316, -1.9761329889297485, -0.6592251062393188, -0.6763451099395752, 0.07715956121683121, -0.3971971273422241, -0.3989383280277252, -1.8668535947799683, 1.0313161611557007, -0.3005983531475067, -0.04975222051143646, 1.6682186126708984, 0.7086811661720276, 0.987306535243988, -0.662408173084259, 1.1836506128311157, -1.63896906375885, 0.7781904339790344, -0.865123450756073, -0.41890090703964233, 0.9132878184318542, 0.2694464921951294, 0.020374706014990807, 0.20942160487174988, 0.9820282459259033, -1.044813632965088, -0.8124385476112366, 0.49416670203208923, 1.2590702772140503, 0.06191536784172058, -1.3849509954452515, -1.4937485456466675, 0.9061921834945679, -0.7898518443107605, -0.42242521047592163, 2.0086865425109863, -0.6715307831764221, -2.07552170753479, -0.09107904881238937, -0.5991875529289246, -0.25139299035072327, -1.1771284341812134, -0.762600839138031, 1.0222612619400024, 0.5856817960739136, 0.772061824798584, -1.9583953619003296, 1.6242163181304932, -1.9035120010375977, -1.8807623386383057, 1.4751683473587036, 1.6362823247909546, -0.9645407795906067, 1.1407610177993774, 0.41566601395606995, 2.026231527328491, -1.0126259326934814, 0.34856998920440674, 0.581849217414856, -0.39347609877586365, 0.4535541534423828, -1.1785883903503418, 0.789819061756134, 1.1420683860778809, 0.5569579005241394, 0.12128926813602448, 0.44558772444725037, -0.9770270586013794, -0.584597110748291, -1.5499167442321777, 0.3021530210971832, -0.34727850556373596, -0.20263050496578217, -0.44323164224624634, 1.2706385850906372, -0.6773730516433716, 0.6478610038757324, -0.9755827188491821, 1.8391462564468384, -0.0034865080378949642, 0.4103865623474121, -0.7196370363235474, 0.6451484560966492, -1.0182898044586182, -1.101527452468872, 0.9167301654815674, 1.1085376739501953, -2.0887160301208496, 2.1544744968414307, 0.353022038936615, 0.4127030372619629, -0.9246350526809692, -1.5019725561141968, 0.7051315307617188, 0.37148424983024597, -0.714340090751648, -0.15940190851688385, -0.32855224609375, 0.6405972242355347, -0.2341606765985489, 0.18855510652065277, 0.019730882719159126, -0.24155908823013306, -1.8511996269226074, 0.2684777081012726, -0.02216072380542755, 0.4223840832710266, -0.8595789074897766, 0.2909909188747406, 0.6529440879821777, 2.0979738235473633, -0.9242795705795288, -0.459785133600235, 0.10670913010835648, 0.1493469625711441, 2.1157736778259277, 0.26433172821998596, -1.1166253089904785, 1.1401103734970093, -1.1499669551849365, 0.722631573677063, -0.821986973285675, 1.3310784101486206, -0.30205461382865906, -1.0948821306228638, 0.5978415012359619, -0.4906677007675171, -0.1961705982685089, -1.6427204608917236, -0.06794015318155289, -2.2453958988189697, 1.5783367156982422, -0.5161778330802917, -0.19803878664970398, 0.8383589386940002, -0.35426023602485657, 1.3937491178512573, -0.3902406692504883, 0.950104296207428, -2.147958517074585, -0.06174112856388092, 0.2618931531906128, 0.4153856635093689, -0.5498691201210022, 0.25587576627731323, 0.8093208074569702, -0.5293470621109009, 0.448284387588501, -0.8013262152671814, 0.6317405700683594, 1.3970056772232056, -1.1161184310913086, 0.14304663240909576, 0.8511560559272766, 1.1925286054611206, 0.5439687371253967, -1.2077754735946655, 1.1914323568344116, 1.2834670543670654, -1.4507311582565308, -0.4242385923862457, 0.9272356629371643, 0.026323553174734116, 0.7056452035903931, 1.0615094900131226, -1.6115124225616455, 0.45299890637397766, 1.1412599086761475, 0.2379687875509262, -0.1269814372062683, 0.05342012271285057, 1.0922929048538208, -1.3024108409881592, 1.3521305322647095, -1.1749324798583984, 1.5664156675338745, -0.22833675146102905, 1.1232846975326538, -0.7424628138542175, 0.22881479561328888, -0.4537980556488037, 0.797391414642334, 1.4051463603973389, -0.8773688077926636, -0.34968942403793335, -2.4602458477020264, 1.2475563287734985, 2.149627923965454, -0.320241779088974, 0.5928131341934204, 0.371157705783844, -1.5105466842651367, -0.6389901041984558, 1.1322118043899536, 0.42556077241897583, -0.2843257188796997, 0.3677023947238922, 1.0783737897872925, 0.36416593194007874, 1.4054851531982422, 1.0930505990982056, -1.0369137525558472, 0.9791541695594788, 0.4557051658630371, 0.2679769694805145, -2.5518243312835693, 0.07132068276405334, 0.3581060767173767, 1.880447506904602, -0.7462528347969055, -1.4819915294647217, 1.421317458152771, -1.9939244985580444, 0.07572164386510849, -0.5763441920280457, -0.5786607265472412, -1.460897445678711, -2.0522587299346924, -1.131065011024475, 1.2584720849990845, -0.5713672637939453, 0.7841975092887878, 0.40953299403190613, 0.3059408664703369, -0.23000852763652802, 0.23767738044261932, -1.8021960258483887, 0.3473411798477173, -0.2978079319000244, 0.2347842901945114, -0.10979556292295456, -1.323111653327942, -1.7342220544815063, -0.26579511165618896, -1.407547950744629, -0.7460381984710693, 1.4306825399398804, 1.9143685102462769, -1.5510687828063965, -1.430296540260315, 0.2052573710680008, -0.15919449925422668, 0.0515340156853199, 0.6379362940788269, 1.0802478790283203, -1.2114757299423218, 0.6006536483764648, 0.07689109444618225, -0.8847613334655762, 0.37095823884010315, 1.4747735261917114, -1.751050591468811, -0.028895698487758636, -0.6638352870941162, -1.484498143196106, 0.0809166356921196, -0.5466802716255188, -1.0514512062072754, -0.6481807231903076, -1.3570187091827393, -1.3314623832702637, 0.5109858512878418, -1.461991786956787, 1.4522364139556885, 1.4651625156402588, -0.19362880289554596, -0.0728548914194107, 0.061060722917318344, -0.6163668632507324, 1.015307068824768, 0.595319926738739, 0.7652292251586914, 1.9977002143859863, 0.3914867341518402, 1.995280146598816, -0.6346070170402527, 1.0690128803253174, 1.160980463027954, -1.7790907621383667, 0.8086466789245605, -0.1060129776597023, -0.02700735814869404, 0.3341250419616699, -0.27466103434562683, -0.29712751507759094, -0.5143458247184753, 1.5861903429031372, 1.3935821056365967, -0.5473349094390869, 2.069274663925171, -0.17883431911468506, 0.809699535369873, -0.4801141619682312, -0.6375554203987122, 1.179660439491272, -1.642134666442871, 0.890319287776947, 0.22640825808048248, 0.7069153189659119, 0.660965621471405, 0.4534458816051483, -1.3935517072677612, 0.6880179643630981, 0.24254998564720154, 0.060564182698726654, -0.561027467250824, -0.4829641580581665, 0.4769587814807892, 0.17047974467277527, 0.845003604888916, 1.797692060470581, 1.4310468435287476, -0.984367311000824, 0.0116643775254488, 0.3026885390281677, -0.7567965984344482, 0.7330871224403381, -1.003272533416748, 0.2109839767217636, 1.4640601873397827, -1.0836117267608643, -0.672996997833252, -0.25188785791397095, -2.2979846000671387, 1.5407487154006958, 0.45442286133766174, 0.15854018926620483, -0.08649589866399765, 0.2634923756122589, 0.49349725246429443, 1.3175126314163208, 0.4539925456047058, -0.4823808968067169, 2.9806666374206543, -0.8092124462127686, -0.7733491659164429, -1.038170576095581, 1.1797000169754028, -0.6921202540397644, -1.0847539901733398, 0.7788016200065613, -0.6461572051048279, -1.4155789613723755, 0.8664202690124512, -0.37611088156700134, -0.7895724773406982, -0.5277276635169983, -1.1287552118301392, -0.08878864347934723, 0.10756650567054749, 2.2006518840789795, -2.0411956310272217, 0.3962896168231964, -0.6138983368873596, 0.6420789957046509, -3.0800278186798096, -1.3616151809692383, -0.2960043251514435, 1.1283513307571411, -0.7886322736740112, -0.038704462349414825, -0.760986864566803, 0.5444626212120056, 0.454408198595047, 0.9106372594833374, 0.9990993142127991, -0.27131080627441406, -0.9536606073379517, -0.6736571192741394, 0.30757027864456177, -0.12765848636627197, 0.036591242998838425, 0.5164321660995483, 0.917169451713562, -0.5229107737541199, -0.18800176680088043, -0.9932076334953308, -0.5293033719062805, -1.3104248046875, -1.4173346757888794, -1.6074398756027222, -0.1671929657459259, -0.8684839606285095, -0.4027494490146637, -1.5072098970413208, 0.549104630947113, -1.27206289768219, -0.8282976150512695, -0.3439099192619324, -0.7324386835098267, -0.5639234185218811, 0.04004557058215141, -0.4779359698295593, -0.43515950441360474, 0.5881410837173462, -1.1904879808425903, 0.3113662600517273, -0.5756134390830994, 0.3273322880268097, 0.6297365427017212, 1.879461407661438, -2.474013328552246, 1.3029942512512207, -0.5952850580215454, 0.35621726512908936, 0.03240058198571205, -0.11962825059890747, 1.088623046875, -0.7917583584785461, -2.2979352474212646, 0.24021567404270172, 0.3993513286113739, -1.2249038219451904, 0.03601418063044548, 1.1788570880889893, -1.7664213180541992, -1.0022584199905396, 1.5534266233444214, 0.17955924570560455, -1.1150798797607422, -0.9356100559234619, -0.41874298453330994, -0.8159404993057251, 0.016923261806368828, -1.1842435598373413, 0.4831203520298004, -0.19080407917499542, -1.4719254970550537, -0.341061532497406, 1.297232985496521, -2.00603985786438, 0.2823708951473236, -0.811122477054596, -1.5486440658569336, 0.048511698842048645, -0.7712311148643494, 0.6420630812644958, 0.6150916218757629, -0.20334042608737946, -1.6620466709136963, 0.8806517720222473, -1.6280213594436646, -0.5138301253318787, 0.5380285382270813, -1.227219581604004, -1.1786675453186035, -1.171597957611084, 1.6002179384231567, -0.3856680691242218, -0.32529518008232117, 1.1171956062316895, -1.328344464302063, -1.2492866516113281, -0.1001700609922409, 1.251044750213623, -0.10994749516248703, -1.4054735898971558, -0.6069482564926147, 0.9367974996566772, 0.2646864056587219, -1.5075656175613403, -0.42303135991096497, -0.4520135819911957, -0.6815900802612305, -0.9597489833831787, 0.43964120745658875, -0.1476615071296692, -0.36421963572502136, -0.47195300459861755, -0.7329845428466797, 1.434804081916809, 0.01803939789533615, -0.08468178659677505, 1.6485515832901, -0.18079474568367004, -1.8577489852905273, 0.9701720476150513, -1.586909532546997, 0.04892049357295036, 1.1327776908874512, -1.9527696371078491, 0.562792956829071, -0.2522568106651306, 1.4471967220306396, 0.978323757648468, -1.3194098472595215, -0.07127540558576584, 0.26853591203689575, -0.6990516185760498, 1.5869791507720947, 0.14213266968727112, 0.376058965921402, -0.7916259765625, 2.667762517929077, -0.14031292498111725, 0.9416194558143616, -0.011842876672744751}; - - -static float gemm_B_dram [64*128] __attribute__((section(".data"))) = {-0.5196930766105652, 1.8524175882339478, 1.8365377187728882, 2.074131727218628, -0.7373097538948059, -0.7686780691146851, -0.05119974538683891, 1.5985578298568726, 0.2122737318277359, 1.1059595346450806, 1.311963438987732, 0.424176424741745, -0.4922901690006256, 1.6562608480453491, 0.4111401438713074, -0.2428770512342453, 0.8634518384933472, -1.4491990804672241, 0.3142701983451843, -1.005286455154419, -1.3435431718826294, 1.2677130699157715, -1.2937111854553223, -0.7414584755897522, -0.3299030065536499, 0.3301123380661011, 0.9814369082450867, -1.4912174940109253, 0.5385298132896423, 1.3361884355545044, -0.5637743473052979, 0.663472592830658, 0.43149101734161377, -0.7728766202926636, -0.8030177354812622, 0.46446937322616577, -0.17089581489562988, 2.706796407699585, 0.6624157428741455, -0.654021143913269, 0.7278003692626953, 0.09257330745458603, -0.1797974407672882, 0.7003864645957947, -1.250577688217163, 0.9090378284454346, -0.15779435634613037, -0.43905171751976013, 0.7388755679130554, -0.46826601028442383, -1.59627366065979, -1.6671663522720337, 0.3388274610042572, 0.3750116229057312, -1.3291982412338257, 0.5636889338493347, 0.8051766753196716, 0.7449150681495667, -0.1739114224910736, 1.1078135967254639, 0.5147720575332642, 0.8934884667396545, -1.5113967657089233, -0.8514725565910339, 2.081841230392456, 1.0677173137664795, -1.4276772737503052, -0.33180344104766846, 1.7054011821746826, 0.6059234738349915, 1.1122153997421265, -0.5635794997215271, -1.364527702331543, 0.17917323112487793, 0.5652397871017456, 0.3271985352039337, 0.01357425469905138, 2.691838502883911, 1.2729166746139526, -0.6343013048171997, 0.5684458613395691, 0.5110347270965576, 0.9753285646438599, 1.9773973226547241, -1.2740811109542847, -0.7873809337615967, 2.1380560398101807, 0.3426303565502167, 0.9504527449607849, -1.5345426797866821, -0.17094235122203827, 0.9801839590072632, -0.05862395092844963, 2.073120594024658, -0.3976811170578003, -0.20158079266548157, -0.16366083920001984, -1.2389750480651855, -1.0557494163513184, 1.2461082935333252, -0.7245869040489197, -1.044531226158142, 0.5626670122146606, 0.17291614413261414, 1.9904685020446777, -1.181625247001648, 0.30392396450042725, 2.225896120071411, 0.30583375692367554, -0.6297805309295654, 0.7762312889099121, -0.5106104016304016, 0.3001462519168854, 0.17312221229076385, 0.08587908744812012, 0.10766935348510742, 1.0629868507385254, 1.841042399406433, -0.5686787366867065, -1.44584059715271, -0.2561878263950348, 1.1728384494781494, -0.428275465965271, -0.2985764443874359, 0.14890146255493164, 1.661197304725647, -2.622263193130493, -0.43193310499191284, -0.10098669677972794, -0.43878018856048584, -1.9775099754333496, -0.03591495007276535, -0.787344753742218, -0.11653880029916763, 1.899356484413147, 0.45238569378852844, -0.5850009918212891, 0.17411360144615173, -0.2031504362821579, -1.271364688873291, 0.8729038834571838, 0.14136412739753723, -1.4058030843734741, 0.4083256125450134, 1.4582887887954712, -0.5315611362457275, 0.8970864415168762, -1.1392240524291992, -1.309956669807434, -0.0961947962641716, -2.4108095169067383, -0.009612545371055603, -0.6612817049026489, 0.8028563857078552, -0.0704915001988411, -1.5173195600509644, 0.20034420490264893, -0.24687924981117249, 0.23627454042434692, 0.7618011236190796, -0.5062925219535828, 0.7492969632148743, 1.0681610107421875, -2.636631488800049, 0.6954406499862671, -0.32303762435913086, 0.5969774723052979, -0.6247759461402893, 1.647339940071106, -1.7078118324279785, 0.09625459462404251, -0.28645211458206177, 1.0167992115020752, -0.6579540371894836, -0.3999125063419342, -1.7973577976226807, -0.3783835768699646, 0.23239530622959137, -0.7321792840957642, -0.40373992919921875, 1.2062691450119019, -0.6938396096229553, -1.5164529085159302, 2.0242106914520264, -0.8075132966041565, 0.09412498027086258, 0.27298247814178467, 0.5441054701805115, -2.4758286476135254, 0.21060240268707275, 0.2536042630672455, -0.7318422794342041, -1.3799183368682861, -0.21034009754657745, 0.8919094204902649, 0.18319325149059296, 0.5042280554771423, -1.007485270500183, -1.3393090963363647, -0.4502287805080414, -0.16011619567871094, -1.608611822128296, 0.1806594282388687, 1.864193320274353, -1.201043963432312, -0.07190026342868805, 0.14386875927448273, -0.1494988203048706, -0.4506336748600006, 0.3065869212150574, 0.9810793399810791, -0.5398741960525513, -0.42057791352272034, 0.4069875180721283, -0.3191024363040924, 0.8826714158058167, 0.6646241545677185, -2.1909663677215576, 0.4844568967819214, 0.6883501410484314, 1.6444298028945923, -0.09725860506296158, -0.972576379776001, 0.9053502678871155, -0.9080927968025208, 1.1592087745666504, -1.467360258102417, 1.7191014289855957, -0.3673190176486969, 2.3575830459594727, -0.459722101688385, -0.058640673756599426, -1.2418878078460693, -0.023634955286979675, -2.0428922176361084, 0.19053655862808228, 0.5756992697715759, -0.5177616477012634, 0.9197074770927429, 0.9861821532249451, 0.05695872753858566, 0.15881912410259247, 0.6510986685752869, 0.020065616816282272, 0.8478670120239258, 2.0752015113830566, -0.38090255856513977, -0.9460003972053528, -0.4590383470058441, -0.6942368745803833, 0.30009862780570984, -1.389849305152893, 1.2990328073501587, -1.8040062189102173, 1.837660551071167, -0.7219073176383972, 0.6289454698562622, -0.0685209259390831, -1.2115036249160767, -0.5285655856132507, -1.5568547248840332, -1.2001843452453613, 0.2736855447292328, -0.6129935383796692, 0.9846591353416443, 1.6213051080703735, 0.5066256523132324, -0.126494362950325, 0.03450252488255501, 1.3147404193878174, -0.6877241134643555, -0.10271778702735901, 0.3767105042934418, 0.6639789342880249, 0.05910481512546539, 0.6989551782608032, -0.16035781800746918, -1.092200756072998, 0.02478332258760929, 0.4938628077507019, -0.5733305811882019, 0.6898083090782166, 0.6767069697380066, 0.5416850447654724, -0.17832504212856293, -0.7599223852157593, 0.4881221354007721, -1.4561625719070435, 0.9352383017539978, 0.30303436517715454, -0.5343928933143616, -0.2886335253715515, 0.9647671580314636, 1.7285969257354736, -0.8043005466461182, -0.28731220960617065, -1.2584627866744995, 0.08387812972068787, 0.9973886609077454, -0.7661278247833252, -0.3684079647064209, -0.16042770445346832, 0.731488823890686, -0.4989534318447113, -0.3056040108203888, 0.9780712127685547, 0.9052547812461853, -0.901805579662323, -0.2280527949333191, -0.948022723197937, -0.15365807712078094, 1.032126545906067, 0.17955327033996582, -0.2721782922744751, 0.15856090188026428, 1.1139295101165771, -0.5713488459587097, -0.9870969653129578, -1.6782877445220947, 1.8284801244735718, -1.401864767074585, -0.134507417678833, -0.5799044966697693, 1.0302584171295166, 0.16683164238929749, 0.13005995750427246, 0.3381371796131134, 1.025931715965271, -1.1549469232559204, 0.21058911085128784, 0.0752936601638794, 0.4396262466907501, 0.029413584619760513, 0.18214739859104156, 0.03471093624830246, -1.8955014944076538, 0.5495442748069763, 0.1979023516178131, -0.33105704188346863, -1.3217003345489502, -0.24350062012672424, -0.4956028163433075, 1.6506794691085815, 0.7990935444831848, 0.6958364844322205, -0.9322998523712158, 0.18523266911506653, 0.039212681353092194, -1.0234346389770508, 0.31960463523864746, -0.8433935046195984, -2.1094107627868652, 0.5183284878730774, 0.6223585605621338, 0.017574317753314972, 1.3758805990219116, -0.024098770692944527, -0.11441737413406372, -0.2840602993965149, -0.10638472437858582, 0.3422453701496124, -0.21973253786563873, -0.09440521895885468, -0.514839768409729, 0.5137941241264343, 0.3945278227329254, 0.5612776279449463, -0.006535662803798914, -0.4982566237449646, 0.47082117199897766, -0.591300904750824, -0.41513174772262573, 0.5321887731552124, 1.317676305770874, -0.7898051142692566, -0.5088178515434265, 0.23661386966705322, 1.2172428369522095, 0.2148703932762146, 1.2481820583343506, 2.4610743522644043, 0.14153295755386353, 1.837676763534546, -0.2276618480682373, 0.9624823927879333, 0.04533285275101662, -2.03769850730896, -1.0922635793685913, -0.17383840680122375, -1.065432071685791, 0.926749587059021, -0.16234397888183594, 0.36853712797164917, -0.2603956162929535, 0.12695534527301788, -1.7026076316833496, 0.6422180533409119, -0.6823133230209351, -1.6458345651626587, 0.15697401762008667, -0.36244451999664307, -0.12838764488697052, -0.30779823660850525, -1.713757038116455, 0.23439815640449524, -0.40255531668663025, -1.402301549911499, -0.8660640120506287, 0.8337363600730896, 0.1786288470029831, 1.002273440361023, -0.676571249961853, 0.02751591056585312, -1.0370279550552368, 1.4250038862228394, 0.3661484718322754, 0.8489260077476501, 0.4735972583293915, 0.5418957471847534, 0.0022529142443090677, -0.5435486435890198, 0.18075302243232727, -0.7696079015731812, -1.0346392393112183, 0.7314628958702087, 1.6726516485214233, 0.35546284914016724, 0.30347952246665955, 0.04806268960237503, 0.5032230019569397, -0.025565603747963905, -1.8534711599349976, 0.2129386067390442, 0.8061029314994812, 0.7021245956420898, -0.6485925316810608, -0.47295162081718445, 2.2190706729888916, -1.868390679359436, -1.3732751607894897, -1.013265609741211, 1.365964651107788, 1.1893959045410156, -2.7800850868225098, -1.0310310125350952, -0.702293336391449, 0.8099242448806763, 0.8948581218719482, -1.2265124320983887, 0.7861263155937195, -2.095715284347534, 0.4538240432739258, -0.4390734136104584, 0.4836112856864929, 0.9263717532157898, 0.06930986046791077, 1.4818024635314941, -0.9132123589515686, -1.606928825378418, -0.5966755747795105, 0.7912061810493469, 1.1138908863067627, 1.4326399564743042, 0.34999290108680725, 0.2926573157310486, 0.9981994032859802, 0.9345365762710571, 0.08568228036165237, -0.5905015468597412, -0.603374183177948, 0.6896741390228271, 0.7225849628448486, -0.4239010512828827, 1.3261003494262695, -1.067254662513733, 0.6243192553520203, -2.4506609439849854, 1.3008989095687866, -1.3570464849472046, 0.4011816382408142, 1.0129450559616089, -0.07218152284622192, 0.03116939589381218, -0.755984902381897, -0.7956190705299377, -0.6868169903755188, 1.9091075658798218, -0.4942598342895508, -0.06308790296316147, 0.9503589868545532, 0.6692175269126892, 0.12500713765621185, 0.5085462331771851, -1.0646655559539795, -0.4647725224494934, -0.9152674674987793, -0.40090087056159973, -0.08886078000068665, -0.9508647918701172, 0.28014296293258667, 0.06467004120349884, -2.057403087615967, 1.1129963397979736, -0.7580298185348511, 0.0977560505270958, 0.8891482949256897, 0.3460270166397095, -0.11394428461790085, 1.4566701650619507, -1.1309462785720825, 1.2330803871154785, 0.8789023160934448, 0.7664128541946411, 0.4324735403060913, -1.018404245376587, 0.012393372133374214, 0.6695152521133423, 1.2033629417419434, 0.8298293352127075, -0.9191604256629944, 0.958231508731842, 0.2724153697490692, 0.9915789365768433, 0.3825709819793701, -0.5029784440994263, 1.5228251218795776, -2.502131938934326, -0.6252055764198303, -0.4519657790660858, -0.5720081329345703, 1.7311065196990967, 0.40453165769577026, 0.5910705924034119, 0.5206778049468994, 0.26302585005760193, 1.462548851966858, 0.806793212890625, 2.1060609817504883, 0.1250244528055191, -1.0741941928863525, -0.04460187256336212, -0.7740861177444458, 1.4476147890090942, -1.8094489574432373, 0.7144214510917664, 1.472544550895691, -1.7723859548568726, 0.0483609139919281, 0.20343580842018127, -0.6890958547592163, -0.5412123203277588, 2.0465071201324463, -0.5884720087051392, -0.8352398872375488, 0.0502433180809021, 0.4375612735748291, 1.3833050727844238, -1.3894257545471191, 0.3897654116153717, -1.5383917093276978, -2.017490863800049, 0.856982946395874, 0.04652906581759453, -0.3498983681201935, -0.8770002722740173, -0.38342466950416565, -0.05906866118311882, 0.7605865001678467, -0.9748004078865051, 0.9013839960098267, -0.43347686529159546, -1.508413314819336, 2.2633509635925293, 0.88945072889328, 0.7355136275291443, -0.6224141120910645, -0.5682758688926697, -1.4246463775634766, 0.4983586370944977, -1.3945032358169556, -2.804393768310547, 0.4811320900917053, 0.3296896517276764, 1.4936070442199707, 1.691656231880188, 0.1595890074968338, 1.024785041809082, 0.12853768467903137, -0.46378275752067566, 1.3842717409133911, -0.6843701601028442, -0.3655944764614105, 0.40884074568748474, -0.13771961629390717, -0.48222219944000244, -0.9596375823020935, 0.88399738073349, -1.1032230854034424, 0.4613407552242279, -0.6266279220581055, -0.059072766453027725, 1.432356357574463, -0.3214779198169708, -0.32065340876579285, -1.381635308265686, -0.36659157276153564, -1.3767681121826172, -0.6575090289115906, 1.8197290897369385, -0.5204964280128479, 1.4799479246139526, 0.4248008131980896, -0.30355918407440186, 0.07046826928853989, -1.5127924680709839, 1.3581115007400513, 0.32402706146240234, -1.0680902004241943, 0.08959023654460907, 3.1311562061309814, -0.8544708490371704, -0.8357695937156677, 1.2985942363739014, -0.7110929489135742, 0.2808963656425476, 0.1529616415500641, 0.09397149085998535, 0.8745917677879333, -1.226547122001648, 1.3209213018417358, 1.0341976881027222, -0.49460795521736145, -3.3865373134613037, 2.0950191020965576, 0.2728019058704376, -0.030417079105973244, 0.08612233400344849, -1.7298319339752197, 0.08109258860349655, 1.2689827680587769, -0.34340038895606995, 0.9877837896347046, 1.3732529878616333, -0.32952919602394104, -0.540728747844696, 0.5175154209136963, -1.1346107721328735, 0.4711856544017792, 1.920310378074646, 0.4751400351524353, 0.5673654675483704, 0.2462792843580246, 2.888267755508423, -0.5556330680847168, 0.017246929928660393, -0.3958292305469513, -1.0047813653945923, 0.15763555467128754, -2.729581594467163, -0.12834908068180084, -0.4433963894844055, -1.7998695373535156, -0.4873202443122864, -0.360196590423584, -0.2588382959365845, 1.3558588027954102, -0.6274254322052002, 0.47283434867858887, -0.6854686141014099, 1.4168455600738525, 0.8578910827636719, 0.8829494118690491, -0.9653112292289734, -1.340206503868103, 0.03399703651666641, -0.4458200931549072, 0.151767760515213, 1.6231015920639038, -0.429679274559021, -0.16159531474113464, -1.0276970863342285, -0.9918712973594666, 0.29410406947135925, -0.3595946729183197, -0.13289135694503784, -0.09766664355993271, -1.9935065507888794, 0.11608057469129562, -0.6729629635810852, 0.8545035123825073, -1.1793856620788574, -0.9540548324584961, -0.969673752784729, 0.3141362965106964, 1.2000207901000977, -0.1831716001033783, -0.18045181035995483, -0.1034746766090393, -0.10869846493005753, 0.5782245993614197, 1.7499538660049438, 0.002034955658018589, 0.36500951647758484, -0.8946718573570251, -0.3625917434692383, 1.179726004600525, 0.8268131613731384, 1.457729697227478, -1.029435634613037, -1.2957319021224976, 0.2842133045196533, -2.20194673538208, 0.5491127967834473, -1.2930694818496704, 0.4510805904865265, -0.3953653573989868, 1.7161552906036377, 0.15392881631851196, -1.4651801586151123, -0.5170696377754211, -0.8793548941612244, -0.8100994825363159, 0.6788665652275085, 0.33716168999671936, -0.5290454030036926, 0.9324967265129089, 0.6762214303016663, 0.14378660917282104, 2.18232798576355, 0.037647929042577744, 0.21775013208389282, 2.395190954208374, 0.4023849368095398, 1.6874338388442993, -2.743908405303955, -1.9040486812591553, 0.9187806844711304, 0.12344544380903244, 2.8273682594299316, 0.47407886385917664, -1.9149128198623657, -1.5384353399276733, -0.6529980897903442, 0.16414928436279297, -0.19700200855731964, 1.038715124130249, -0.3908529281616211, 1.0207839012145996, -1.2268577814102173, -2.0579991340637207, 0.5987722277641296, -0.13595744967460632, -0.219259113073349, -0.47011202573776245, -0.9500816464424133, -0.6808398962020874, -0.9808987379074097, -0.7519583702087402, -1.0324863195419312, -1.8080289363861084, 0.21794334053993225, 0.6857624053955078, 0.43733805418014526, -1.9561761617660522, 1.2915894985198975, -1.6977379322052002, -1.2025177478790283, -1.646543025970459, -0.789655864238739, -1.313944935798645, 1.211775779724121, 0.9332025647163391, -0.2605237364768982, 1.5151708126068115, -1.521173357963562, -1.1669979095458984, -2.0334060192108154, -0.08260460197925568, 1.4479997158050537, 0.1535591334104538, -0.19014781713485718, -0.9898110032081604, 0.3790753483772278, 1.921068549156189, 0.03124547004699707, 0.3133164942264557, -1.2002359628677368, -0.22739538550376892, -0.23840101063251495, -0.682720422744751, 0.46212872862815857, -0.9165003299713135, -1.665152907371521, 0.7060098052024841, 0.9033956527709961, -0.3824882507324219, 0.1978939324617386, -0.032255567610263824, -0.9255485534667969, 1.1699923276901245, 0.24731674790382385, -2.150991439819336, 1.2150318622589111, -0.33008328080177307, -0.5156939625740051, 0.022917015478014946, -0.6746217608451843, 1.309282898902893, -1.6657313108444214, 0.32850465178489685, -0.8491258025169373, 0.9747982025146484, -0.37322181463241577, 0.6090918779373169, -0.7589855194091797, -0.6492360830307007, -1.3370387554168701, 1.169712781906128, -0.5579738020896912, 0.38680657744407654, -0.4910755455493927, -0.32521501183509827, 0.13093096017837524, 0.624261200428009, 0.6792762279510498, 0.3959449231624603, 0.66949063539505, -0.19622361660003662, -0.32004305720329285, 0.20433473587036133, -1.9621531963348389, -0.5470468401908875, -0.13450416922569275, 0.5259649753570557, 1.2340812683105469, 0.19075801968574524, -0.19310960173606873, 1.5767059326171875, 0.11904352903366089, 0.2899794280529022, -2.0928122997283936, -0.0447654202580452, 0.11111800372600555, 0.38851943612098694, 0.5478768348693848, -1.3495665788650513, -0.44743525981903076, -0.7099960446357727, -1.751257061958313, 0.9016333222389221, -1.3840047121047974, -0.8077333569526672, 1.2065165042877197, 0.1894015073776245, -0.6532776355743408, -0.2359209805727005, 0.6057451367378235, -0.3558703064918518, 1.4644410610198975, -0.8236719965934753, -0.3576895296573639, -0.9380688667297363, 1.4365062713623047, 1.5855119228363037, -1.2674946784973145, -0.382905513048172, 0.8315308690071106, 1.8437397480010986, 0.021685972809791565, 0.28762421011924744, 0.39912644028663635, 2.4587013721466064, 0.4567311406135559, 1.106606125831604, 0.9169553518295288, -0.23127765953540802, -1.498667597770691, 0.6427391171455383, 0.18222902715206146, -1.0757185220718384, 1.5721423625946045, 1.0094727277755737, 0.4029425382614136, 1.6145533323287964, 0.4475519359111786, 0.5499113202095032, 0.21999366581439972, -0.019641423597931862, 0.20199595391750336, -0.305207222700119, -0.11879883706569672, 0.8017854690551758, -0.3870505392551422, 1.560797929763794, 0.04964430257678032, -0.33324524760246277, 0.9817765355110168, 1.0983757972717285, -0.25671127438545227, -2.2621774673461914, 0.16864269971847534, -1.6143133640289307, -0.011093960143625736, 1.2098065614700317, -1.4476906061172485, 1.3612878322601318, -1.3062708377838135, 1.6407432556152344, -0.33931660652160645, 0.13347899913787842, -0.9141297936439514, -0.15479597449302673, 0.39503413438796997, -0.09881415218114853, -1.8138774633407593, -0.6933608055114746, 1.3770830631256104, 1.0369852781295776, 0.522045910358429, -2.008735179901123, -0.7871567606925964, 0.07242458313703537, -1.9583851099014282, -0.1682676076889038, -0.09407275170087814, -1.5021157264709473, 0.9083237051963806, -0.627318799495697, 1.345549464225769, 0.32771772146224976, 1.3408823013305664, 1.2158557176589966, 0.95885169506073, 0.5136737823486328, 0.5763065814971924, 0.0778578370809555, -1.061161994934082, 2.042306900024414, 0.6509259343147278, -1.0072094202041626, 0.35781362652778625, -1.0799492597579956, 0.04710597172379494, 2.201401472091675, 0.7588040828704834, -1.5863133668899536, -0.6730678081512451, -1.2264569997787476, 1.3401838541030884, -1.162084698677063, 1.4780147075653076, 1.5603762865066528, -0.3231433033943176, 2.119018793106079, 0.7877871990203857, -1.9625552892684937, -0.526032567024231, 2.639968156814575, 1.171855092048645, 1.2722933292388916, -0.699771523475647, -1.096972107887268, -0.55166095495224, -0.3263216018676758, 0.6205294132232666, -1.4098610877990723, -0.41539478302001953, 3.068681478500366, 0.3701395094394684, -0.7284356355667114, -0.9289583563804626, 0.8983376026153564, -0.48385927081108093, 0.032833296805620193, -0.10948953032493591, 0.46667027473449707, -1.1001535654067993, 0.8703535795211792, 0.9143402576446533, -0.9333758354187012, -1.9243427515029907, 0.5441842079162598, 1.674156904220581, -0.1457550972700119, -0.663499116897583, 1.2121490240097046, 0.9221742749214172, 0.5710628032684326, -0.7049512267112732, 2.053586959838867, 0.9570578932762146, -1.0222344398498535, 0.2908516526222229, -0.08251824229955673, 1.5195056200027466, -0.3584267199039459, -0.6102548837661743, -1.5060930252075195, -0.7732543349266052, 1.0847911834716797, 1.4872429370880127, 0.6041548848152161, -1.1257244348526, 0.3761575222015381, 1.959293246269226, -0.7958163619041443, 0.4539487659931183, -2.5069937705993652, 0.4042589068412781, -1.5217982530593872, -0.5133728981018066, -1.3806458711624146, -0.5332193970680237, 1.0821458101272583, 0.6407410502433777, -1.729612946510315, -2.14782977104187, 0.4973359704017639, -1.268904685974121, -0.24232769012451172, -1.0745846033096313, -0.6819858551025391, 1.0070197582244873, -0.8279359936714172, 0.6613953113555908, -0.03297487273812294, -0.13994985818862915, 1.0257868766784668, -1.9717178344726562, 0.5998077988624573, 1.361150860786438, -0.173064723610878, 0.7555463910102844, -0.14636565744876862, -0.09429822862148285, 0.29657915234565735, 0.11924134939908981, 0.1167483851313591, -0.5673035979270935, -1.7532938718795776, -0.5004768371582031, -0.8111429214477539, 2.0269458293914795, -2.568801164627075, 1.0234973430633545, -0.5307855606079102, 2.509202480316162, 0.9496951103210449, 1.4498697519302368, 1.9099774360656738, -0.41487377882003784, -2.154376745223999, -1.1843920946121216, -0.13271500170230865, -0.6129738092422485, 1.0654757022857666, -1.361000418663025, 1.183761477470398, -1.1673825979232788, -0.25077250599861145, 0.33264845609664917, 1.1230510473251343, 1.8761743307113647, 0.273639976978302, 0.2801147401332855, 0.7281787991523743, 0.02403142862021923, 2.3937904834747314, -1.3129955530166626, -0.48624828457832336, 0.4012764096260071, 1.0767757892608643, 2.587069511413574, -0.7386665940284729, 0.3296529948711395, 2.8114237785339355, -0.879487931728363, -0.33060166239738464, 0.6457027792930603, 0.17840361595153809, -1.1289931535720825, -0.3602311313152313, -2.383305549621582, 1.3506853580474854, -0.4065081775188446, -0.30150434374809265, -1.5348396301269531, 1.6021355390548706, -1.0694472789764404, 0.08452916890382767, 0.33887022733688354, -1.223060965538025, 0.19821691513061523, 0.38515371084213257, -0.07315804064273834, 0.8780375719070435, 0.12963341176509857, -0.6663824319839478, 0.4921484887599945, -0.9834228754043579, -1.2953417301177979, -1.5002580881118774, 1.1558160781860352, -0.7528656125068665, 0.589937686920166, -1.330565333366394, 1.276455044746399, -1.1509960889816284, 1.4542961120605469, 0.21978320181369781, -0.26515746116638184, 0.7749262452125549, 0.12985540926456451, 1.0439496040344238, -1.7788974046707153, 0.3559875190258026, -0.05403977632522583, 0.07127834856510162, -0.8058504462242126, -0.35074129700660706, 1.1279785633087158, 0.02586616761982441, -0.14947263896465302, 0.39506977796554565, 1.9742354154586792, -0.4404173791408539, 2.3815414905548096, -0.34589144587516785, 2.694831609725952, -0.8544124364852905, -0.27781322598457336, 0.7772916555404663, -0.43923330307006836, 1.1729096174240112, 1.397361159324646, -0.41255858540534973, 0.6893559694290161, 0.033526014536619186, -0.2977294325828552, -1.1700760126113892, 1.5237687826156616, 1.2984881401062012, 0.6588833928108215, -0.8222662210464478, -0.14632517099380493, 0.7788861393928528, 0.6403340101242065, 0.8075235486030579, 0.5731524229049683, 1.3903805017471313, -0.23513318598270416, -0.5386273264884949, -1.160732388496399, -1.486362099647522, 0.13496126234531403, 1.354621410369873, 1.9504122734069824, 1.0814120769500732, 0.7843427658081055, 1.8615505695343018, 0.6727956533432007, -0.4322131276130676, 1.8005059957504272, 1.3338027000427246, -0.3184575140476227, 1.1182889938354492, 1.8907326459884644, 0.19988827407360077, -0.17826782166957855, -0.2625838816165924, 0.7513576745986938, 0.18278615176677704, -0.9977543950080872, 0.45807182788848877, 0.7924372553825378, -0.9643475413322449, 0.46591266989707947, 1.3539252281188965, -1.459295630455017, 0.37469375133514404, 0.7460726499557495, 0.26590028405189514, -1.0854178667068481, -1.5084643363952637, -0.4339471161365509, 0.9574882388114929, 1.254128336906433, -1.0384563207626343, 0.5251074433326721, -0.8383287787437439, 1.7227904796600342, -1.1731188297271729, 0.7272883057594299, -1.7194626331329346, 2.383774995803833, -1.1649107933044434, -0.20503655076026917, -0.5375787019729614, 1.2967820167541504, -1.6138769388198853, -0.8155969977378845, -0.1509992778301239, -0.5020706653594971, 0.8036778569221497, -1.1333380937576294, -0.7435185313224792, -0.7013434171676636, -0.42178988456726074, -1.5332636833190918, -1.9342981576919556, 0.3510490953922272, -0.6404718160629272, 0.019135747104883194, -0.7149955034255981, -0.7025302648544312, 0.7700265645980835, 0.9396787285804749, 1.0826958417892456, -0.6519155502319336, 0.2863307297229767, 0.10145679116249084, 0.09791278839111328, -0.8082833886146545, 1.441809892654419, -0.6460452675819397, 0.04811352491378784, 1.382570505142212, -0.6665758490562439, 0.7876792550086975, -0.15957334637641907, -0.10303135216236115, 0.27407389879226685, 1.1576530933380127, -0.21968594193458557, 0.4215473532676697, -0.32665783166885376, 2.3412764072418213, -0.5351880192756653, 1.0548261404037476, -0.4031357765197754, 0.6454427242279053, -0.6314883828163147, -1.2809436321258545, 0.009232764132320881, 1.2330046892166138, 0.6238497495651245, 1.5213422775268555, -0.4976206421852112, 0.7535606026649475, -0.38888975977897644, 2.5921998023986816, 0.08543383330106735, -2.0308878421783447, 0.6461803913116455, 1.217452883720398, 0.03121299482882023, 1.082465648651123, -1.8998514413833618, -2.7074427604675293, -0.7867730259895325, -0.6195639967918396, 1.8281400203704834, 0.4877544343471527, 0.1366790533065796, -0.7571792006492615, -1.0642281770706177, 1.9611531496047974, -0.4390997588634491, 0.8920031785964966, 1.8720558881759644, 0.24501417577266693, 0.7017510533332825, 0.9099079370498657, -0.7323962450027466, -0.6663155555725098, -0.3277064561843872, -1.439155101776123, -1.2797472476959229, -0.19622953236103058, 0.19903564453125, -0.5971476435661316, -1.0348221063613892, 0.42101818323135376, -0.548947811126709, -1.2540193796157837, -1.2696188688278198, 0.6492337584495544, 0.2340298593044281, -0.1553155928850174, -0.4344737231731415, -1.0962450504302979, -1.122981309890747, -1.865479826927185, -2.1207547187805176, -0.6719433665275574, -0.7559810280799866, -1.0449823141098022, -0.27992430329322815, 1.2101659774780273, -0.9885204434394836, 0.350207656621933, -0.2644238770008087, -1.0631657838821411, -0.7474985122680664, 0.3266002833843231, -0.49929699301719666, -1.4715039730072021, 0.5023646950721741, 0.4279913902282715, 1.0040385723114014, 1.708235263824463, -0.2667044997215271, -0.5910199284553528, 2.3618080615997314, 1.2076122760772705, -0.5487976670265198, 1.5311497449874878, 0.44362834095954895, -2.4579336643218994, 0.6496618390083313, 0.02449978142976761, 0.25982725620269775, 0.7348682284355164, -0.006029692944139242, -0.7884382605552673, 1.1371253728866577, -1.7366209030151367, 0.9614791870117188, -0.48808231949806213, -0.6568363904953003, -0.4720822274684906, 0.35971710085868835, 0.5307507514953613, 1.0079243183135986, 1.6095112562179565, 1.6016820669174194, 0.020138248801231384, 0.573236346244812, 0.37203314900398254, 0.22609540820121765, -0.754463255405426, -0.3379971385002136, 0.41659975051879883, 0.22890278697013855, 0.1943359375, 1.0423648357391357, -1.1257092952728271, -0.04083564877510071, 0.7159355282783508, 0.3418067395687103, -0.6778577566146851, 0.17783714830875397, -0.16209769248962402, -0.026765741407871246, -0.33800795674324036, 0.6160297393798828, -1.5755101442337036, -1.1856271028518677, 0.3281179666519165, -0.03522142022848129, 0.18906556069850922, 1.0375696420669556, 0.7793638706207275, -0.6498270630836487, -0.48095330595970154, 0.13058727979660034, 0.8354679346084595, 1.6123450994491577, -0.4939593970775604, 0.30456098914146423, 2.1306238174438477, 0.026654772460460663, 0.7856671810150146, 0.8128212690353394, 0.04477962106466293, -1.7439521551132202, -1.3104760646820068, -1.4218922853469849, -0.3201002776622772, 0.011662798002362251, 0.7528783082962036, -0.8849666118621826, 0.8982152342796326, -1.6744109392166138, -1.1447242498397827, 0.22346419095993042, -0.9369489550590515, -0.17544429004192352, 0.8354768753051758, -1.2516489028930664, 0.26434803009033203, 1.2949540615081787, -0.5443984270095825, 2.050001859664917, 0.06459449976682663, 1.448185920715332, -0.20686893165111542, 1.5211719274520874, -1.2341058254241943, -0.7871248126029968, -0.7268313765525818, -0.26047298312187195, -0.1815493106842041, 0.40858983993530273, 0.21912771463394165, -0.45708730816841125, -0.651996910572052, -0.32107922434806824, -0.5061670541763306, 0.8149546980857849, 0.6836134195327759, -1.2236212491989136, 0.9091914892196655, -0.6015433669090271, 0.8525826930999756, -0.6866192817687988, 1.1305443048477173, -0.3458130359649658, -0.673958420753479, -0.16243989765644073, 1.8315014839172363, -0.7265217900276184, -0.44100794196128845, -1.1563644409179688, -1.1074808835983276, -0.6043308973312378, 0.0144581263884902, -0.2186170518398285, -1.0052590370178223, 1.2696419954299927, 1.212203025817871, -0.3618496060371399, 1.1163915395736694, 0.4140532910823822, 0.12140734493732452, 0.836719274520874, -0.6638585925102234, -2.0399112701416016, -0.6206883192062378, -0.9584940075874329, -0.5020677447319031, 0.45930108428001404, -1.3068112134933472, 0.9302626848220825, 1.0709624290466309, 1.3384746313095093, 0.27829068899154663, -1.328766107559204, -0.2891634404659271, -2.518200635910034, -2.533468723297119, -0.022988365963101387, -0.5563327670097351, 2.0752601623535156, -0.8403553366661072, 0.9517558813095093, 0.20424777269363403, -0.8860291838645935, 1.0496968030929565, 0.5568186640739441, 0.13751773536205292, -0.15331187844276428, 1.2169883251190186, 1.7785981893539429, -0.709164023399353, -0.9840890765190125, -0.17089858651161194, 0.2695762813091278, 0.3193606436252594, -0.6905565857887268, 0.3500501811504364, -0.32124459743499756, -1.1872515678405762, -0.83109050989151, -1.1144185066223145, 1.2617039680480957, 0.6814237833023071, 2.0168917179107666, 0.481290727853775, 0.313506156206131, -0.5229234099388123, -0.26092126965522766, -2.14499831199646, 1.0247118473052979, 2.1447153091430664, -0.34384623169898987, 0.9131002426147461, -2.4607415199279785, -0.45995032787323, 0.06401844322681427, -0.4596543312072754, -0.5983195900917053, 0.844002366065979, -0.6386957168579102, -1.218558430671692, -0.1842191219329834, -1.7404849529266357, -0.43988513946533203, 0.978778600692749, 1.003504991531372, 1.839215874671936, -0.7032709121704102, -2.1381378173828125, 0.3657694160938263, -2.1648738384246826, 0.9794597625732422, 0.38047143816947937, -1.9277540445327759, 0.9197121858596802, -1.6067678928375244, -1.3559657335281372, -0.25936615467071533, 0.0861901044845581, -0.37659966945648193, 0.9756653904914856, -0.8411983847618103, -0.9621247053146362, -0.5808306932449341, 1.1524169445037842, -0.5585207939147949, -0.25051894783973694, 2.1708250045776367, -1.229917049407959, 0.3085583448410034, -0.4612124264240265, -1.0031081438064575, -3.3694987297058105, 0.7832650542259216, -0.34354400634765625, 0.34807446599006653, -1.7184555530548096, -0.8929248452186584, -0.7456709742546082, 0.1508672833442688, -0.7863383889198303, -0.350765198469162, 1.2518025636672974, -0.35988521575927734, -0.43994462490081787, 1.9879144430160522, -0.692777693271637, 0.4603208601474762, 0.6009213924407959, 0.8063201308250427, 0.979621946811676, 0.9170622229576111, -0.11666277050971985, 0.2106197327375412, 0.5228466987609863, -1.7686034440994263, -0.8117372393608093, -0.877277135848999, -0.01325446367263794, 1.1153861284255981, -0.4465695023536682, 1.315346598625183, 1.038090705871582, 2.2033896446228027, -0.907842755317688, 2.0937135219573975, -0.9239965677261353, -0.553339421749115, 0.09259668737649918, 1.0563279390335083, 0.8357012271881104, 0.39962950348854065, -0.5224289298057556, 1.8076858520507812, -0.13208438456058502, -1.0929938554763794, -2.4860122203826904, -0.038849566131830215, 0.8021038770675659, -0.18547378480434418, 0.534229576587677, -0.49810588359832764, 0.8963930010795593, -1.2691730260849, 0.042280785739421844, 0.9680984020233154, -1.7608284950256348, 1.0980932712554932, 0.8579375743865967, -0.9562888145446777, -0.04678618907928467, -0.6908267736434937, -0.38857290148735046, 0.04591083899140358, -0.3794901967048645, 1.5770217180252075, -0.042833227664232254, 1.353956699371338, -1.124684453010559, -0.17863909900188446, 0.20412735641002655, 0.9273927807807922, -0.32438376545906067, 0.6851024031639099, 1.0722298622131348, -1.000272512435913, 0.9701831936836243, -1.7244060039520264, 1.61734139919281, -0.9298601746559143, 0.41942062973976135, 2.0680532455444336, -1.2342560291290283, 2.678502321243286, 0.3004297614097595, -1.303833246231079, 0.7512189745903015, 0.3729371726512909, 0.17139992117881775, 0.5995815396308899, -0.19726276397705078, -0.11891679465770721, 0.973744809627533, 1.072774887084961, -1.0264922380447388, -1.1302908658981323, -0.2908953130245209, -1.6867245435714722, 1.5600812435150146, 1.8405765295028687, -0.7730143070220947, -0.2413354218006134, 2.3902435302734375, -0.5483986139297485, 0.643738329410553, 0.7495583891868591, -1.2053273916244507, 1.4264872074127197, -0.7236151099205017, 0.2793406844139099, -0.8662601113319397, 0.8459339737892151, 0.6116387844085693, -0.8089803457260132, -0.20030127465724945, -2.4035587310791016, 1.5633418560028076, -0.6294617652893066, 0.8042920231819153, 0.6676139235496521, -0.5181459784507751, 0.9539962410926819, 0.7256157398223877, -0.5767995715141296, -0.8011578917503357, -1.122711181640625, -0.7824243903160095, -0.5122944712638855, -0.8242338299751282, -1.0234198570251465, -1.3928337097167969, -0.4097520112991333, 0.8509801030158997, 0.8881950378417969, 0.5827952027320862, 0.785405158996582, 1.5136889219284058, 1.251064419746399, -1.9372137784957886, -1.2051048278808594, -1.0388710498809814, -0.1431705802679062, 1.1298640966415405, 0.587632417678833, 0.020525068044662476, 0.12478796392679214, 0.2550857663154602, -0.4017896056175232, -1.7806396484375, -0.08095061779022217, -1.759868860244751, 0.820906937122345, -0.15008316934108734, -1.8686583042144775, -0.6503500938415527, 0.6934462189674377, -1.1080999374389648, -0.1472785621881485, -2.2464029788970947, 0.40276598930358887, 0.1035822331905365, 0.3125080168247223, 0.19359846413135529, -0.40304437279701233, 0.7284680604934692, 1.4359182119369507, -1.437017560005188, 0.5239248275756836, -0.26981568336486816, 0.017309220507740974, 1.2778736352920532, -0.30529654026031494, -0.01296310406178236, 1.34257972240448, 0.8595607280731201, 0.24403470754623413, 1.9769524335861206, 0.5327519178390503, -0.8788067102432251, -0.2609003186225891, -0.1277228742837906, -0.38701093196868896, -0.10875839740037918, 0.7135027647018433, 0.037005677819252014, 0.7367677688598633, -1.073968529701233, -0.8155962824821472, 1.1982215642929077, -1.376950740814209, 1.0670830011367798, 0.507300615310669, 0.4764442443847656, 0.9100666046142578, -1.501094937324524, -0.11335238814353943, -1.2001420259475708, -0.7933986186981201, -2.7309763431549072, -0.014749551191926003, -0.04001638665795326, -0.11494863778352737, 1.458335041999817, 0.4836253225803375, 1.4084579944610596, -2.2690858840942383, 0.39256006479263306, -0.4266415536403656, 0.36491602659225464, 0.807181715965271, -1.5602378845214844, -0.055706802755594254, -0.712527871131897, 0.2629498243331909, 1.1325268745422363, 0.8828094601631165, 0.9763681888580322, 0.35255447030067444, -0.5103265047073364, 0.048219867050647736, 0.7788206934928894, -0.08426624536514282, 0.8460555672645569, 1.1856203079223633, -0.4465353190898895, 0.8045015335083008, 0.830817461013794, -0.4116531312465668, -0.19528378546237946, 1.0318245887756348, -0.6419956088066101, -1.068763256072998, -0.038084980100393295, -0.8322976231575012, 0.8178791999816895, 0.14809109270572662, 0.3688916862010956, 0.5232675075531006, -1.1358050107955933, 2.01452898979187, -0.5778390765190125, -0.2187574803829193, 0.35567042231559753, 0.7510744333267212, 0.2171924114227295, 0.4019394814968109, 0.9250243306159973, -0.24931922554969788, -0.3473069369792938, 0.8122217059135437, -0.6078834533691406, -0.8096639513969421, 1.7024855613708496, -0.9683796763420105, 1.086437702178955, 1.8661012649536133, 1.4962280988693237, 1.022226333618164, 1.5485637187957764, -0.08855976164340973, -0.88787841796875, -0.6835475564002991, -0.015076662413775921, -0.030384592711925507, -0.8306879997253418, -1.7835168838500977, -1.239241361618042, 0.9374039173126221, -0.8320447206497192, 0.9133552312850952, 0.35530006885528564, 1.5981814861297607, 0.6848441362380981, -0.238090381026268, -0.8003812432289124, 0.22974559664726257, -0.19079573452472687, -0.364820271730423, -0.658343493938446, -1.2190016508102417, -1.0552600622177124, -0.9370644688606262, 0.07260560989379883, 0.24500113725662231, -1.4732903242111206, -0.10355143249034882, -0.5564566254615784, 0.3039886951446533, 0.9035240411758423, 0.5980544090270996, -1.4176050424575806, -0.0560019314289093, -1.3687875270843506, -0.5567623376846313, -0.36739063262939453, 1.5230790376663208, 1.4708421230316162, -0.4366856515407562, -1.1750401258468628, 0.24909113347530365, -0.4163765013217926, -0.9129456877708435, -1.0231975317001343, 0.15973883867263794, 1.5596561431884766, 0.2012278288602829, 0.9135115742683411, -0.7519399523735046, 2.7958858013153076, 0.41675102710723877, 0.0033115341793745756, -1.398554801940918, 1.5899420976638794, -0.7806249856948853, -0.7495994567871094, 0.2814400792121887, -0.12884187698364258, 0.9388713836669922, 0.2919924259185791, 1.7478625774383545, -0.8145643472671509, 0.9247024655342102, -1.5529402494430542, 0.13943159580230713, 1.0945836305618286, 1.0349615812301636, 0.03250877186655998, 0.9967503547668457, 0.6327362060546875, 0.7831454873085022, 0.5098334550857544, 1.5633010864257812, 1.690338134765625, 1.8711999654769897, 1.2940467596054077, 0.49941954016685486, -0.5331865549087524, -0.26645612716674805, 0.3932536244392395, 0.45422324538230896, 0.4487703740596771, -0.43473342061042786, 1.8583357334136963, 0.35827821493148804, 0.1845020353794098, 1.472187876701355, 0.9056950807571411, -2.0051839351654053, 1.8527616262435913, -1.680544137954712, 0.659866988658905, -0.5326191782951355, 0.5515633225440979, 1.6462424993515015, 0.2259528934955597, 0.27357611060142517, -0.9556253552436829, 0.43514177203178406, -1.1005570888519287, 0.9130839705467224, -0.45959728956222534, 2.1901278495788574, -0.682377278804779, -0.22877585887908936, -0.08736804872751236, -1.1191902160644531, -0.4140253961086273, 0.2933676540851593, -0.016588633880019188, 0.4729222357273102, 1.0479241609573364, 0.32106152176856995, 1.162279486656189, 1.5198776721954346, 1.134521245956421, 0.013782661408185959, 1.5933806896209717, 0.11106725037097931, 0.5933053493499756, 0.0023419519420713186, -0.46283742785453796, 0.1497601568698883, 0.6901775598526001, -0.38219600915908813, 0.4878943860530853, 0.04518410563468933, 1.9969353675842285, -0.6955253481864929, 0.5120656490325928, -0.9795308709144592, 2.3521711826324463, 0.41813287138938904, -0.1308819055557251, -0.4394487142562866, 1.6976182460784912, 0.813541829586029, -1.232080101966858, 1.510047435760498, -0.4955300986766815, -1.451595664024353, 1.1304244995117188, -0.23605898022651672, -1.4099090099334717, 0.033967722207307816, -0.27385643124580383, 0.052069131284952164, 0.6194980144500732, 1.2993793487548828, 0.37411054968833923, 0.29060807824134827, -0.14929574728012085, 0.16823826730251312, 0.7370786666870117, -1.690212607383728, 0.30514323711395264, -0.0909213200211525, -1.1586445569992065, -0.7481539845466614, 1.1121810674667358, -0.4421563148498535, 1.0719655752182007, 0.17691805958747864, -1.2427209615707397, 0.10128959268331528, 0.19372011721134186, 0.24058400094509125, 0.9057638049125671, 0.11222076416015625, 0.9275448322296143, 0.1871725618839264, 1.0549991130828857, -0.04648401960730553, 1.6230204105377197, 0.9345911145210266, 0.6804553866386414, 2.2367258071899414, -0.6858885884284973, -1.308877944946289, -1.208367109298706, -1.4461109638214111, -0.6192750930786133, -0.40478718280792236, 0.45292869210243225, 0.40945965051651, -0.6087417602539062, 0.9832689762115479, 2.428079843521118, 1.1440294981002808, -0.101145900785923, 0.09917446225881577, 0.3814586102962494, 1.6723263263702393, -0.4240947961807251, 1.8199645280838013, -0.39397287368774414, -0.4608776867389679, 0.51866215467453, 0.7784189581871033, -1.5908970832824707, 1.9877948760986328, 1.7945916652679443, -0.8427640199661255, -0.776123583316803, 0.7065202593803406, 0.020995743572711945, -0.7148111462593079, -0.21817894279956818, 0.6545652151107788, -1.3288897275924683, -0.5552407503128052, 0.869994044303894, 0.17624177038669586, 0.10479936003684998, 1.1782784461975098, -0.8539279699325562, 0.18701297044754028, -0.059362635016441345, -2.4078524112701416, -1.2304182052612305, -0.026510445401072502, 0.8861871957778931, -0.7287083268165588, 1.380319356918335, 0.6684188842773438, -1.7624090909957886, 0.6292073726654053, -0.7828742265701294, -0.08022477477788925, 0.9383800625801086, -0.4210285246372223, -0.49148616194725037, -0.9306524395942688, 0.9656304121017456, 0.4625106155872345, -1.4208135604858398, 0.2507438659667969, -0.5702037215232849, -0.05394743010401726, -0.7306843400001526, -1.0346637964248657, 0.7631427049636841, 0.9210829138755798, -0.6593214273452759, 0.24809309840202332, 0.7311660647392273, 2.3288936614990234, -1.06203031539917, -0.5385692119598389, 0.02497357502579689, -0.43002068996429443, 1.0171878337860107, -0.548503041267395, 1.6083859205245972, 0.5863268971443176, 0.15758942067623138, -0.9828112125396729, 0.18478159606456757, 1.4150960445404053, 0.4230518639087677, 0.5434484481811523, -1.2116377353668213, 0.1757367104291916, 0.25499454140663147, 0.8070029616355896, -0.3077951669692993, 1.3164128065109253, 0.7719241976737976, 2.0046799182891846, -0.05154263228178024, -0.09019286930561066, 0.9585400819778442, -1.2275644540786743, 0.35432279109954834, -0.2604968845844269, 0.6541568636894226, -0.43890517950057983, -0.05930287018418312, -1.202606201171875, -1.2769453525543213, -0.3968345820903778, -1.267333745956421, -0.6902195811271667, 0.23923389613628387, 1.4039400815963745, -2.0282845497131348, -1.0275602340698242, 0.9761411547660828, -0.06743653863668442, 0.06586836278438568, -0.7352823615074158, 0.19450226426124573, -0.7134578824043274, 0.16818134486675262, -1.1888638734817505, -0.37875133752822876, -0.321153849363327, 0.4127616584300995, 0.3390391767024994, 1.7734715938568115, 1.655669927597046, -0.08070362359285355, -0.303115576505661, 0.3240552544593811, 1.0401465892791748, 0.5915576815605164, -1.4803826808929443, -0.12040789425373077, 0.16437974572181702, 0.7806977033615112, 1.228264331817627, 0.4453698992729187, -0.3023916184902191, -0.11587464064359665, 0.45107510685920715, -0.3600947856903076, -1.0252388715744019, 0.37429946660995483, -0.3791492283344269, 2.650177001953125, -1.6031533479690552, -0.47765374183654785, -0.5845633149147034, -0.21724168956279755, -0.5731971859931946, 0.37175968289375305, -0.4610559642314911, 2.174776315689087, 0.021914366632699966, 0.5857738256454468, -0.3441990613937378, 0.6814432144165039, 0.772460401058197, 0.007647526450455189, -0.25153985619544983, 0.84389328956604, -0.2621386647224426, -0.42434096336364746, -0.6080525517463684, 0.011438353918492794, 0.0011970907216891646, 0.672935426235199, -0.6712173819541931, -0.2299986481666565, 0.13960936665534973, 1.3544011116027832, -0.423284113407135, -0.9974878430366516, -0.9902269244194031, -0.1406998336315155, 0.8166614174842834, 1.0789191722869873, 1.7252999544143677, 1.1660224199295044, 0.5868375301361084, -0.11877616494894028, -0.34278497099876404, -0.08240477740764618, 0.8542949557304382, -0.9149259924888611, 0.5388334393501282, -0.7796450257301331, 1.15239417552948, -0.4312492907047272, 0.4804547131061554, -0.0037577631883323193, -0.23722761869430542, 0.1753881573677063, -0.3416663408279419, -0.4271427094936371, 1.1991220712661743, 0.8689031600952148, -0.6073640584945679, 0.11616495996713638, 1.9142365455627441, -1.1450425386428833, 1.60905921459198, 0.1974239945411682, -0.7603867650032043, -0.693874180316925, -1.0808472633361816, -0.06485684216022491, -0.010432514362037182, 1.2084461450576782, -0.9010456800460815, -1.3052830696105957, -0.12543383240699768, 1.2808754444122314, 0.6577393412590027, 0.46201997995376587, -0.5072460770606995, -0.9180205464363098, 0.0884491354227066, 0.6251678466796875, -1.8366930484771729, -0.45854899287223816, 0.49610286951065063, -0.8524967432022095, -0.19241304695606232, 0.47062209248542786, -0.7075293660163879, 0.01930052787065506, 0.7421151995658875, -2.1299924850463867, -1.8519654273986816, -0.789726972579956, -1.3833003044128418, -1.1265941858291626, 0.4917159378528595, -1.688096284866333, -1.05665123462677, -0.33759868144989014, -0.2788163125514984, -0.3783758282661438, -0.2296314239501953, -1.1831741333007812, -1.034787893295288, -0.8083778023719788, -0.4777121841907501, 0.8160690665245056, 0.8076881766319275, 0.5698519945144653, -0.4374706447124481, -0.7118549942970276, 2.338996648788452, -0.5139697194099426, -0.3140712380409241, -0.8430630564689636, -1.2868314981460571, 0.5520419478416443, 2.584942102432251, 0.4215601086616516, 2.558887481689453, -1.2483208179473877, -0.9826495051383972, 0.7373914122581482, 1.4831291437149048, 0.6203920245170593, 0.33499792218208313, -0.5796453952789307, -0.24162966012954712, -1.0534428358078003, 0.2707360088825226, -0.25277644395828247, 0.016658902168273926, -0.2014150619506836, 0.05029870569705963, 1.4853851795196533, 0.8810616731643677, 0.9759232997894287, 0.807918131351471, -1.3484669923782349, 1.1456364393234253, 0.31596988439559937, -0.4552096426486969, -0.18135374784469604, -0.3125359117984772, 0.744454026222229, -0.761397659778595, 1.7994297742843628, 0.6710255146026611, 1.5392361879348755, -0.8462389707565308, -1.374268651008606, -0.29935309290885925, -1.3537677526474, -0.9374998211860657, 0.966241180896759, 2.2905983924865723, 0.4570314586162567, 1.168905258178711, -0.22257985174655914, 1.2293546199798584, -0.0368775799870491, -0.1774366796016693, 1.5478016138076782, 1.0848923921585083, -0.38529422879219055, -0.5037281513214111, 0.9991070628166199, -0.715931236743927, 0.28394055366516113, 0.5939877033233643, 0.31532949209213257, 0.27048054337501526, 0.9632524251937866, -1.2189302444458008, -0.06929556280374527, 0.061022937297821045, 1.4769864082336426, -1.1677722930908203, 0.43188926577568054, 0.7582098245620728, 0.26907673478126526, -0.16138136386871338, 1.1750508546829224, 0.5351220369338989, 1.225508689880371, 0.9085782766342163, 0.16776901483535767, -0.009964917786419392, -0.9104782342910767, 0.3974350690841675, -0.5543625354766846, 1.281534194946289, 2.018014669418335, -0.44383805990219116, -0.7552081942558289, -1.7850168943405151, -0.07548937201499939, -0.5207358598709106, -1.048349142074585, 0.8424208760261536, -0.9976255297660828, 0.7335740327835083, 1.2276335954666138, -0.7577790021896362, 1.622873306274414, 1.292340874671936, -0.26265472173690796, -0.8315040469169617, -0.4278397262096405, -0.527760922908783, -1.798736572265625, -0.07677789032459259, 0.781619668006897, 0.13910506665706635, -1.7339553833007812, 0.7391383647918701, 1.4115384817123413, 0.38143935799598694, -0.051372598856687546, 0.867921769618988, -1.1712745428085327, 1.219971776008606, -0.9404910206794739, 0.6608859300613403, 0.822035551071167, 0.38992002606391907, -0.49170032143592834, -1.689696192741394, 0.05627693980932236, -0.2405131459236145, 0.9160073399543762, 0.7348390221595764, -0.6902630925178528, -0.9186923503875732, -0.6866548657417297, -0.10339432954788208, -0.32694968581199646, 1.2450132369995117, 0.6069359183311462, -0.0937698483467102, 0.38322561979293823, 1.3406338691711426, -0.43185070157051086, -1.897344708442688, -2.652250289916992, -0.43282368779182434, 0.932184100151062, 0.4349152445793152, -0.7768413424491882, -0.19172848761081696, 1.1136181354522705, -1.214506983757019, 2.1966660022735596, 0.41227126121520996, -0.7043505311012268, 0.09847358614206314, 1.0420149564743042, 1.154740810394287, 0.31027355790138245, -0.6142987608909607, 1.667606234550476, 0.014784318394958973, -1.3251757621765137, -0.8346104025840759, -0.2093493789434433, 0.2113834023475647, -0.15933676064014435, 1.438138484954834, -0.8965448141098022, 0.4655975103378296, -0.7802034020423889, -0.7512221336364746, 0.49976596236228943, 0.9063552021980286, -0.273310124874115, -0.8444989919662476, 0.06740748137235641, -1.1771124601364136, -2.319305896759033, 1.0881538391113281, 1.7532049417495728, -1.352665662765503, -1.717094898223877, 0.539482057094574, -0.4647720456123352, 0.15511354804039001, -0.933184802532196, 2.0511553287506104, -0.004049783106893301, 2.6462395191192627, 1.7450222969055176, 0.2888743579387665, 1.1111222505569458, 1.1171294450759888, -0.7966887354850769, 1.0229419469833374, -1.0742470026016235, -1.4498995542526245, -0.5231860280036926, 0.3438241481781006, 0.20375612378120422, -1.4713748693466187, 0.19777953624725342, -0.3222813904285431, 1.8926005363464355, 0.6903649568557739, 1.4352598190307617, 1.0864993333816528, -0.3989373445510864, -2.0487279891967773, -1.1373023986816406, 0.9046337604522705, 0.5279921293258667, -0.5005130171775818, 0.0378837063908577, -1.0129538774490356, 0.7843693494796753, 1.3280545473098755, -0.5138816833496094, -0.04832516983151436, -0.20733071863651276, 0.41877850890159607, 1.7955039739608765, -0.6994057297706604, -0.4367755055427551, 0.34429505467414856, -0.5315167307853699, -1.7878962755203247, 0.5759621858596802, -0.37107211351394653, -0.02148612029850483, -0.3246121108531952, 0.7541995644569397, -1.7302361726760864, -0.6404775977134705, -0.024382900446653366, 1.7320348024368286, 0.39241987466812134, -0.3704788386821747, 0.8811249136924744, 0.537391722202301, -0.48424550890922546, -1.1632671356201172, 0.3275741636753082, -1.6853573322296143, -1.058225393295288, 1.4543863534927368, -0.2975543737411499, -0.8741039633750916, -1.0332050323486328, -0.41522952914237976, 1.5585501194000244, 0.5210180282592773, 1.036444902420044, 0.2102498859167099, -1.1502959728240967, -0.25832024216651917, 0.2814403772354126, -0.5159256458282471, 1.522001028060913, -0.4228188693523407, 0.5675636529922485, 0.47951194643974304, -0.9628922939300537, 0.16651970148086548, 0.17922191321849823, -0.6149380207061768, 0.884855329990387, -0.8721303939819336, -1.3769567012786865, -1.8487751483917236, 0.30561649799346924, -0.42448726296424866, 0.6269863247871399, -0.6438542604446411, 3.0598578453063965, 0.8688741326332092, 0.7331935167312622, 1.7552188634872437, -0.24250611662864685, 1.9880743026733398, -1.1370364427566528, -2.2499585151672363, -0.5810695886611938, -0.7490391731262207, -2.1543679237365723, -0.15692853927612305, 0.09731115400791168, 0.2538682818412781, 1.5049229860305786, 1.313053011894226, -0.7395356297492981, -1.813647985458374, -0.2881675958633423, -0.4023030996322632, 0.7287482619285583, -0.49943476915359497, 0.7028453350067139, 0.34216001629829407, -1.3413481712341309, 2.5905730724334717, -0.14419972896575928, -0.47694188356399536, -0.2289033830165863, 0.3704476058483124, 1.024267315864563, 0.35092905163764954, -0.11602573096752167, -0.5128945112228394, 0.8613727688789368, 2.617750883102417, 0.8522545695304871, 0.3442921042442322, -0.21941426396369934, 1.6952100992202759, 0.7312605381011963, -0.7095723152160645, 1.5105708837509155, 0.9143079519271851, -1.2950124740600586, 0.9506115317344666, -1.3415539264678955, 0.9584245681762695, -1.834415316581726, -0.5945284366607666, -1.6709949970245361, -1.3442386388778687, -0.7921901941299438, 0.1253465712070465, -0.9972168207168579, 0.9316890239715576, -1.098996639251709, 0.8816671967506409, -2.0325005054473877, -0.35224223136901855, 0.1006089523434639, 1.5255436897277832, -1.6215003728866577, 0.5298449397087097, -1.3718810081481934, -0.6298099756240845, -0.65668123960495, 0.4244276285171509, -0.40122607350349426, -0.4890124797821045, 0.9571828246116638, -0.8436367511749268, -0.3680597245693207, 0.41704463958740234, -0.8712550401687622, -0.16223306953907013, -0.9481205940246582, -0.6534963846206665, -0.3585118353366852, -0.817564845085144, 0.3736099898815155, 0.9122175574302673, 0.6781302690505981, 0.055852312594652176, -1.3394618034362793, -0.30016884207725525, 0.15999306738376617, -0.6769115328788757, 0.7504494786262512, -2.2600386142730713, -1.3617544174194336, 1.5765711069107056, 0.1465187966823578, 1.8045871257781982, 1.3033205270767212, 0.18405571579933167, -1.9564915895462036, 0.1487964242696762, -0.9366428256034851, -0.950509250164032, -0.2993629574775696, -0.6517760157585144, 0.2768969237804413, -0.006574048660695553, -1.8791542053222656, -0.8827964067459106, -0.03510960936546326, 0.33106282353401184, -1.535096526145935, 1.5791680812835693, 1.562730073928833, 1.0339767932891846, -0.644834041595459, -0.7956817150115967, 0.4747835099697113, 0.6450149416923523, -2.4694929122924805, -1.2703917026519775, 1.4028544425964355, 0.39471593499183655, -0.2914227247238159, -0.47244831919670105, -0.6993737816810608, 0.4523862302303314, -1.090578317642212, 0.9411556720733643, -1.931666612625122, 0.1285097301006317, -1.0134315490722656, 0.7479439973831177, 0.20112790167331696, -0.8995627760887146, -0.2906798720359802, 0.1047126054763794, -0.5943686366081238, -1.727795124053955, 1.0557186603546143, 0.216787651181221, 0.23502039909362793, 1.8141969442367554, -1.5329066514968872, -0.3652367889881134, -1.5126714706420898, 0.7930607199668884, -1.0631688833236694, 0.9984860420227051, 1.037604570388794, -0.04009038954973221, -1.5908479690551758, 0.30711954832077026, 1.1175891160964966, -1.345697283744812, 1.0572247505187988, -1.5537861585617065, -0.9000288248062134, 1.180068850517273, -1.0849788188934326, 0.6662015318870544, -0.7253139615058899, 1.3528261184692383, -0.1364641636610031, 1.1062331199645996, -0.2775273025035858, -0.7301269769668579, -0.9058868288993835, 0.3395512104034424, 0.954081654548645, -0.042208630591630936, 0.05911993607878685, -0.04415971040725708, 0.6573874354362488, -1.8288997411727905, 0.515746533870697, -2.1813249588012695, -0.7443293333053589, 0.4601408839225769, 1.2225193977355957, -2.047572374343872, 1.09447181224823, 0.42030036449432373, -0.6366481781005859, -2.1695642471313477, 1.1429638862609863, 0.8955739736557007, 1.6797635555267334, -0.5037193298339844, -1.5121967792510986, -0.14455023407936096, -0.7709440588951111, 1.3850905895233154, 0.06768468767404556, -2.205592393875122, -0.9360390901565552, 1.6600853204727173, -0.551665186882019, -0.3103943169116974, -0.6468674540519714, -0.22681531310081482, -0.3377947509288788, 0.6926270723342896, -0.5544835925102234, 1.3808448314666748, -0.366502046585083, -0.08894097805023193, -0.662988007068634, -0.291555255651474, 0.10108345001935959, 1.0123193264007568, -0.815822958946228, -0.18586060404777527, -0.7641056776046753, -0.3749435842037201, -0.8628830313682556, -0.8098255395889282, -0.21431559324264526, -1.1151552200317383, 0.14144384860992432, -0.3268890678882599, 0.8507034778594971, -0.18483993411064148, -1.1319291591644287, -0.03408394008874893, 1.5095572471618652, -0.11943084001541138, 1.4642930030822754, 0.8833335041999817, 1.1377960443496704, 0.7793198823928833, 0.07684005051851273, -1.4407286643981934, 0.5401078462600708, -0.13687263429164886, -0.4707719087600708, -0.6834523677825928, 0.8514192700386047, -0.8422428369522095, -1.0746608972549438, -0.9010616540908813, -0.6362974643707275, -1.087014079093933, -0.028106562793254852, -0.1531383991241455, 1.0988678932189941, -0.03311381861567497, -0.892038881778717, -1.3073756694793701, 0.14662222564220428, 0.8670119643211365, 3.2090775966644287, -0.10487986356019974, -0.12251695245504379, -0.10804381966590881, -1.4534186124801636, -0.5115614533424377, 0.9907002449035645, 0.7906493544578552, -0.34170281887054443, -1.8583146333694458, 0.6896669268608093, 0.8880993127822876, 1.2050648927688599, -0.5951094031333923, -0.3993092179298401, -0.30013880133628845, -0.014673005789518356, -0.17559614777565002, -0.7265163660049438, -0.5217191576957703, 0.37568187713623047, 0.5935606956481934, 0.20674307644367218, 1.4827877283096313, 0.9587778449058533, -0.3417870104312897, -1.0058722496032715, -1.0774322748184204, -2.2288825511932373, 0.8113047480583191, 0.13623955845832825, -0.30091696977615356, -0.2654571533203125, -0.23642085492610931, 2.775019645690918, -0.048772864043712616, 0.9577808976173401, -0.12049184739589691, -0.008940046653151512, -1.624023199081421, -0.5534864068031311, 0.8154556751251221, -0.03924920782446861, 1.350463628768921, -0.04840381070971489, -0.7114460468292236, -0.7299661040306091, -1.4113084077835083, 0.34884995222091675, -1.3412030935287476, 0.3424357771873474, 0.19632267951965332, -0.1660011112689972, -0.3333166837692261, 1.0084987878799438, 0.9129180312156677, 0.5135545134544373, -0.8764104843139648, -1.4057948589324951, -0.6906246542930603, 0.3960992097854614, -0.2924385964870453, 0.3751489818096161, -1.1075292825698853, 0.37509971857070923, 0.6156877279281616, -0.9164139032363892, -0.06423450261354446, -0.33351314067840576, 0.5679208636283875, 0.5063413977622986, 1.8924111127853394, -0.7531763911247253, 1.0589748620986938, -0.010384922847151756, 0.41770482063293457, 0.16313597559928894, -0.26724591851234436, 0.1958005577325821, 0.8279790282249451, -0.7302268147468567, -1.8403681516647339, 0.1881687343120575, -1.4522165060043335, 1.4667081832885742, 0.9349684119224548, 0.25753054022789, 0.9158172607421875, -0.5319824814796448, 0.6224501132965088, 0.12310407310724258, -0.17781615257263184, 2.9330546855926514, 0.4627670347690582, -0.6264359354972839, -1.3771589994430542, -1.6635388135910034, -0.43344026803970337, 2.270435094833374, 2.0011656284332275, -2.1169581413269043, 0.3513871729373932, -0.9149423837661743, -0.28648310899734497, 1.87372624874115, 0.07205427438020706, 0.0007797196158207953, -0.14003179967403412, 0.9105306267738342, -1.0649497509002686, 0.45754849910736084, 0.15436618030071259, -0.744165301322937, -1.4790199995040894, 0.5692160725593567, -1.146249532699585, -1.904903769493103, 0.9906712174415588, -0.9967307448387146, -2.3042960166931152, -1.8701173067092896, 1.6571006774902344, -0.5442659258842468, 0.3686337471008301, -0.17834435403347015, -0.7094868421554565, 0.24787208437919617, 0.7445037364959717, 1.5205289125442505, 0.4149245321750641, 2.0801644325256348, 0.1493149846792221, -0.4159306585788727, 1.2188113927841187, 0.8605815768241882, 0.8988009691238403, -0.4320070743560791, -0.011584565043449402, 0.1905190795660019, 0.45351630449295044, -0.2722189724445343, 0.4377744197845459, 0.6674123406410217, -0.8114345669746399, -1.1677125692367554, -0.2668311595916748, -1.2189315557479858, 0.14258776605129242, -0.31570670008659363, 1.423683524131775, 1.0125263929367065, -0.7147458791732788, 0.3446122407913208, 0.8802022337913513, -0.7164220213890076, -1.3230516910552979, 1.0481867790222168, -1.7053356170654297, 0.600459098815918, 1.8295810222625732, -0.340763121843338, -0.51121985912323, 0.23932333290576935, 0.9544809460639954, 0.16341786086559296, -0.30999821424484253, 0.15972714126110077, 0.8302736878395081, -1.421786904335022, -0.09449474513530731, 0.07646692544221878, 0.15851546823978424, -0.38410186767578125, -1.4129188060760498, -1.0182603597640991, 0.4253365993499756, 0.98757004737854, -1.3338558673858643, -2.0718472003936768, -1.8923321962356567, 2.419602632522583, -0.24423770606517792, -0.2663561701774597, -0.6755290031433105, -1.124692440032959, 1.8602604866027832, 0.6256695985794067, 0.28849464654922485, 0.8962987065315247, 0.8840014338493347, 0.4107360541820526, -1.6067783832550049, 1.4667541980743408, 1.4608654975891113, -1.6388529539108276, 0.9854339957237244, 0.01134670153260231, 0.3140886127948761, 1.081308126449585, 0.1636349856853485, -1.8501884937286377, -0.6727942824363708, -1.8205945491790771, -0.16954289376735687, -0.974631667137146, -0.5593003630638123, -0.2645290195941925, 0.2448410987854004, 0.4654839038848877, 0.34682390093803406, -0.1821630895137787, -0.12917080521583557, 0.7775542140007019, 0.3030397295951843, -0.8394461274147034, 0.4900873601436615, 0.9002130031585693, -1.4860044717788696, 0.9374179840087891, -0.5453174114227295, 0.485347181558609, 0.6994611024856567, -0.28342336416244507, -0.11592065542936325, 0.22056539356708527, 1.1435766220092773, 0.042469993233680725, -0.12866179645061493, -0.3201303780078888, 1.3020575046539307, 0.05820586159825325, -1.411534309387207, -0.5787767171859741, -1.1051533222198486, 1.3300304412841797, -0.41862916946411133, -0.43831324577331543, 1.0452755689620972, 0.7565826773643494, 1.3442822694778442, -0.005769374314695597, -1.643362045288086, -0.7528584599494934, -0.8185959458351135, 0.02291426621377468, -0.7729488015174866, -0.039141006767749786, 0.30586403608322144, -0.2691587805747986, -0.21813122928142548, 0.3616786003112793, -1.017099380493164, 0.7204318046569824, -0.10523297637701035, 1.8706086874008179, 0.8992230892181396, -0.23518913984298706, 1.1221051216125488, -1.2755296230316162, 1.968849539756775, 1.1931818723678589, -0.9534568786621094, 0.6892605423927307, -0.03748741000890732, -1.3567429780960083, -0.930943489074707, -0.4104326069355011, 1.6298463344573975, -0.3964575529098511, -0.8092941045761108, -0.8157675862312317, -0.1790880262851715, -0.7333499789237976, -0.8155755400657654, 1.1422392129898071, 0.16099725663661957, 1.1248847246170044, 0.09503551572561264, 0.6680463552474976, 0.22494570910930634, -0.8516902327537537, 0.4524131119251251, -0.7356429100036621, 1.461809754371643, 0.056686531752347946, -0.6240271329879761, -0.3087240159511566, 0.18612052500247955, 0.026730623096227646, 1.3893702030181885, -1.459441065788269, 0.7733556032180786, 1.281721591949463, 1.922197699546814, 1.5146862268447876, -0.9400398135185242, 1.7596426010131836, 0.4703059196472168, -1.1514455080032349, -0.6767635941505432, 0.9793415665626526, 0.7851172089576721, 0.9229853749275208, -1.3558963537216187, -0.4045674800872803, -0.6936088800430298, 0.38842713832855225, -0.9864745140075684, 0.24743980169296265, 1.3209456205368042, 0.5116807222366333, 0.20717298984527588, 0.423617959022522, 0.8553113341331482, -0.7523386478424072, -0.7315530180931091, -0.34988582134246826, 0.14683414995670319, 0.337516725063324, -0.5604003667831421, -0.3334929347038269, 2.0713958740234375, 0.26833969354629517, -0.4909171462059021, 0.0867118388414383, -0.19213901460170746, -0.020139964297413826, 1.3420833349227905, 0.8771296143531799, 0.9378947615623474, 0.023886969313025475, 1.5275574922561646, -0.08036366105079651, -0.9117053151130676, -1.1944957971572876, 0.9197462201118469, 0.28947049379348755, -0.8790956139564514, 1.3807705640792847, -0.6990379691123962, -1.2263665199279785, -1.9690755605697632, 2.3512303829193115, 0.35396817326545715, -0.10606183111667633, -2.408507823944092, -1.2640177011489868, -0.4252816140651703, 0.24079595506191254, -0.24080689251422882, -0.6830735802650452, 0.28334593772888184, -0.7549530863761902, -0.022665904834866524, 0.04516039043664932, -0.0492362417280674, 0.688543975353241, -0.6404033303260803, -0.8518562912940979, 0.5739071369171143, -1.0256696939468384, 0.5530444383621216, 1.2585986852645874, 0.23174597322940826, -1.7316545248031616, -0.300735741853714, 0.8933703303337097, -0.2885432839393616, -0.7255284190177917, 0.46565955877304077, -0.831680178642273, -0.8464822769165039, -0.38647857308387756, -0.1513155996799469, 0.8186390399932861, -0.25432348251342773, -0.47535333037376404, -0.780207633972168, 0.778557300567627, 0.0986286848783493, -0.754118800163269, 0.9054679274559021, -1.1227487325668335, 0.2190994918346405, -0.09212681651115417, 0.5755492448806763, -1.0247280597686768, 0.19418835639953613, 1.5901795625686646, -0.7810332179069519, 0.18075843155384064, 0.5682910680770874, -1.3145383596420288, -0.037264980375766754, -1.1747896671295166, -0.5241218209266663, 0.8552600145339966, 0.7151663303375244, 0.854972243309021, 0.6970289349555969, -0.7869400978088379, 0.685922384262085, -0.28879889845848083, 0.16147172451019287, -0.18717071413993835, 0.7061312794685364, 0.12637053430080414, -0.2261367291212082, -0.40762385725975037, -0.6097135543823242, -1.347667932510376, 0.8310710787773132, 0.23480524122714996, 0.23941050469875336, -0.15233780443668365, 1.35030198097229, 0.3163374066352844, 0.17296810448169708, -1.597967267036438, -0.8826010227203369, -0.7285105586051941, 1.0816147327423096, 0.689936101436615, 0.1465923935174942, -0.2598642110824585, 1.2287657260894775, 0.4021441638469696, 0.29235512018203735, -1.4843645095825195, -1.288714051246643, 0.8229716420173645, -1.8816033601760864, -0.24393519759178162, 1.8318346738815308, -0.5303875803947449, -0.8198762536048889, -0.8232145309448242, 0.5506033301353455, -1.1416276693344116, 1.8735969066619873, 1.3694992065429688, -1.257182240486145, -1.6220338344573975, -0.5663302540779114, 0.6658836007118225, 0.25084203481674194, 0.7626033425331116, -0.9630534052848816, -0.5952125191688538, -0.22646142542362213, 0.8945121765136719, -0.07112658768892288, 0.19750215113162994, -0.08805552124977112, 0.46580448746681213, 0.745457112789154, -0.6745474338531494, -0.8672813177108765, -2.0061123371124268, 2.204427480697632, -0.336713045835495, -0.07664714008569717, 0.6008157134056091, 0.7986276745796204, -1.3824840784072876, -0.686985969543457, 0.31536293029785156, -1.2173928022384644, -0.23235148191452026, -0.11819366365671158, -0.7065277099609375, 0.8570377826690674, -0.6065717935562134, -2.5028295516967773, -0.5181497931480408, -0.3955882489681244, -0.007207863032817841, -1.8654048442840576, -1.2592604160308838, 0.44495517015457153, 1.5878770351409912, 1.1026350259780884, 0.12240499258041382, -0.6282588839530945, -0.6169579029083252, 0.8369725942611694, -0.21276021003723145, -1.037753939628601, -0.5319015383720398, -1.4479600191116333, -0.7402247190475464, -1.9904698133468628, -0.8090559244155884, -2.649178981781006, 0.6452047228813171, 1.6631805896759033, -0.6097846031188965, -0.5548334121704102, 1.2073006629943848, -0.726753830909729, -0.25774624943733215, 0.7335841059684753, -0.6472665667533875, 0.4566407799720764, -0.506052553653717, -0.07941094785928726, 0.226389080286026, 1.392283320426941, -0.1649594008922577, -0.8620851635932922, 1.041149377822876, -0.18962401151657104, 2.0505990982055664, -0.3528830111026764, 0.9141533374786377, 0.5920729637145996, 0.7283052206039429, 0.5198004245758057, 0.40039631724357605, -0.16163653135299683, 0.3812867999076843, 0.2818247973918915, -0.259854257106781, -0.17636136710643768, 1.2899055480957031, -1.809929609298706, 0.04499081149697304, -0.5114196538925171, 1.6922872066497803, -1.009257197380066, 2.5517168045043945, 0.27151748538017273, -0.45277684926986694, 0.7783017754554749, 0.5624421238899231, -1.7339200973510742, 0.1151348203420639, 0.5969645380973816, 1.4009592533111572, 1.26650869846344, 0.5665708780288696, 1.4182316064834595, 0.8785027265548706, 1.713185429573059, 0.5062318444252014, -0.5651611685752869, -0.09456146508455276, 0.2765144407749176, 0.2960791289806366, 1.2084519863128662, -2.0801830291748047, 0.8232543468475342, -0.5484461784362793, 0.34564146399497986, 1.220015525817871, -0.43524736166000366, -1.7363234758377075, -1.441352128982544, 1.4536579847335815, 1.2042756080627441, -0.9513401389122009, -2.2949159145355225, -0.8339797258377075, 2.1567959785461426, 1.0796829462051392, -0.815654456615448, 0.7114473581314087, -0.24212278425693512, 0.9910399317741394, 0.551307737827301, 0.6295360326766968, 0.1759207546710968, 1.6339221000671387, 1.2599411010742188, -0.6335592269897461, -0.8656108379364014, 0.2853967845439911, -0.5404288172721863, -0.06902796775102615, -0.900188148021698, 0.16361606121063232, -0.5697844624519348, -0.17199715971946716, 1.9494951963424683, -1.5725066661834717, -0.11967476457357407, 0.6768812537193298, -2.550161600112915, 1.3886760473251343, 2.071373224258423, -0.4157007336616516, 1.7397890090942383, -1.5081530809402466, 0.9417456984519958, 1.1770522594451904, -0.8855880498886108, -0.897435188293457, -0.4500139355659485, -1.2818336486816406, -0.09055130183696747, 1.568543553352356, 0.6288840770721436, -0.13983508944511414, -0.8341673016548157, -1.0642942190170288, -0.4966285824775696, -0.8965734243392944, 0.2338687777519226, 0.13322870433330536, 1.7534879446029663, -1.5643774271011353, 0.2642883360385895, 0.5893656611442566, -0.6958070397377014, -0.9822604656219482, 0.9073857069015503, 0.13120052218437195, 0.3665435016155243, 0.8943644165992737, 0.990159809589386, 1.0685539245605469, 0.88179612159729, 0.11932405829429626, -1.6899914741516113, -0.6570671200752258, -1.4132448434829712, 1.7862261533737183, -0.18690960109233856, 0.45671215653419495, 0.5091333985328674, 0.12447594106197357, -0.7764351963996887, -0.9075336456298828, 1.6591986417770386, -0.9774589538574219, -1.437660813331604, -1.0792182683944702, -1.0316091775894165, 0.4912571609020233, -0.9918735027313232, 0.37739869952201843, -0.9471526145935059, 0.4156656265258789, -0.7409192323684692, -2.0307180881500244, 0.7459039092063904, -0.23346112668514252, -1.7168304920196533, -1.5648266077041626, 1.1608803272247314, -1.7281652688980103, 0.6240199208259583, 0.19563332200050354, 0.9567276835441589, 0.9916862845420837, -0.7535449862480164, 1.3851630687713623, -1.087693452835083, -0.6877674460411072, -0.034093379974365234, -1.2961610555648804, 1.018326997756958, 2.094313621520996, 0.22312399744987488, 0.04033441096544266, -0.11042066663503647, 1.209588646888733, 0.8461918830871582, 1.0998334884643555, -2.8670525550842285, 0.43270260095596313, 2.3624584674835205, -0.9460180401802063, 1.6827791929244995, 0.4652342200279236, -0.9479421973228455, -0.527360200881958, -0.17596317827701569, 1.684232473373413, -0.005598508287221193, -1.2838374376296997, 0.16975225508213043, 0.8452434539794922, 0.28028908371925354, 0.920665442943573, 1.9825818538665771, -1.0555790662765503, -0.7873882055282593, -0.31694626808166504, -0.09308940172195435, -0.3923634886741638, -1.9273265600204468, -0.9188506603240967, 1.127413034439087, 1.4187533855438232, -0.30123433470726013, -1.6901737451553345, 0.9281692504882812, -1.123509168624878, -1.0524919033050537, -0.4467827379703522, 0.523188054561615, -0.3387533724308014, -1.7577482461929321, -0.6524283289909363, 0.8076578974723816, 0.4444909691810608, 0.12085723131895065, 0.794389545917511, -0.2691969871520996, 1.6320013999938965, 1.4558833837509155, 0.8513883352279663, 1.305290937423706, 0.8631715178489685, -0.5379660725593567, 0.5391438603401184, -0.18788452446460724, -1.6509469747543335, 0.6196591854095459, -1.1270289421081543, 0.40504685044288635, 0.21921862661838531, -1.154799461364746, 1.519834041595459, -1.8380980491638184, 1.218536376953125, -0.1873958259820938, 1.0788236856460571, 0.14058129489421844, -0.9915911555290222, -1.5487273931503296, -1.3351367712020874, -1.668448805809021, -1.84798002243042, 0.02599930204451084, 0.7676464319229126, -0.1027197390794754, -1.1638461351394653, -0.17423255741596222, -0.9778326153755188, 0.07384445518255234, 0.2161293476819992, -2.4143142700195312, 0.6280608177185059, 0.604034423828125, -0.06171112880110741, -0.27243953943252563, 2.0528199672698975, -0.6635909676551819, 0.4586471915245056, -1.6872135400772095, 0.03186811879277229, -0.24884779751300812, -1.2434697151184082, 1.6212447881698608, 0.15123429894447327, 1.4580625295639038, 0.8593719601631165, 0.4116728901863098, -0.3829646706581116, 0.20710650086402893, -0.845027506351471, 0.2616454064846039, -0.790607750415802, -0.35345759987831116, -0.4279586672782898, 1.68895423412323, 1.2128044366836548, -0.08517079800367355, 1.0406969785690308, -0.5241701006889343, -0.5131880044937134, 0.4295358955860138, 1.8150509595870972, -1.150861382484436, -0.30584630370140076, 0.8076725602149963, 0.7956660985946655, 0.2235465794801712, -0.05132210999727249, 0.7380313277244568, 1.5020791292190552, 0.8205595016479492, -0.056694693863391876, -1.9874215126037598, 0.9982309937477112, 0.003224122803658247, 0.07818755507469177, -1.0705227851867676, -1.0210168361663818, 1.7321865558624268, 0.5420076847076416, 0.3053724765777588, 1.1364459991455078, 0.6844477653503418, 0.2691081464290619, 0.8210340738296509, 0.27511492371559143, -0.38933637738227844, -0.20226091146469116, 2.094301462173462, -0.38392743468284607, 0.5313023328781128, 2.5650343894958496, -0.06663789600133896, -0.08388976007699966, 0.5069190263748169, -0.9045271277427673, -0.13790902495384216, 1.3361674547195435, 1.065782904624939, 0.9382249712944031, -0.5762946009635925, 0.26595842838287354, -0.515076756477356, 1.8256999254226685, 0.9204495549201965, 0.047616202384233475, 0.7502005696296692, -1.6819013357162476, -0.036870624870061874, -0.05854296684265137, 0.6686491370201111, -0.4365732967853546, -2.3380324840545654, 1.8583958148956299, -1.7244455814361572, -0.2750453054904938, 0.4532167315483093, 1.2953895330429077, 0.058747299015522, -0.8122621774673462, -0.17149198055267334, 0.9732901453971863, -0.9835246801376343, 0.1294081211090088, -1.1072022914886475, -0.1295740306377411, 1.005579948425293, -1.3748044967651367, 1.197999119758606, -2.2044677734375, -1.1458145380020142, -1.4537255764007568, -0.2384955883026123, -1.6215006113052368, -0.3137211501598358, -0.7217759490013123, -0.19409379363059998, -0.07234596461057663, -0.7745715975761414, 0.2601335644721985, 1.2001798152923584, -1.078745722770691, 1.2962092161178589, -0.18015773594379425, -1.9301296472549438, 0.28462305665016174, 0.7962566614151001, -0.26019519567489624, 0.30697566270828247, -0.7991265654563904, 0.6080788373947144, -0.8028495907783508, -0.057207878679037094, -0.2434438019990921, 0.9149488806724548, -2.118633508682251, -1.2563103437423706, 1.8411842584609985, 0.8629192113876343, -1.979547142982483, 0.4109382629394531, 0.054416440427303314, 0.24019105732440948, -0.3381706476211548, -1.1024436950683594, 0.2338137924671173, -0.4720325171947479, -1.3284554481506348, 1.9493451118469238, 0.7995834350585938, -0.010960266925394535, -0.13873475790023804, -1.9486329555511475, -0.7052865028381348, -0.9286441802978516, 0.5382292866706848, -1.931458592414856, 0.17587143182754517, 1.2172441482543945, 0.9002020955085754, 1.1507850885391235, 0.027491796761751175, -1.4959322214126587, -0.18092377483844757, -1.6709321737289429, 0.3768741488456726, -1.8265146017074585, 0.48899734020233154, -0.5580688714981079, 0.37294480204582214, -0.6878536939620972, -1.4576401710510254, -0.6108043789863586, -0.6761788725852966, -1.9144877195358276, 0.9340372085571289, -0.4341937303543091, 1.4776188135147095, 0.7693286538124084, 2.331334352493286, -0.46709370613098145, 0.5347719192504883, 1.8560413122177124, -0.11687781661748886, 0.6693155169487, -0.06095214933156967, -0.1428632140159607, -1.3090907335281372, 0.03258459270000458, 1.4419121742248535, -0.6330651044845581, -0.03063541278243065, 1.5843851566314697, -0.467591792345047, -0.03230065852403641, 0.7200000286102295, 0.5184157490730286, -0.48354241251945496, 1.3702332973480225, 0.18823130428791046, -1.2953920364379883, -0.13154013454914093, 0.08959710597991943, -0.44053706526756287, 0.20576980710029602, -1.0061860084533691, 1.1124646663665771, -0.7451666593551636, -0.9709926247596741, -0.005489187315106392, 0.8581770658493042, 0.8032210469245911, 0.905379056930542, 1.720672845840454, 0.3225809633731842, 0.5499839782714844, -0.6421884298324585, 0.22866190969944, -0.5370370149612427, 0.5335260629653931, -1.0596036911010742, -2.2213568687438965, 0.7067712545394897, -0.21388542652130127, -0.4895268678665161, 0.5013054013252258, -0.9222452044487, 0.15941572189331055, -0.6489352583885193, 0.4903559982776642, -0.2804304361343384, 0.941003680229187, -0.11338216066360474, -0.8615462183952332, 0.13828350603580475, 0.013346405699849129, 0.33505237102508545, -0.24309676885604858, -0.6505504250526428, -0.33003056049346924, 1.3748613595962524, -1.033202886581421, 0.05953902378678322, -0.2076457291841507, -0.988913357257843, -1.0607333183288574, 0.7062268257141113, -0.2287754863500595, -0.030369358137249947, 0.7900131344795227, -0.22298280894756317, 1.508110761642456, 0.599722146987915, -0.33899757266044617, 0.1548950970172882, -0.2794382572174072, 0.8708680272102356, 0.7626234889030457, 0.6961768865585327, 0.44085901975631714, -0.15868736803531647, 1.6983613967895508, -0.055956143885850906, -0.45469725131988525, 1.2942347526550293, 0.1953326016664505, -1.775277853012085, 1.508985161781311, -0.47618457674980164, 1.1132714748382568, 2.190094470977783, 0.3530663549900055, 0.4473947584629059, 0.7191661596298218, -1.9299876689910889, 0.9975112676620483, -1.377753734588623, 1.15412437915802, -1.092998743057251, -0.8713886141777039, 0.22347310185432434, 0.2528358995914459, 0.21688957512378693, 0.4699849486351013, 0.3267545700073242, -0.09539508819580078, -0.18269990384578705, 0.3382812440395355, -0.6900221109390259, 0.047023314982652664, 0.5487117171287537, -1.9950600862503052, -0.9487320184707642, -0.2869058847427368, -0.902455747127533, -1.5298161506652832, -1.2186866998672485, 1.1458920240402222, 0.20151416957378387, -0.4259151816368103, -0.1506912112236023, -2.106266498565674, -0.6301612257957458, 1.2424033880233765, -0.025852564722299576, -0.540851891040802, -0.3480299413204193, -0.7818117141723633, -0.4885202944278717, 0.7180350422859192, 1.8486416339874268, -2.431525230407715, -0.4701055586338043, 0.4380730092525482, 0.7702670693397522, -0.14850954711437225, 0.24941392242908478, 0.539443850517273, 0.058982912451028824, 0.28753769397735596, -0.6253249645233154, 1.5168472528457642, -0.610085129737854, -1.8375158309936523, 1.1845413446426392, 1.3882135152816772, 0.1371254324913025, -0.84199458360672, -1.6440988779067993, -0.06394191086292267, -0.201401948928833, 0.2995571792125702, -1.3531256914138794, 0.8332006335258484, -0.1304839849472046, 0.5836395621299744, -0.38578328490257263, -1.6579194068908691, -0.2272949367761612, 0.6312239170074463, -0.1581975668668747, -0.1996922492980957, 0.46645262837409973, 0.5603392124176025, 0.9167394638061523, -1.983751893043518, -0.015853818506002426, 0.033756233751773834, -1.4661213159561157, -0.32681238651275635, -0.5929558873176575, 0.8652521371841431, 0.4256573021411896, -0.2335444986820221, -0.7175306677818298, -2.244840621948242, 0.5524802803993225, 0.8857057690620422, -1.3390332460403442, -0.40026965737342834, 0.7468986511230469, -0.3904872536659241, 0.7475174069404602, -0.4455646276473999, 1.0798193216323853, 2.6205930709838867, 0.6864228844642639, 1.5354714393615723, -0.1950068324804306, -1.4005751609802246, 0.4377126097679138, -0.05925627797842026, 1.8140860795974731, 0.9514070153236389, -1.2033350467681885, -0.5142884254455566, -0.8533352017402649, -0.5986783504486084, -0.2986593544483185, -0.7190134525299072, -1.4356969594955444, 0.6340908408164978, -0.5407924652099609, 1.3264530897140503, 0.29634353518486023, 0.17156629264354706, 0.8127211332321167, -0.636906087398529, -1.346675992012024, 0.6521760821342468, -1.3508291244506836, 2.1090853214263916, -0.8325138688087463, -0.9411794543266296, -0.8664024472236633, 0.3974232077598572, 0.33468785881996155, 0.23106975853443146, -1.2114311456680298, -1.2591102123260498, -0.010216501541435719, 0.9779312610626221, 0.0748591348528862, -1.8819596767425537, 1.9783128499984741, 0.3803824186325073, 0.04183865338563919, 0.284446656703949, -2.161471128463745, -0.5391823053359985, 0.3041086494922638, -0.3503345549106598, 1.171104907989502, -0.8915714621543884, 1.7047383785247803, -1.7130810022354126, 1.0918960571289062, 1.9015947580337524, -0.2993949353694916, 0.2431759536266327, -0.552335798740387, -0.7325940728187561, 0.5038686990737915, -0.18185997009277344, -0.7621927261352539, -0.8477222323417664, 0.6641071438789368, 2.3913745880126953, 0.4669826030731201, 0.7512773275375366, -0.3845667541027069, -0.06630031019449234, 0.6945987939834595, -0.7470712065696716, 0.2076980471611023, -0.12689319252967834, -1.1077786684036255, 1.5005162954330444, 0.5292592644691467, -1.5582870244979858, -0.22035345435142517, -0.8878109455108643, -0.23165416717529297, -0.48117324709892273, -0.8784040212631226, 0.039074741303920746, 0.03500647097826004, -1.3603416681289673, -0.3714776337146759, -0.040006835013628006, 0.5846149921417236, 0.5806360840797424, 0.27785420417785645, 0.734195351600647, -0.37356483936309814, -0.395193487405777, -1.2448596954345703, -0.4259602427482605, -0.9261072874069214, 0.33485373854637146, 1.0248674154281616, -0.6913897395133972, -0.5325083136558533, -2.0061066150665283, 0.5374981760978699, 0.4246957302093506, -0.39820799231529236, 0.4305093586444855, -0.06963914632797241, -1.9186826944351196, -1.1858599185943604, 1.3959892988204956, 0.31790241599082947, 1.0485270023345947, -0.8889532089233398, -0.1494847685098648, 0.011521455831825733, -0.3648742437362671, -0.14563268423080444, 1.1415576934814453, 2.0192317962646484, -1.1988294124603271, -0.08969400823116302, 1.01350998878479, -0.5187065005302429, 0.8009016513824463, -0.7448427081108093, 1.9110214710235596, 0.3227183520793915, -0.5433307886123657, -2.0223824977874756, 0.7815577387809753, 1.8362489938735962, -0.47440794110298157, -0.38593149185180664, 0.36727604269981384, 0.344801127910614, 0.5554131865501404, 0.35217124223709106, 0.7673863768577576, 0.7450182437896729, 1.4870532751083374, -0.051454752683639526, 0.2527291476726532, 0.7950483560562134, 1.2641831636428833, -0.0403965562582016, -0.19124414026737213, -0.4378063976764679, -0.009368259459733963, 0.25679612159729004, -0.003075298387557268, -1.1646342277526855, -0.46010419726371765, 0.18153296411037445, 0.18499712646007538, 0.7205038070678711, -0.2832542359828949, 0.09373100101947784, 1.657375454902649, 1.129982829093933, 0.6332632303237915, 0.23538991808891296, 0.08460769802331924, 1.6273547410964966, 1.4943406581878662, 0.4516901969909668, 0.681415319442749, -2.738783597946167, -0.04374435544013977, -0.13210587203502655, -0.20210233330726624, -0.148199200630188, -0.20676261186599731, -0.4151966869831085, -0.5613080859184265, -1.5219647884368896, -0.6867799758911133, -1.207437515258789, 0.27414625883102417, 1.5931971073150635, 0.8239222168922424, 0.17494884133338928, 0.37811675667762756, -0.5250972509384155, 1.1867752075195312, 0.44894057512283325, 0.6020882725715637, 0.08270980417728424, 0.3816434144973755, -1.0023962259292603, -0.22075708210468292, -0.6525165438652039, -2.485137939453125, 0.614276111125946, 0.9891844391822815, -1.6560537815093994, 0.17567120492458344, 0.8857656121253967, 0.022398322820663452, -0.24084077775478363, 1.4238216876983643, 0.8160249590873718, 0.2655353844165802, -0.23162956535816193, 2.05483078956604, 0.4368106722831726, 1.1093194484710693, 1.684390902519226, -0.11415659636259079, 0.37000924348831177, 0.2512214779853821, 1.1637510061264038, -0.876596987247467, -0.6737048625946045, -0.652714192867279, -0.753913164138794, -0.10021805018186569, -2.3608896732330322, 2.2464849948883057, -1.3241872787475586, -0.1972821205854416, 0.29198724031448364, -1.2132630348205566, 0.7370628118515015, 0.9276220798492432, 0.6291629076004028, -0.23619088530540466, -0.02293848805129528, -2.780918836593628, -0.8419364094734192, -0.6721378564834595, 0.13255943357944489, -1.203613042831421, 1.333530068397522, -2.03551983833313, 0.27788910269737244, 0.3914108872413635, -0.669601321220398, -0.08302459120750427, 0.06917848438024521, -0.263455331325531, 0.7421362996101379, 0.2899683713912964, -0.4380805194377899, -1.3862485885620117, -0.7712535858154297, -0.3168620467185974, -1.6128871440887451, -0.9478936195373535, -0.37378421425819397, -0.8723973631858826, 0.744394838809967, -0.17409075796604156, -0.9177995324134827, -2.1325347423553467, -0.4131704568862915, -0.4764634966850281, 0.5031798481941223, 0.27043330669403076, -0.04328599572181702, 1.035592794418335, 1.341705560684204, -0.11890244483947754, -0.07687754184007645, 0.5947466492652893, 0.5723721385002136, 2.663830518722534, -1.1070212125778198, 1.026766061782837, 0.2865336239337921, -0.10950178653001785, 2.380542039871216, -0.41917189955711365, 0.6831162571907043, 1.7866971492767334, -0.022699790075421333, 0.7491136193275452, -1.1757766008377075, 0.2672518789768219, -0.22947388887405396, 0.5409282445907593, 0.6939815282821655, 1.8563321828842163, -0.7977822422981262, 1.0260933637619019, 1.1465468406677246, 0.008753135800361633, -0.30345869064331055, -1.0723257064819336, -0.13236935436725616, 1.0314196348190308, -0.8721564412117004, 0.18639108538627625, 1.7569290399551392, 1.2286990880966187, 0.5939821600914001, 0.6884946823120117, -0.5112751126289368, 0.8036488890647888, 1.5612282752990723, -0.5413697361946106, -0.9857931733131409, -0.6833561658859253, -0.3268856704235077, -0.8321549892425537, 1.9630519151687622, 0.5921814441680908, -0.6365259289741516, -0.1999572217464447, -2.1503798961639404, 0.12741230428218842, 0.3783285915851593, 1.793403148651123, 0.9434896111488342, -0.6723551750183105, 0.7305397391319275, 2.249568462371826, 0.1113693118095398, -2.8413753509521484, -0.8056257963180542, 1.4204752445220947, 0.8032902479171753, 0.7447513341903687, -1.5367748737335205, -1.0531069040298462, 0.06793759018182755, -1.4702606201171875, -1.713527798652649, 0.07275565713644028, -0.16361963748931885, -1.8104110956192017, 0.14328131079673767, 0.16073139011859894, 0.7221830487251282, -1.80058753490448, 1.4340767860412598, -0.46598315238952637, 0.6211212277412415, 0.017969880253076553, -0.43220916390419006, -0.34770816564559937, 0.9736779928207397, 1.176411509513855, -0.12562298774719238, 1.2133569717407227, 0.9353994131088257, -0.07802136987447739, -1.4647196531295776, -1.9571210145950317, 0.10173092782497406, -0.3440544009208679, 1.2444955110549927, 0.05703749507665634, 0.9481421709060669, 0.9635105729103088, 0.6931454539299011, 1.2281692028045654, 0.8122485280036926, -1.3509595394134521, -0.6231693625450134, 2.1779022216796875, 1.45980703830719, -0.9968166947364807, -0.08842547982931137, -0.35750848054885864, -1.7775923013687134, 0.029816044494509697, 0.36385661363601685, -0.7048423290252686, 0.7806423306465149, 1.2412779331207275, -1.2911436557769775, 0.6971865296363831, 0.5588057041168213, 0.4398609697818756, 0.674209713935852, -0.576367199420929, -0.8451021909713745, -0.570101797580719, -0.3745742738246918, 0.4300782084465027, -0.61285400390625, -1.132347822189331, -0.10009989887475967, 1.3949869871139526, 2.239095449447632, -0.44633832573890686, -0.30372104048728943, -1.5758286714553833, -0.6876839399337769, 0.0825662836432457, 1.1377604007720947, 1.8511395454406738, 0.11072598397731781, 0.027208665385842323, -0.3483021855354309, -0.33875468373298645, 0.6507903337478638, 2.175955295562744, 0.32101982831954956, 1.3262187242507935, 0.41160714626312256, 1.1093288660049438, 0.7825478911399841, -1.3453346490859985, -0.5075151324272156, -0.45029929280281067, 0.4641740918159485, -1.9985588788986206, -0.7409083843231201, 0.7010624408721924, -1.3938171863555908, 0.8465547561645508, -1.71914803981781, 0.533313512802124, -1.1904172897338867, 0.34207451343536377, -0.30019545555114746, 1.0044896602630615, 0.6922891736030579, 1.0484875440597534, -0.15103018283843994, -2.2976927757263184, -0.12443467229604721, -0.7996337413787842, 0.7430132031440735, -0.8086096048355103, -0.5073367357254028, -0.6934832334518433, 0.8880487680435181, -1.5326682329177856, -0.49719345569610596, 0.5914134979248047, 1.1191374063491821, 0.1539863497018814, -1.2935088872909546, -0.5708860754966736, 1.3834130764007568, 0.6251969337463379, -0.6522842645645142, -0.8380246758460999, -1.25921630859375, 0.31412968039512634, 0.519008994102478, 0.5709441900253296, 1.6640055179595947, 2.191190242767334, -0.9768598675727844, -1.8607845306396484, -0.2392471432685852, 0.5643418431282043, 0.3393057882785797, 1.5300394296646118, 2.691032648086548, 0.6581172943115234, -1.1971417665481567, -1.9604665040969849, -0.7572481036186218, -1.0059765577316284, -0.4608847200870514, -0.7702611684799194, -1.313157558441162, -0.050987113267183304, 1.4956645965576172, 0.845107913017273, -0.4244851768016815, 1.3252272605895996, -0.046678170561790466, -0.5346533060073853, 1.6594328880310059, -0.34037086367607117, -1.2554861307144165, -1.1867049932479858, 0.1320168524980545, 0.3407352566719055, -2.676280975341797, -1.4161862134933472, 1.3185614347457886, -0.21153023838996887, -1.0316458940505981, 1.6730210781097412, 1.7247806787490845, 0.9333770871162415, -1.3966127634048462, 0.18160480260849, -2.004697322845459, -1.4949337244033813, 0.5959464907646179, -0.3635046184062958, -0.227569580078125, -0.6242551207542419, -0.719311535358429, -0.07099482417106628, -0.7383365631103516, -0.7051663398742676, 0.454219788312912, 0.2617644965648651, -1.2157589197158813, -0.2559102177619934, -1.1241819858551025, 1.2277945280075073, 0.02917253039777279, 1.8709052801132202, -0.31155499815940857, 0.2730621099472046, -0.7915363311767578, -0.011404871009290218, -0.13276085257530212, 1.8672699928283691, 0.7072890400886536, -0.17224977910518646, -0.010970978066325188, -0.585956335067749, 2.028398275375366, -0.11508170515298843, 0.1773008406162262, 0.9313291907310486, -1.1518586874008179, 0.42235690355300903, 0.08605599403381348, -1.4867496490478516, -0.843472421169281, -0.9810561537742615, 0.35184720158576965, 1.3421339988708496, 1.0194528102874756, -1.0779691934585571, 0.7711867690086365, 0.46834906935691833, -0.7219563722610474, -0.7201000452041626, -1.3939331769943237, 1.977890133857727, 0.6587372422218323, 0.7485946416854858, -0.8065505623817444, 0.44040626287460327, 1.1274018287658691, 0.17676444351673126, -0.44621872901916504, 1.1843849420547485, -1.6755911111831665, -0.6371254324913025, -0.6140120029449463, 0.04639604315161705, -0.410108357667923, -0.5726332664489746, 0.6794228553771973, 1.1235787868499756, -1.6474248170852661, 1.5933817625045776, -0.7915603518486023, 0.4745154082775116, 0.11929479241371155, 0.7931172847747803, -1.679863691329956, -0.18157167732715607, 0.10119783878326416, -0.42478296160697937, -0.06213635206222534, -1.0443800687789917, 0.8785351514816284, -1.1986949443817139, -0.6851797103881836, -0.23736849427223206, 0.843338131904602, 1.298579454421997, -0.9412797093391418, -0.5114936232566833, -1.693394422531128, 0.5083450675010681, -2.5458483695983887, -0.26584622263908386, -0.23985573649406433, 0.6579164862632751, 0.21163485944271088, 0.016201235353946686, 0.40268102288246155, -1.1960515975952148, -0.7155907154083252, -1.7703797817230225, 0.9136853218078613, -0.3575318157672882, 1.3388985395431519, 0.4156738221645355, -0.6856092214584351, 0.8290537595748901, 0.9297827482223511, -0.6508296728134155, -0.5588278770446777, -1.1415988206863403, -1.5391764640808105, -1.1881120204925537, -2.0378546714782715, 0.498756468296051, -1.0935050249099731, -0.7972960472106934, -0.06526371836662292, -0.06783448159694672, 0.4757324457168579, -1.488629698753357, 0.33368584513664246, -1.0335956811904907, -1.03957200050354, -0.07043100148439407, 1.0616124868392944, -0.46982425451278687, 0.6138663291931152, 2.0885818004608154, 0.8254902958869934, 0.437369704246521, 0.14847518503665924, -0.7056841850280762, 0.9726157784461975, 0.5251265168190002, 1.7175596952438354, 0.6461357474327087, 1.027052640914917, 0.9106897711753845, -0.797234833240509, 0.2282954454421997, 0.07050300389528275, 0.47168079018592834, 2.0996601581573486, -0.8091989755630493, -1.0998024940490723, -1.083579182624817, 1.9017897844314575, 1.7954658269882202, -0.5874199271202087, 0.018008090555667877, 1.2229316234588623, -1.1284692287445068, 1.1878349781036377, -0.742798388004303, 0.2585618197917938, -0.005824401509016752, -0.668436586856842, 0.46365854144096375, -0.3516216576099396, -0.8645181059837341, -0.5108478665351868, 1.0888454914093018, -1.686348557472229, 0.691499650478363, -0.21537591516971588, 0.4610542953014374, 0.07408667355775833, -0.7126765847206116, 2.215510129928589, -0.28645816445350647, -1.1878278255462646, -0.07529067248106003, -2.515324592590332, 1.3148013353347778, 0.27810561656951904, 0.10161790251731873, -0.8318901658058167, 0.08073018491268158, 0.7992938756942749, -1.6636269092559814, 2.397611141204834, 0.8720988035202026, 1.0759615898132324, 1.635422706604004, -3.0253922939300537, -0.17634186148643494, 0.6778098344802856, -0.0017525851726531982, -1.244152307510376, 1.4180371761322021, -0.8310644626617432, 1.408729076385498, 0.5313690304756165, 1.449735403060913, -0.8433931469917297, -0.43651172518730164, 0.3806290626525879, -0.17690393328666687, 0.2356090545654297, 0.49744993448257446, -0.647224485874176, -0.32472991943359375, -1.0479207038879395, 2.2054734230041504, -1.6851011514663696, -0.5229668617248535, -0.693030059337616, -0.1484411209821701, 0.5252129435539246, -0.5328835844993591, -1.2276394367218018, 0.8386577367782593, -0.3166147470474243, -1.4703326225280762, 1.6236553192138672, 0.47365865111351013, 1.0424600839614868, -0.6252248287200928, 0.27030470967292786, 0.7862938046455383, -1.1142629384994507, -1.2352653741836548, 0.3463526964187622, 1.3321256637573242, 0.56412672996521, -0.3738073706626892, 0.3071642816066742, 0.6282113194465637, -0.5090867280960083, -0.7295413017272949, -0.16370275616645813, -0.2500055432319641, -0.6372682452201843, -1.0130658149719238, 1.1007181406021118, -1.5143499374389648, -0.10187114030122757, 0.2356119006872177, 0.30525028705596924, 0.31837448477745056, 0.4557472765445709, 0.6621674299240112, -1.5370031595230103, 0.4549107849597931, 0.24337553977966309, 1.983166217803955, -1.245788335800171, 0.9163179993629456, 0.811091959476471, 2.035529136657715, -0.24133092164993286, 0.679142951965332, -0.6992821097373962, 0.5563198328018188, -0.26676252484321594, -0.5404525995254517, -0.6726740002632141, -0.14905744791030884, 1.4191672801971436, 1.9582672119140625, 1.158993124961853, 1.417606234550476, -0.36204734444618225, -0.043913740664720535, -0.10846785455942154, -0.6122146844863892, -0.255024790763855, -0.03551362082362175, 0.6919190287590027, 0.121281199157238, -1.6847933530807495, 0.2003384381532669, 1.8712695837020874, -3.1587188243865967, -0.19284318387508392, 0.8510387539863586, -0.9169166684150696, 0.22805152833461761, -0.2524670958518982, -0.47530317306518555, -0.37227317690849304, -0.7402575612068176, -0.34276673197746277, 0.6789579391479492, -0.12839211523532867, -1.0946264266967773, 0.4481971561908722, 1.1502128839492798, -0.9438019394874573, 1.7576789855957031, 1.0594791173934937, -0.8711368441581726, 0.11927641928195953, 0.7611719965934753, 0.12967075407505035, -0.5016900300979614, -0.26296505331993103, 0.5634155869483948, -1.1357094049453735, -1.5049161911010742, -0.5709276795387268, -1.001194715499878, 0.5869014263153076, 1.0625097751617432, 0.4759872257709503, -0.2551210820674896, 0.46067529916763306, 0.4187207520008087, -0.8227472305297852, 1.9416755437850952, -1.5443276166915894, 0.12711594998836517, 4.220885276794434, -1.9402289390563965, -0.18960264325141907, -0.4410156011581421, 0.36546385288238525, -1.6450854539871216, -0.5983962416648865, -0.41120827198028564, -0.9026968479156494, 0.3394673764705658, 0.06848020851612091, -0.7870467901229858, 0.6324130892753601, 0.4904855191707611, 1.0636686086654663, 0.379377543926239, 1.9620213508605957, -0.7208548784255981, 0.7227257490158081, 0.5950023531913757, -1.088854432106018, -0.4999931752681732, -1.1851228475570679, 1.0765901803970337, 2.365109443664551, 0.48527413606643677, 0.25401538610458374, 0.02814287319779396, -1.1540610790252686, -1.385431170463562, -0.0526479072868824, 0.6318569183349609, 1.9423651695251465, 0.7573816776275635, 1.5399781465530396, -1.1565067768096924, 0.3606996238231659, 0.11338459700345993, 1.7590540647506714, 0.4938417971134186, -0.5684797167778015, 0.15363594889640808, 1.0388375520706177, -0.6964346170425415, -0.6088607311248779, -0.2053930163383484, -0.03199605643749237, -1.078898549079895, -3.361445903778076, 0.4071260988712311, 2.3224401473999023, -0.6318071484565735, 0.3952675759792328, -0.978659451007843, 0.5689967274665833, 0.10341361165046692, 0.8988220691680908, -1.5542830228805542, -2.1104886531829834, -0.5042503476142883, 1.8139631748199463, -1.2200440168380737, -0.36281439661979675, 1.0974814891815186, -0.6468775272369385, -1.3357409238815308, 1.461979866027832, 1.089784860610962, -0.35434481501579285, -0.39104005694389343, -1.4204223155975342, 0.2892701029777527, -1.027416706085205, -1.483135461807251, 0.9273710250854492, 1.019245982170105, 1.804552435874939, -0.04643774777650833, 0.6589136719703674, 0.2318384200334549, -0.44574373960494995, 1.494429349899292, 0.7181221842765808, -0.9565778374671936, 0.09354259818792343, 0.7979321479797363, -0.6066347360610962, 1.6266940832138062, -1.3431705236434937, 0.6768295168876648, -0.32263821363449097, -0.7022845149040222, -1.4857194423675537, -1.0484914779663086, 0.033998630940914154, -1.002910852432251, 0.018826235085725784, -0.4917125105857849, -0.497236430644989, 0.7431994080543518, 0.6683259606361389, -0.6439616084098816, 2.180767774581909, 1.1883612871170044, -1.5165064334869385, -0.46253180503845215, 1.1822277307510376, -1.4376530647277832, 1.5298089981079102, -0.2994319498538971, -0.8784998655319214, 0.188882976770401, 0.05264364928007126, -0.2590266466140747, -1.2735319137573242, 0.3491398096084595, -0.0518740713596344, 0.09566543996334076, 0.7615517377853394, 0.45115622878074646, -0.22500742971897125, 0.9426460862159729, -1.3766711950302124, 0.8276746273040771, 0.14185360074043274, -0.6431323885917664, 1.232901692390442, 0.2812645137310028, 0.31430941820144653, -0.6692365407943726, 0.3075238764286041, -0.466950386762619, 0.49176302552223206, 0.04626114293932915, -1.8093006610870361, 0.1458033174276352, -0.8771179914474487, -0.33625540137290955, 0.8817632794380188, -0.3649834394454956, -0.26862385869026184, -0.980805516242981, 0.7682994604110718, -1.5191460847854614, -0.20189917087554932, -0.15491780638694763, 0.8017578125, 0.8295215368270874, 0.744181215763092, 0.44292718172073364, -1.3876615762710571, -0.9512378573417664, 1.854630708694458, 0.030621344223618507, -1.4138914346694946, 0.5079941749572754, 1.2333793640136719, 1.1734100580215454, 0.4343075752258301, 0.30619630217552185, 0.1611373871564865, -0.31739944219589233, -1.2063305377960205, -0.014413134194910526, -0.1751304417848587, 0.4540095925331116, 1.2031795978546143, 0.8830978274345398, -1.4471455812454224, 1.4743719100952148, -0.7041507363319397, -2.989454507827759, 0.527614414691925, 0.0036812257021665573, 0.6987840533256531, 1.8839020729064941, -1.2226991653442383, -0.5030950903892517, 1.325534462928772, -0.3901531398296356, -1.270569920539856, -0.1110595241189003, -0.42941907048225403, -0.12177518755197525, -0.6222711205482483, 0.7223547697067261, -1.3048055171966553, 0.4787944257259369, 0.6525115966796875, -2.38588547706604, 1.1187862157821655, 1.014771819114685, -0.3650687634944916, -0.8509971499443054, 0.7551694512367249, -0.9148285984992981, 0.3110731244087219, -1.4559099674224854, 0.40727636218070984, -0.6364014148712158, -0.026597386226058006, 0.7532720565795898, 1.020592451095581, -0.6858674883842468, -0.34670913219451904, 1.04159414768219, -1.1462275981903076, 0.5017291903495789, 0.35107919573783875, 0.20567303895950317, 0.48283568024635315, -1.3421471118927002, 1.8880743980407715, -2.707338809967041, 0.8387281894683838, 0.8791232109069824, -0.8032405376434326, 3.2481751441955566, 0.3568982779979706, 1.5372040271759033, 0.24562431871891022, -0.8679690361022949, 0.7004163265228271, 1.1993811130523682, -0.7138406038284302, -0.05166569724678993, 2.2212233543395996, -1.3879153728485107, 0.4247910678386688, 0.8526557683944702, 0.09420149028301239, -1.0395317077636719, 0.704129159450531, -0.34425148367881775, 0.19470496475696564, 1.3354710340499878, 0.8738160729408264, 0.7744562029838562, 0.30787190794944763, -1.5926357507705688, -1.2364314794540405, -2.246371030807495, 0.6960383653640747, 0.3888005018234253, -2.5334737300872803, -0.36357083916664124, 0.8773058652877808, -0.43531954288482666, -1.1709634065628052, -0.8443357348442078, 0.5592186450958252, -1.5487926006317139, -1.8328999280929565, 1.163782000541687, 0.04326065629720688, -0.18102799355983734, 1.5715006589889526, 0.5116142630577087, 0.2098536491394043, -0.6363865733146667, 1.6873836517333984, 1.137365698814392, -1.4559653997421265, 0.7505447864532471, 1.6478445529937744, 0.9711555242538452, -0.8755024075508118, -2.106855869293213, 0.22908279299736023, -1.8002259731292725, 0.46329864859580994, 1.2226969003677368, -2.7098352909088135, 0.6603510975837708, -0.02129831351339817, -0.3838256597518921, 0.49503350257873535, 0.18957367539405823, 0.9895118474960327, -0.9748775959014893, 0.8343259692192078, -1.2236902713775635, 1.6283020973205566, -0.19612638652324677, -0.7980479598045349, 0.16384711861610413, 1.7084641456604004, 1.0019524097442627, 2.0188567638397217, 0.7855908274650574, -2.3661816120147705, -0.8505507111549377, -0.4451366662979126, 0.15468193590641022, 0.33981773257255554, -0.5018674731254578, -0.46522286534309387, -0.9336957931518555, 1.2888967990875244, -1.5122666358947754, -0.025791089981794357, -0.7066328525543213, -2.1248230934143066, -0.9932958483695984, -0.6834069490432739, 0.9744697213172913, -0.05854301154613495, 0.31944870948791504, 0.5031817555427551, 0.4033094048500061, -0.20846670866012573, 0.8045318126678467, -0.02564929984509945, -1.115075945854187, -0.2692093253135681, -1.049005389213562, 0.055247705429792404, -0.2194160372018814, -0.28232541680336, -0.5412094593048096, -0.5276620388031006, -0.7164735794067383, -1.0022847652435303, 1.993405818939209, -0.612914502620697, -1.4777247905731201, -0.019762910902500153, -1.283980131149292, 0.13599461317062378, -0.36790892481803894, 0.1748116910457611, -1.017777681350708, -0.0896407812833786, 1.4936782121658325, 2.3453805446624756, -0.30708417296409607, -0.8087408542633057, -0.258250892162323, -1.0807898044586182, 0.5489975810050964, 1.6455936431884766, -1.1047152280807495, 0.12785157561302185, -0.5347180962562561, -0.13191694021224976, -1.1636378765106201, 0.7724608778953552, -0.18185558915138245, -0.03742599114775658, -0.6207998991012573, -0.029302140697836876, -2.159921407699585, -1.8411496877670288, 0.21904462575912476, 0.3753695487976074, -1.8485995531082153, -0.7595717906951904, -0.41702648997306824, 1.377514362335205, 0.866523027420044, -1.9324768781661987, 0.9904283881187439, 1.050901174545288, 0.09835602343082428, 0.287813276052475, -0.36657285690307617, 1.2029523849487305, 0.4529803693294525, -0.31674209237098694, -0.31292223930358887, 0.6358843445777893, 0.2505430579185486, -0.8747249841690063, 2.0289509296417236, 0.42198553681373596, 0.19869309663772583, -1.1235098838806152, -0.03006061352789402, -0.046665750443935394, -0.7131187915802002, -0.906511664390564, 0.18255794048309326, -2.028841018676758, 0.9836425185203552, -0.14773747324943542, -0.4732407331466675, 0.1262822449207306, 0.7263981699943542, -1.8342690467834473, 1.1720688343048096, 0.27326053380966187, 0.5321009755134583, 0.4162828326225281, 0.11533398926258087, 1.136766791343689, 0.2532060742378235, 0.5219277143478394, 1.5091922283172607, -1.2315051555633545, 0.36362457275390625, 0.6149455308914185, 0.30179405212402344, -0.09047073870897293, 0.42086270451545715, 1.0732609033584595, 2.867863893508911, -0.9664469957351685, 0.8149204254150391, 0.23920662701129913, -1.3662960529327393, -0.954742968082428, -1.4839688539505005, -1.666796326637268, -1.6661556959152222, -0.1564578413963318, -1.1213217973709106, 1.2145328521728516, 1.0598703622817993, 0.522946834564209, -0.6689679026603699, -0.14802855253219604, 0.025798222050070763, -0.6013841032981873, 0.08323530107736588, -1.350003719329834, 0.30399248003959656, 0.7874453663825989, -0.6441633105278015, -1.2175040245056152, 0.2436850517988205, -0.3558048605918884, 1.0955164432525635, 0.1133371964097023, 1.9389281272888184, 0.2863733172416687, -0.6919798851013184, 2.1682627201080322, -0.544693648815155, -0.16061268746852875, 0.898882269859314, 1.6998764276504517, 0.2382865995168686, 0.9004465937614441, 0.4907313883304596, 0.8325640559196472, 1.2814208269119263, -0.06525426357984543, 0.9213560819625854, 0.2702537775039673, 0.7787894606590271, 0.02286594919860363, 0.3752850294113159, -0.7552647590637207, -0.22491683065891266, 2.040390729904175, 1.3028173446655273, 0.7448198795318604, 0.0068356613628566265, 0.5375491976737976, -1.1423944234848022, -1.308775544166565, -1.8233577013015747, 3.4047012329101562, -1.0807899236679077, 0.2938878536224365, -1.0662102699279785, 0.3503608703613281, 1.72251558303833, -0.19511611759662628, -0.36349794268608093, 0.3581506013870239, 0.6961183547973633, 1.0511020421981812, 1.1756597757339478, -0.09592778235673904, 1.149657130241394, -0.6458962559700012, -0.32082799077033997, 1.6265453100204468, -2.2925326824188232, -0.37558871507644653, -1.0432933568954468, 0.08606976270675659, 1.195968508720398, -2.138483762741089, 1.4822334051132202, 0.0886596068739891, -0.07098895311355591, 0.5153577327728271, 0.6601637601852417, -0.5439801812171936, -2.066014528274536, 0.7019550204277039, -0.3823496997356415, -1.0589654445648193, -2.0775179862976074, -0.34852445125579834, -0.032210227102041245, -0.9099568128585815, 1.5435274839401245, -0.8570417761802673, 1.6219682693481445, -1.3334112167358398, -0.45277923345565796, 0.5396543741226196, -0.8766995072364807, 1.7210808992385864, -1.5417758226394653, -0.9218294024467468, -1.3483448028564453, -1.0723822116851807, -0.6175333261489868, -1.652854561805725, 0.8730388879776001, -1.7685421705245972, -0.718251645565033, 0.885001540184021, 1.237121343612671, -1.3565489053726196, 1.3150838613510132, 1.8488556146621704, -0.6083797812461853, 0.44868963956832886, 0.19255653023719788, -0.5814606547355652, -0.21971085667610168, -1.37706458568573, 0.9049989581108093, 2.1595511436462402, -0.3956540822982788, -0.3339904546737671, 0.8815671801567078, 0.00208826782181859, 1.534775733947754, -1.002116322517395, -0.42787063121795654, -0.3201715350151062, 0.3319723904132843, 0.10592740774154663, -0.10341154783964157, -0.5169848203659058, 0.6849259734153748, -0.3533257246017456, 0.21609118580818176, -1.8447660207748413, -0.0808730497956276, -0.781565248966217, 0.28846436738967896, -0.441675066947937, -0.08179429173469543, -0.553126335144043, -0.9846407771110535, 0.43292149901390076, -0.30800843238830566, 2.1449642181396484, 1.058661699295044, -1.5576536655426025, 0.1065366268157959, -2.044767379760742, 1.390284776687622, -0.36555764079093933, 0.8850664496421814, 0.448025643825531, 0.05233561992645264, -1.1516307592391968, -0.3681734800338745, -0.5556731224060059, -0.21102792024612427, 1.147889494895935, 1.2564626932144165, 0.9306133985519409, -0.9176715016365051, -0.3928210437297821, 0.789493978023529, 0.1160312071442604, -0.07594608515501022, -1.4193207025527954, 0.32610681653022766, -0.48636069893836975, 0.4318445026874542, 0.44982320070266724, 1.1762137413024902, -1.7338000535964966, -1.190172553062439, -1.3181006908416748, 1.2809877395629883, 0.02915472723543644, 0.1314784288406372, -0.15780667960643768, -0.12441806495189667, 0.031051810830831528, -0.758787214756012, -0.8666778206825256, -0.041754499077796936, -0.20735934376716614, -0.1017632782459259, -0.48918476700782776, -1.2859517335891724, 0.9545792937278748, 0.12684759497642517, 1.309800386428833, 1.2976100444793701, -1.1573606729507446, -1.035058856010437, 0.5269126892089844, -0.5753083825111389, 1.3081254959106445, -0.3824535310268402, 0.7127724885940552, 0.5265127420425415, 0.2959114909172058, 0.28386232256889343, -0.3448408544063568, 0.9733070731163025, 1.0650087594985962, 1.9568290710449219, 0.6145164966583252, 0.730597734451294, -0.4348834753036499, -0.6472846865653992, -1.1630276441574097, 1.6045506000518799, -0.06718450039625168, 0.29903316497802734, 0.8402260541915894, 2.1492364406585693, 0.17552310228347778, -0.9924218654632568, -1.258963942527771, 0.3317492604255676, 2.527618408203125, -1.5583864450454712, 1.3671061992645264, 0.10800344496965408, -0.9418590068817139, -1.2413175106048584, 0.515129804611206, 0.1854725331068039, -1.3158438205718994, 2.1299684047698975, 0.9362184405326843, -0.09411696344614029, 1.3395496606826782, 0.8152245879173279, 1.3355036973953247, -1.4305973052978516, 0.6617035865783691, 0.3134773373603821, -1.4911706447601318, -0.5750085115432739, 0.7624638676643372, 1.1912505626678467, 1.2967053651809692, -0.4429849088191986, -0.7447178363800049, -0.9835545420646667, -1.6336135864257812, -0.995568037033081, -0.7682759165763855, -0.2145499736070633, -0.9825613498687744, 0.3662050664424896, -0.358150839805603, 0.1776101142168045, -0.04068902134895325, -1.155023217201233, -0.1433345228433609, 2.6148593425750732, -1.1753467321395874, 0.524094820022583, -0.4867640733718872, -0.2745588421821594, -0.9401569366455078, 1.6375211477279663, 0.7630386352539062, 1.414941430091858, -0.10380028933286667, 0.6651278138160706, 0.434170663356781, -0.9615524411201477, -0.055198222398757935, -0.3385639488697052, 0.4672957956790924, -0.6803130507469177, 1.7893812656402588, -0.7110164165496826, -1.0923657417297363, 0.4979779124259949, 1.0775033235549927, -0.03544323146343231, 1.0317652225494385, -0.3811262845993042, 0.5691908001899719, -1.0980901718139648, 0.10159313678741455, -0.5921430587768555, -1.1306883096694946, -0.4320632815361023, -0.04501635208725929, 0.5562575459480286, -2.8660919666290283, -0.16863538324832916, -1.0440068244934082, -0.032639071345329285, 0.6803315281867981, -1.2239230871200562, 0.802260160446167, -1.6313183307647705, 0.3615890145301819, -0.4968377351760864, -0.10766471922397614, 0.4417171776294708, -0.015555662102997303, 0.388808012008667, -0.07660432904958725, 0.7667402625083923, 0.19660548865795135, 0.24175511300563812, 2.7346532344818115, 0.7427078485488892, 0.46464598178863525, -0.3710787296295166, -1.319348931312561, 0.1375644952058792, 1.0456738471984863, -0.8266350030899048, -0.7514632940292358, -0.5790676474571228, -0.17867659032344818, -0.332031786441803, -0.8237345814704895, -1.76717209815979, -0.6820133328437805, 0.06609081476926804, 0.3702285587787628, 0.15474827587604523, -0.5165680050849915, 0.18036043643951416, 0.130032017827034, 0.9435136914253235, 0.14251548051834106, 0.08893338590860367, 0.04951515421271324, -0.5562775731086731, 0.6276188492774963, 1.4932485818862915, 0.8758636116981506, -0.09665089845657349, 0.05448874086141586, -0.4860948920249939, 0.8192882537841797, 1.1316642761230469, 0.1325690895318985, 0.3496108651161194, -0.13877426087856293, 1.1364842653274536, 0.39518454670906067, -0.9614087343215942, 0.37511929869651794, -0.9787151217460632, -0.8561055064201355, 0.11717697978019714, 0.18733800947666168, 0.238009512424469, -2.511688709259033, 1.2995637655258179, 1.7925888299942017, -0.9671485424041748, -6.906451744725928e-05, -0.8454243540763855, 0.6146920919418335, 3.3139591217041016, -0.33374008536338806, 0.8271836638450623, 1.9091393947601318, 1.1052441596984863, -0.33737874031066895, -0.10134010761976242, -0.031550440937280655, 0.6725450158119202, 0.7776493430137634, -1.2849830389022827, 1.6016802787780762, -0.230581596493721, -0.10625044256448746, -0.14995582401752472, -0.43426331877708435, 0.22304318845272064, -1.4984382390975952, 0.03227502107620239, -0.8630057573318481, 0.21149887144565582, -0.6535407304763794, -0.033835139125585556, 1.2405990362167358, -1.252805233001709, 0.8879921436309814, -0.37034106254577637, 1.0623737573623657, 0.7712841629981995, -2.6100289821624756, -2.2147574424743652, -2.3831000328063965, 1.0624626874923706, 0.34350547194480896, 1.3409686088562012, 1.1634982824325562, -1.3668800592422485, 0.9719542860984802, 1.514791488647461, -0.566419243812561, 1.0098241567611694, 0.7282625436782837, -0.42207688093185425, -0.39588040113449097, -1.8967410326004028, 1.2238322496414185, -1.7103660106658936, 0.7476226091384888, 0.4438837170600891, 1.4348933696746826, 2.6803650856018066, -0.6006720066070557, 1.0413897037506104, 1.2823512554168701, -0.6060663461685181, -1.3780571222305298, -0.16092686355113983, -0.11997324973344803, 0.9172770380973816, -0.1061377078294754, -0.6781458854675293, -0.644030749797821, 0.6357032060623169, 1.5458447933197021, 0.6140052676200867, -0.7667520046234131, -0.836503267288208, -1.0928926467895508, -1.0177205801010132, 0.4708666503429413, -0.6538408398628235, 1.0811183452606201, 1.9373282194137573, -0.8220207095146179, -1.7327277660369873, 1.379433035850525, 0.27052944898605347, -0.6829657554626465, -0.32829928398132324, -0.5543226599693298, -1.2104644775390625, 1.480236291885376, -0.9955865144729614, 0.29982638359069824, -0.06318586319684982, -1.508392572402954, -0.6306004524230957, 0.15812210738658905, 1.9181270599365234, -1.0221816301345825, -0.7999235391616821, 1.609715223312378, -0.3962647020816803, -0.9365847706794739, 1.2435023784637451, 0.6403670907020569, 0.5902909636497498, -1.1312006711959839, -0.829034149646759, -0.3927612006664276, 0.7165935635566711, 1.515822172164917, 1.2263340950012207, 1.850795030593872, -3.4531102180480957, -0.14234620332717896, 0.09112066775560379, 0.6105612516403198, 0.18123240768909454, 0.7265782952308655, -1.362310767173767, 0.9736060500144958, 0.7012841701507568, -0.6636862754821777, -0.9682539701461792, -0.5414318442344666, 0.31795552372932434, -0.20893996953964233, -1.0030651092529297, 0.36741766333580017, 0.8994666337966919, 0.04458072781562805, 0.3366645872592926, 0.6590813994407654, -1.2140105962753296, -0.04822993278503418, -0.7643139362335205, 0.09767413139343262, -0.7267301678657532, -0.3060964047908783, -0.6220823526382446, 1.6656113862991333, 1.758162021636963, -1.2379698753356934, 2.3775792121887207, 1.5585880279541016, 0.987116813659668, 0.15474377572536469, 0.605745792388916, -0.7676166892051697, 0.004256190732121468, -0.8383384943008423, -0.1379484236240387, -0.53619384765625, -1.2008171081542969, 0.37687286734580994, -1.149502158164978, 0.6893924474716187, 1.0441811084747314, -0.485889732837677, -0.9317042827606201, 0.46336281299591064, 1.7639719247817993, 0.037531353533267975, -0.6599268317222595, -0.0990045890212059, 1.027967095375061, 1.2821638584136963, -1.0073591470718384, 0.012439117766916752, 1.436923861503601, 1.8426554203033447, 0.9070237874984741, -0.9600558280944824, 0.23278099298477173, -0.008038570173084736, 0.2635807991027832, -1.6789729595184326, -0.4734096825122833, -0.2806832194328308, -0.9131960272789001, -0.1570415496826172, -0.3457973301410675, -0.02033683843910694, 0.4238241910934448, -0.9467912316322327, -0.26914286613464355, 1.425925612449646, -1.2545719146728516, 1.93618905544281, -0.8303780555725098, -0.7112483978271484, -0.18611755967140198, 0.38195183873176575, 0.02806425467133522, -1.806393027305603, 0.5500019788742065, -1.6268550157546997, -0.6842203140258789, 2.0101847648620605, 1.616829752922058, -0.6088389754295349, -1.7644822597503662, 1.309682011604309, -0.6164782643318176, 0.10691007226705551, -1.4743123054504395, 0.6253145933151245, -0.029382117092609406, -0.47210386395454407, 0.5702642202377319, -1.57844078540802, -0.4853384792804718, 0.2788313925266266, 0.9411234855651855, 0.7651734352111816, -0.287522554397583, 0.8349111676216125, -1.0390231609344482, -0.4414697587490082, -0.4135863780975342, -0.4469487965106964, -0.06663024425506592, 0.15775103867053986, 0.42367273569107056, -1.1752995252609253, 1.4461805820465088, 0.28897300362586975, -0.5746169090270996, -0.48566168546676636, -0.41723379492759705, 0.2086293250322342, -0.15469233691692352, -1.075408697128296, -0.6555078625679016, -0.5378220081329346, -0.3389993906021118, -0.27358347177505493, 1.4905911684036255, 0.8558448553085327, 0.6347153186798096, 0.27581658959388733, 0.42363274097442627, -1.7807021141052246, -0.24731862545013428, -0.6134288311004639, -0.9070273637771606, 1.278562068939209, 0.5622830986976624, 0.7513567805290222, -0.3382229506969452, 0.4836869239807129, -2.381333351135254, -1.0339165925979614, 2.16318941116333, -0.17828220129013062, 0.5612872838973999, 0.6292786598205566, 0.722064197063446, -0.279278427362442, -0.9896361827850342, -1.9813779592514038, 0.040323201566934586, -0.6282665133476257, -0.920718789100647, -0.28777754306793213, -1.0535935163497925, -1.5973601341247559, -0.15250720083713531, 0.23078703880310059, 1.0065213441848755, 0.1213093250989914, 0.5370944738388062, -0.8084065318107605, 1.7690985202789307, 0.17855657637119293, 0.5163377523422241, -0.4628526270389557, -0.633629560470581, -0.14516238868236542, 0.19475698471069336, 0.509354829788208, 0.9925769567489624, 0.5884928107261658, -0.4380176365375519, 0.538001537322998, -0.8575881719589233, 1.193804383277893, -1.7810328006744385, -0.7802780270576477, 1.3136409521102905, 1.6823402643203735, -0.8888111114501953, -1.6380102634429932, 0.7697812914848328, -0.4434105455875397, 0.6742791533470154, 0.5320756435394287, -0.9793001413345337, 0.06617193669080734, 0.05034485459327698, 0.07133211195468903, 2.5245893001556396, 0.45773202180862427, -1.7109947204589844, 0.5317835807800293, 0.48257455229759216, 0.3877047300338745, -1.4578797817230225, -0.27786245942115784, 0.5219146609306335, 0.21804167330265045, -0.7509329319000244, 0.8835036158561707, -0.08072364330291748, -0.884918749332428, -0.2532638907432556, 2.2355682849884033, 0.0019187433645129204, -0.5474349856376648, -0.9131019115447998, 0.75043123960495, 1.179579734802246, -1.152409553527832, 0.9805364608764648, 0.3203740119934082, -1.2384926080703735, -0.5734097361564636, -1.1852672100067139, -1.4291329383850098, -0.03456598147749901, 0.550728440284729, 0.4950304925441742, -2.6271166801452637, 0.794435441493988, 0.06097986921668053, 0.09318212419748306, -0.4449956715106964, -0.10989190638065338, -0.5997920632362366, -0.5910806655883789, -0.8782978653907776, -0.2280849665403366, -0.6104198098182678, -0.8808841705322266, 0.2078973650932312, -1.2473379373550415, 0.9503727555274963, -0.17678669095039368, -0.6840158700942993, -0.46459904313087463, 0.6652682423591614, -0.4136107861995697, -0.32342830300331116, 0.029982727020978928, -0.31289178133010864, -0.13795390725135803, 0.3401464521884918, 2.0079798698425293, -1.3628628253936768, -2.524710178375244, 1.7356191873550415, -1.021180272102356, -0.005290398374199867, 1.0841517448425293, -1.2665033340454102, -0.5351482629776001, -0.09786005318164825, 1.069370985031128, -0.8627527356147766, -0.15208451449871063, 0.2754819095134735, -0.7817180752754211, -0.11857426166534424, 0.3118518590927124, -1.389975666999817, -0.11936808377504349, -0.5071901082992554, -0.9503306150436401, 0.7818981409072876, 0.22614724934101105, 2.2719764709472656, -0.6537930369377136, 0.9357103705406189, -1.941296100616455, -1.5318500995635986, 0.7350996732711792, -2.0478384494781494, -0.7796633839607239, 0.7272091507911682, 0.2387603372335434, 0.7400855422019958, 1.5607547760009766, 0.5938683152198792, 0.2892226278781891, 0.645154595375061, -0.7556833624839783, 0.38083022832870483, -0.0105369808152318, 1.3148853778839111, 0.046317506581544876, 0.897769033908844, -0.7934965491294861, -0.589106559753418, 1.5401073694229126, 1.0875343084335327, -0.07013139128684998, 0.1860034316778183, -0.12035277485847473, -1.9222131967544556, -1.0896695852279663, 1.4351718425750732, 0.8197776079177856, 0.1276012808084488, 0.09540566056966782, 1.8579013347625732, -1.4000048637390137, -0.16167820990085602, -1.1380549669265747, 0.23422738909721375, -1.0851635932922363, 0.48154330253601074, 0.8387138843536377, -0.07746031880378723, 1.766271948814392, 0.5959832668304443, -1.292389988899231, -1.0224902629852295, -1.124839186668396, 0.8341686725616455, 0.9791384339332581, 1.4070982933044434, 0.7295095920562744, 0.46176862716674805, -0.10949545353651047, 1.8557476997375488, -2.215179681777954, 0.9302164912223816, 1.6466342210769653, 1.379893183708191, 0.7382476329803467, -1.5668929815292358, -0.05932914465665817, -1.1456127166748047, 0.6263664364814758, -0.13723939657211304, -0.745412290096283, 0.8603816032409668, 1.564671516418457, 1.338212251663208, -0.006099211052060127, 0.03960445523262024, -0.1805642992258072, -0.8156583905220032, 0.5693746209144592, -1.3829432725906372, 1.0817348957061768, -1.4838587045669556, -1.7179712057113647, -0.2470797896385193, 0.7498622536659241, -1.1684504747390747, 0.40370112657546997, 1.29233717918396, -0.8628882765769958, -0.44038093090057373, 1.1935393810272217, 0.6993560194969177, 1.3271783590316772, -1.1171010732650757, 0.07005922496318817, 0.6281428933143616, 1.8614134788513184, -0.19777394831180573, 1.8756011724472046, 0.8385290503501892, -0.14788159728050232, -1.3152331113815308, -0.021194305270910263, -1.3232287168502808, -0.3425448536872864, 0.12097267806529999, 1.8160035610198975, 0.35290464758872986, 0.7153427600860596, -0.4852781891822815, 1.5847280025482178, 1.559694766998291, -0.768572211265564, 0.5426327586174011, -1.175896406173706, 1.5627179145812988, -1.4737306833267212, 0.26300984621047974, 0.0004404040228109807, 0.11922327429056168, -1.286504864692688, 1.2466052770614624, -1.3867651224136353, 0.4279983937740326, 0.8444410562515259, -0.695477306842804, 1.7098581790924072, -0.30377256870269775, 0.6836623549461365, 0.8124400973320007, -2.3456432819366455, 0.6371137499809265, 0.9739436507225037, -0.2806612253189087, 0.7496160268783569, 0.7296914458274841, 0.07584890723228455, 2.508485794067383, 1.2586476802825928, 0.22998066246509552, 0.1298053115606308, -0.9672570824623108, 0.4277240037918091, -1.2849061489105225, 0.2929343283176422, -1.0283536911010742, -0.6862467527389526, 0.10597261786460876, -0.14316022396087646, 0.5898200869560242, 0.1671770215034485, 1.3842098712921143, -1.27054762840271, -0.41870880126953125, -1.6621835231781006, -0.05169638618826866, -0.07795074582099915, -1.0599404573440552, 0.822697639465332, -0.8545987606048584, 0.7941168546676636, -1.1723182201385498, 0.4068443179130554, -0.6165156960487366, -0.6136733889579773, 1.0867167711257935, 0.616834282875061, 0.2853890657424927, 1.3248127698898315, -1.2534213066101074, -0.1048073023557663, -0.25498247146606445, -0.2967081367969513, -1.3069108724594116, 0.9696648716926575, -0.050373367965221405, 2.7438712120056152, 1.1964119672775269, -0.6189801096916199, -0.3792664110660553, 2.062901020050049, -0.29980573058128357, -0.5322131514549255, 0.5622995495796204, -2.105041742324829, 0.38068073987960815, 0.03397687152028084, -0.4750961363315582, -0.25975531339645386, 0.9097614884376526, 0.8712337613105774, 2.0273990631103516, -2.179229736328125, -0.14525280892848969, 0.8568210005760193, -1.060414433479309, -0.4739294946193695, 0.8354817032814026, 0.5523131489753723, 0.9877591133117676, 0.24724937975406647, -1.3898180723190308, 0.7879466414451599, 0.26193487644195557, 0.3239199221134186, -0.006564239971339703, -0.6607666015625, 1.009987473487854, 1.5781408548355103, 0.36729374527931213, 0.9277820587158203, 0.3391757011413574, -0.2632499635219574, -0.8079543709754944, 0.05906978249549866, -0.46641719341278076, -0.886330783367157, -0.35493436455726624, -0.28070515394210815, 0.1186719611287117, -1.608319878578186, -0.05303947255015373, -0.754558801651001, 1.1249792575836182, 0.21489323675632477, 1.1614948511123657, 1.4789689779281616, -0.24604877829551697, 0.7622487545013428, -0.18944138288497925, 0.06214752048254013, 0.2684744894504547, 0.6052586436271667, 0.012565117329359055, -0.196757972240448, 1.6451269388198853, 1.3393572568893433, 1.2697569131851196, -0.4575609564781189, 0.6374977231025696, 0.3437984883785248, -0.44697538018226624, -0.7338087558746338, 0.12820017337799072, -0.1022220104932785, -0.4403359591960907, -0.3252772092819214, -0.7683639526367188, -1.375694990158081, -0.2649877667427063, 1.159371018409729, 1.1356335878372192, -0.2996140122413635, 0.3873147964477539, 0.17951835691928864, 1.2791475057601929, -0.8358904123306274, -1.2921494245529175, -0.019210536032915115, 0.0206887349486351, -0.2877624034881592, -0.5640172958374023, -0.14400984346866608, -0.5087526440620422, 1.4536669254302979, -0.5012894868850708, 2.1985175609588623, -2.401277542114258, -0.919173002243042, -1.178972601890564, -0.5846570730209351, -0.08617071062326431, 1.6757395267486572, 0.13251575827598572, -0.7114299535751343, 0.6338808536529541, -0.1069655492901802, -1.6485486030578613, -0.0835481807589531, 1.7503931522369385, -1.922727346420288, -0.31089159846305847, -2.891979455947876, 1.8384522199630737, 0.4705667197704315, -1.3154007196426392, -0.24251903593540192, -0.7147356867790222, -0.2704554796218872, -0.86150723695755, -0.5065886974334717, 0.9047239422798157, -0.4079221189022064, -1.237282633781433, -1.540185809135437, -0.35165637731552124, 0.33836647868156433, -1.3059300184249878, 0.0669371634721756, 0.44516947865486145, -1.7395659685134888, -0.16110914945602417, -1.463059425354004, -0.29046037793159485, 0.49944132566452026, 0.06888687610626221, 0.45291975140571594, -1.7933036088943481, 0.4582001864910126, 0.39081257581710815, -0.28852152824401855, 0.8905447721481323, -2.6099841594696045, 0.5957828164100647, 0.07395469397306442, -0.8730217218399048, 0.5488264560699463, -2.417304277420044, -0.8653168082237244, -1.0457500219345093, 0.883347749710083, 0.5195462107658386, -0.19425514340400696, -0.028744207695126534, 2.342707633972168, 0.3043748736381531, 0.12005431205034256, 0.6296557784080505, 0.30839890241622925, -0.33284294605255127, 0.20567336678504944, 0.6236153244972229, -0.570620059967041, -0.9516798257827759, -1.155672550201416, -0.7778058648109436, 0.6827817559242249, -1.4133634567260742, 0.9400496482849121, 0.3663625717163086, 1.4123011827468872, -0.8409361839294434, 1.5622498989105225, 0.9454702138900757, -1.376924991607666, -0.42039069533348083, 0.10729201883077621, 1.1510306596755981, 0.8157145977020264, -0.325334370136261, 2.6257002353668213, 0.7086697220802307, -1.0987976789474487, 0.349677175283432, -0.47405290603637695, 0.42366331815719604, -1.15705406665802, 1.4540024995803833, -1.0221812725067139, 0.5673149824142456, -1.1977097988128662, -0.08024527132511139, 0.5409340262413025, -0.4571681022644043, -1.1776442527770996, 2.060727596282959, 1.0966488122940063, 0.4189334213733673, -1.6674551963806152, 0.015650322660803795, -0.02079973742365837, -0.558462917804718, -0.706543505191803, -1.1400771141052246, -0.686434805393219, -1.0892221927642822, -0.0482717789709568, 1.7310092449188232, 0.12673643231391907, 0.6237538456916809, 0.9648363590240479, 1.8201137781143188, -0.21260857582092285, 0.29282960295677185, 1.1524713039398193, -1.092894434928894, -0.015782803297042847, -0.8326193690299988, -0.9095364212989807, 0.15951533615589142, 0.42127668857574463, 0.5417845249176025, -0.9838115572929382, -1.4326757192611694, 1.9746766090393066, 0.6338660717010498, -0.4617331922054291, -0.009230821393430233, -0.3336704969406128, 1.00184166431427, 0.26346227526664734, -0.4607464373111725, 0.8623405694961548, -0.028895994648337364, 0.547616183757782, 0.729823648929596, -0.058627836406230927, 0.8085928559303284, -0.0013502363581210375, -0.9331478476524353, 0.761401355266571, 1.5694642066955566, 0.6404792070388794, -0.6835175156593323, 0.0773523673415184, -0.3528686761856079, 0.13899390399456024, -2.0509815216064453, 1.0131945610046387, 0.4108116328716278, -0.9449694156646729, -0.17031750082969666, -0.5586485862731934, -1.7222262620925903, -0.5352543592453003, 0.09794105589389801, -0.02686469443142414, 0.3113102912902832, 2.027859926223755, -0.5650886297225952, 0.3862515985965729, -0.091609887778759, 0.9598426818847656, -0.7188513278961182, -2.074965000152588, -0.22631151974201202, 1.5471197366714478, 1.7992775440216064, 2.068666696548462, 0.650230884552002, -0.8399348855018616, 0.7625603079795837, -0.530927300453186, 0.9970472455024719, 0.14707589149475098, -0.9787335991859436, 0.2876248061656952, 1.4996633529663086, 1.7338669300079346, -0.21851125359535217, -0.484623521566391, -0.5695596933364868, 1.219904899597168, -0.06990187615156174, -0.21078172326087952, -0.3674400746822357, 0.4766746461391449, -0.1984785944223404, -0.05170605704188347, -0.4695039689540863, -0.38731005787849426, 0.3534226417541504, 0.5085197687149048, -0.5750428438186646, -0.1938691884279251, 1.0983768701553345, -0.6854737401008606, -0.8551213145256042, -0.12236464023590088, -0.43851250410079956, -0.8242526054382324, 0.10022710263729095, 0.36467602849006653, 0.9740336537361145, -0.8671375513076782, -1.200272798538208, 0.00927659496665001, 0.38938236236572266, -0.06998634338378906, -0.2950077950954437, 0.35791558027267456, 1.4104048013687134, -1.0463231801986694, 0.7992264032363892, 1.585063099861145, -1.157326340675354, -0.06259158253669739, -1.6834170818328857, -0.12250085920095444, -1.3696430921554565, 1.197124719619751, 0.38561511039733887, -1.1369364261627197, -0.21268337965011597, -0.7923835515975952, 1.783634901046753, -1.4394419193267822, -0.33986610174179077, -0.8987032175064087, 0.8103358745574951, 0.01818941906094551, 1.5621644258499146, 0.6976855993270874, 1.525589108467102, -0.2652233839035034, 0.3258729577064514, 0.806504487991333, -1.8915749788284302, 0.9540727138519287, 1.6918730735778809, -1.3477636575698853, 0.645912230014801, 3.190805673599243, -0.601341187953949, 1.291266918182373, -0.4498186409473419, 0.820212721824646, 0.2776463031768799, 0.4960131347179413, -1.7055883407592773, 0.6635820269584656, 1.2568366527557373, 0.19370613992214203, 0.4859735667705536, -0.5123283863067627, 1.8338860273361206, 0.3757324516773224, 0.4266323745250702, -0.8616771697998047, 0.4354935884475708, -0.32530444860458374, -2.714172124862671, 2.005262613296509, 0.6761521697044373, 0.4890836775302887, -0.210673987865448, -0.6867245435714722, 0.8465341329574585, -3.0223371982574463, -0.7902733087539673, -1.5242735147476196, 0.1563442498445511, 0.2682287395000458, 0.13942162692546844, -0.7478859424591064, 2.05167818069458, -1.0258039236068726, -1.120739221572876, 0.42554372549057007, 0.7294014096260071, 0.8518415689468384, -0.6276338696479797, 0.7195733785629272, 0.9308627247810364, 0.4164511561393738, 1.9966336488723755, 0.8520837426185608, 0.7682235836982727, 0.0958489328622818, 0.5329360365867615, 0.4754369854927063, 2.4344565868377686, -0.1904088258743286, -1.306636929512024, 0.2107124626636505, -0.16714490950107574, 0.2467939704656601, 0.10165923833847046, 1.5079894065856934, 0.2164853811264038, -0.9351324439048767, -0.5523846745491028, 0.5106949806213379, 1.2544364929199219, -0.013253619894385338, 1.6038494110107422, -0.6249632835388184, -1.3507304191589355, 1.021321177482605, -0.6498568058013916, 0.6474946737289429, 0.43345504999160767, 0.1051495373249054, -0.03128976747393608, 0.5739167332649231, -0.10588275641202927, 1.2525138854980469, -0.38548964262008667, -1.3241287469863892, -1.2974481582641602, 2.1376912593841553, -0.10083524137735367, 0.6608315706253052, 0.36360302567481995, 0.419172465801239, -0.2738091051578522, -1.4088934659957886, 1.0943893194198608, 1.2164913415908813, 1.2216445207595825, -0.3315054476261139, -1.788258671760559, 0.1258995682001114, 0.14383530616760254, -0.5038056969642639, 0.09050753712654114, -0.6873830556869507, -2.286142110824585, 2.759472608566284, 0.5702759623527527, -0.06496011465787888, 1.4898420572280884, 0.16536973416805267, 0.4125310778617859, -0.6384028792381287, -0.5846536159515381, 0.6509965658187866, -0.8258296847343445, 0.6834937334060669, 0.37108999490737915, -1.3181636333465576, 0.7879160642623901, -1.5838468074798584, 0.8081258535385132, -1.0907378196716309, 1.0023881196975708, -0.7567970156669617, -0.18695126473903656, 1.2756730318069458, 0.3123405873775482, 1.3985768556594849, -0.2854398787021637, 0.3921601474285126, 0.55394446849823, -0.25121191143989563, 0.5326203107833862, 1.4100641012191772, -0.5628942251205444, 0.31137600541114807, 2.0491647720336914, 0.23085185885429382, -1.0367738008499146, 1.063988447189331, 1.5672303438186646, -0.0570656955242157, 0.0884530171751976, -0.5693774223327637, -0.41433531045913696, -1.148249626159668, -0.9619073867797852, 0.6592537760734558, 0.09814147651195526, 1.5709648132324219, 1.1707154512405396, -0.7327420711517334, 2.3509840965270996, -0.39085522294044495, -2.538680076599121, -0.8025192022323608, -0.47707468271255493, 0.9944089651107788, 1.6335749626159668, 0.6494629383087158, 1.1259647607803345, -0.27743032574653625, 0.4092679023742676, 0.4746086597442627, 1.3223992586135864, 0.6497112512588501, -0.9295564889907837, 1.4542161226272583, 0.16635487973690033, 1.7008447647094727, 0.23205718398094177, -1.1010366678237915, -1.4372810125350952, -1.6226478815078735, 0.2040235698223114, 0.36442866921424866, 1.5480401515960693, -1.2653405666351318, 1.335567593574524, -0.016756201162934303, -0.7316606640815735, -0.6744858622550964, -1.765975832939148, -0.1871170848608017, -0.19322188198566437, -1.0731273889541626, -1.354905605316162, -1.197567105293274, 0.6791066527366638, -1.8261759281158447, -0.5083659291267395, 1.5365008115768433, 0.585556149482727, -1.5328056812286377, -1.1438957452774048, -1.0333430767059326, 0.24545979499816895, 0.5113753080368042, 0.9952777624130249, -0.2725597620010376, 0.15916217863559723, 1.502068042755127, -0.733941376209259, -0.0924094021320343, 0.6646535396575928, 0.11499563604593277, -1.1858209371566772, 1.1931160688400269, 0.29021936655044556, 0.5115368962287903, -0.2050548940896988, 0.5360910296440125, 1.3840367794036865, 0.5684253573417664, -1.122538685798645, 0.4674454927444458, -0.6725267171859741, -0.9534502625465393, 1.5025460720062256, 0.4847389757633209, -0.3157972991466522, 0.7085506916046143, 0.9676546454429626, -0.6942254304885864, 0.29861119389533997, -0.7724199891090393, -2.0416407585144043, 2.212188243865967, -0.6281906962394714, 0.4042521119117737, -1.7558284997940063, -0.8130379915237427, -0.44004836678504944, -0.08308034390211105, 1.483890175819397, -0.39155563712120056, -0.8948341608047485, 0.48834705352783203, -0.06479572504758835, 1.7593989372253418, -0.5441111326217651, -0.17532826960086823, 0.7754695415496826, 2.157965660095215, -0.5898804068565369, 0.14837177097797394, 0.5605907440185547, -0.23685553669929504, 0.3219779431819916, 0.3129698634147644, -1.4486629962921143, 0.26484185457229614, -0.1734577715396881, -0.7300617694854736, 1.4098461866378784, 0.11816158145666122, -0.9271631836891174, -0.8477520942687988, -0.7540673613548279, -0.33955177664756775, -0.4494960308074951, -1.0779105424880981, 0.39821621775627136, 0.02525140345096588, -2.178102731704712, -0.09942667931318283, 0.7653176188468933, -0.8066032528877258, 0.26115140318870544, 1.337112545967102, 0.46847566962242126, 0.11577405780553818, -1.374763011932373, 1.125159502029419, 1.644574761390686, -1.457295298576355, -0.37418749928474426, 0.07240715622901917, -0.09245171397924423, -0.7019925713539124, 0.3727855086326599, 0.10737285017967224, -0.4667949676513672, 1.3908771276474, -0.8090543746948242, -0.037274062633514404, -0.7741774916648865, 1.8271782398223877, -2.086712121963501, 0.4752485752105713, 0.6800041794776917, -0.4242347180843353, 0.14801868796348572, 0.9035996198654175, -0.3091236352920532, -1.4060144424438477, 0.8817246556282043, 0.08507926762104034, 0.810773491859436, 1.4974000453948975, 0.8257631063461304, -1.7189817428588867, 0.962713360786438, -1.061492919921875, -1.4342057704925537, 0.7779703736305237, 0.3900999128818512, 0.7219089269638062, 0.654823362827301, 0.9399248361587524, 1.1412341594696045, -0.526445209980011, -0.5402037501335144, -0.7265146970748901, -0.10426396876573563, -0.8557581305503845, -1.0691231489181519, 0.7260857820510864, -0.7048197984695435, -0.24537259340286255, 0.09109876304864883, -1.062835693359375, 1.2211328744888306, -1.013749361038208, -1.059293508529663, -0.6009429693222046, 0.2843971848487854, 0.0032599258702248335, -0.13388435542583466, -0.6266643404960632, -1.5766931772232056, 0.7048084139823914, 0.11113036423921585, 2.063307285308838, -1.4310176372528076, 0.8435734510421753, -0.6416558027267456, 0.08354318886995316, -0.35235244035720825, 0.1611877977848053, -0.5348463654518127, 0.05737479031085968, 1.3542205095291138, -0.18023772537708282, 1.1566745042800903, 0.3268880546092987, 0.9673248529434204, 0.1660413295030594, -1.0279399156570435, -0.8680031895637512, -0.5299814939498901, -0.5327068567276001, 1.1659384965896606, 1.640608549118042, 2.2579383850097656, 0.7348787784576416, 0.9099310636520386, -1.506561517715454, -1.3157578706741333, -0.22806665301322937, -2.188554525375366, -0.026770152151584625, -0.46393221616744995, -0.10605113208293915, 0.23175737261772156, 1.1795248985290527, -1.1681324243545532, 0.48386138677597046, -1.7305805683135986, -0.8301733732223511, 1.2773927450180054, 1.5392189025878906, 1.736219882965088, 0.660980761051178, 0.3860521614551544, -2.3957648277282715, -0.8579966425895691, 1.6777061223983765, 0.7864134907722473, 0.2030722051858902, -0.6256394386291504, 1.2548301219940186, -0.685516893863678, 0.8693015575408936, 2.165555477142334, 1.2627568244934082, -0.713235080242157, 0.45525315403938293, -0.051232341676950455, 0.0697067379951477, -0.014924323186278343, 0.945341944694519, -0.4234080910682678, 0.6191816926002502, -1.4378063678741455, -0.39134877920150757, 0.9389720559120178, -0.3032579720020294, 1.0143312215805054, 0.84488844871521, -0.20602969825267792, -0.9769241809844971, -0.39448055624961853, 0.5435141324996948, 1.073676586151123, 1.1331039667129517, -0.3416178822517395, -0.12874579429626465, 1.844938039779663, -0.3162660598754883, -1.2327765226364136, 1.3597575426101685, 0.745162844657898, -1.0482264757156372, 0.1603483259677887, -0.021772418171167374, -0.030293477699160576, -0.046627242118120193, 0.46988266706466675, 1.95306396484375, 1.0637807846069336, 0.6187540888786316, -0.9219149947166443, -1.3636456727981567, 0.058288633823394775, 0.010525117628276348, 0.3717278242111206, 1.3589394092559814, 1.858826994895935, -0.8299147486686707, -0.10299897193908691, -0.5229794979095459, -0.2590780556201935, -0.9759146571159363, 2.553363800048828, -0.16458676755428314, 0.4584340751171112, -0.06633884459733963, -0.31204864382743835, 0.5923963785171509, -1.2260268926620483, -0.010883732698857784, -0.335117906332016, -0.45321178436279297, 0.13802725076675415, 0.051149871200323105, 1.820857048034668, 0.6447515487670898, 0.7308526039123535, 0.7230759859085083, -0.5839142799377441, -0.5589808225631714, 0.7901380062103271, 0.14539870619773865, -0.15223193168640137, -2.4371883869171143, -0.15179823338985443, -0.9822611212730408, 0.8964999914169312, -0.9253329038619995, -0.0885804146528244, -0.8893653154373169, -0.7843067049980164, -0.8299545645713806, -0.9921654462814331, -1.1133373975753784, 0.6626083850860596, -1.7218788862228394, 1.146868109703064, 0.7635136842727661, 0.22631822526454926, -1.929874300956726, -0.12793760001659393, -0.6786191463470459, -0.603934645652771, 0.49587535858154297, 0.24288445711135864, 0.04501154646277428, -0.27647504210472107, -0.565505862236023, 0.10614030808210373, -1.2018901109695435, -0.14352139830589294, -0.12161219865083694, 1.490066647529602, 0.8334063291549683, -0.25763633847236633, 0.6127729415893555, -0.08819669485092163, -0.6225369572639465, 0.23126494884490967, -0.05434887111186981, 0.2904782295227051, -0.7519379258155823, -1.3824572563171387, -1.4663668870925903, 0.014899557456374168, -0.3404864966869354, 1.1357020139694214, -0.8566733598709106, 1.7764161825180054, -0.2301054298877716, -0.7098055481910706, -1.1370069980621338, 0.47738751769065857, -0.037552885711193085, -1.6228479146957397, -0.5886682271957397, -0.3516218364238739, -0.3980659544467926, -1.6102988719940186, -0.8450783491134644, -0.8726787567138672, 1.563057780265808, 1.0555039644241333, -1.581424593925476, 1.2987139225006104, -0.23983551561832428, 0.2545110285282135, -0.04216396436095238, -1.7411742210388184, -0.1285804808139801, 0.8082689046859741, 0.4279713034629822, -0.984110414981842, 2.3927104473114014, 0.1775067001581192, -1.06504487991333, 0.8950035572052002, 0.44020694494247437, 0.04215404763817787, 2.9506614208221436, 0.28434693813323975, -1.302903413772583, -1.365524172782898, -0.6496962308883667, 0.09081003814935684, -0.5881830453872681, -1.5429331064224243, -0.4896358549594879, 1.5256280899047852, 0.10064459592103958, 0.7268713116645813, -0.5115031003952026, 0.05814242735505104, 1.1169580221176147, -1.1568068265914917, -0.6356608867645264, -0.3117929995059967, -0.40502968430519104, 0.19895462691783905, 0.772784948348999, -0.30353713035583496, 0.14179301261901855, -0.5607890486717224, -0.9443882703781128, -0.01111698243767023, 2.123375654220581, 0.06877496838569641, 0.4169491231441498, -0.24977749586105347, -0.545252799987793, -0.9755994081497192, -1.20221745967865, 0.5999055504798889, -0.8824244141578674, 0.6072920560836792, -0.33478453755378723, -0.44080570340156555, 0.8753153085708618, 1.0302766561508179, 0.14190493524074554, 0.2602940797805786, -1.0642081499099731, -2.3335158824920654, -0.4947655200958252, 0.6973779797554016, 0.18492239713668823, -1.7366071939468384, -1.4737104177474976, -0.29642796516418457, -1.2935495376586914, 1.3300141096115112, 0.15660084784030914, 0.7192749381065369, -0.41087475419044495, -0.18509328365325928, 0.20157046616077423, 1.0681755542755127, 1.4472825527191162, 0.41411155462265015, 0.4049012362957001, 1.391319751739502, -0.5284497141838074, 0.8230393528938293, 1.256250262260437, 0.5608008503913879, -0.10773158073425293, -0.18857023119926453, -0.963474452495575, 0.8293810486793518, 0.015155933797359467, -0.5782961249351501, 1.4784752130508423, -1.3544560670852661, 0.023133959621191025, 0.6527687311172485, -0.1085519939661026, 0.9646967053413391, 1.5780550241470337, 1.2073349952697754, 0.6106375455856323, -0.039574190974235535, -0.6554656624794006, 1.0122392177581787, -0.4351484179496765, -1.3593757152557373, 0.9401858448982239, -1.3169169425964355, 1.5025312900543213, 0.07636680454015732, -2.171628713607788, -0.48955032229423523, -0.25380951166152954, -0.4705040752887726, -1.1395407915115356, 1.123634696006775, 0.5766793489456177, -0.7417083978652954, 0.5714744329452515, -0.6011062860488892, -2.043668746948242, -0.16402140259742737, -1.7881031036376953, -0.4002372920513153, -0.0212436281144619, -0.30935588479042053, -0.723847508430481, -0.2319200187921524, -0.0705651342868805, -1.049216866493225, -0.42547163367271423, 0.058847781270742416, -0.3471394181251526, 0.7215441465377808, -0.1455797404050827, 0.06684573739767075, -1.311807632446289, 0.36433088779449463, -0.8984359502792358, 0.26039355993270874, 0.9449186325073242, 1.0796419382095337, 1.2082146406173706, -1.2565834522247314, 0.05605543032288551, 1.5269005298614502, 1.6586271524429321, -0.8109675645828247, -1.8637484312057495, 0.7676361799240112, -0.5430493950843811, -1.0635700225830078, 0.004464233759790659, -0.47033923864364624, -1.3740434646606445, 0.928680956363678, 0.577461838722229, 0.23277291655540466, 0.9004315733909607, 0.2508660554885864, -0.0434284508228302, -1.4278597831726074, -1.1710537672042847, 0.4772864878177643, 2.989598274230957, -1.2092043161392212, -1.953215479850769, 0.2378728985786438, 0.25879767537117004, -0.026090046390891075, 0.004696083255112171, 0.2765096127986908, -1.019387125968933, -0.5463771820068359, 1.3665167093276978, 0.2943873703479767, -0.021988725289702415, 0.753296971321106, 0.685407817363739, -0.45394328236579895, 1.7429176568984985, -0.16899816691875458, -1.4157841205596924, 0.5801781415939331, 0.07722577452659607, -1.1798079013824463, -0.3669127821922302, -0.7755778431892395, -2.0199081897735596, 0.5616551637649536, 1.2667670249938965, -1.020917296409607, 1.352225661277771, 0.5391104221343994, -0.05411321669816971, 1.0806541442871094, 0.48450368642807007, -1.711218237876892, -1.2035019397735596, -0.5164218544960022, -2.508127212524414, -0.7372764348983765, -1.2346482276916504, 0.882591187953949, 0.07422303408384323, -2.222595453262329, -1.821140170097351, 0.589924693107605, -1.7875101566314697, -0.1646498441696167, 0.16009105741977692, 0.35400447249412537, -1.7698445320129395, -0.32279446721076965, 1.257590413093567, -1.2798793315887451, 0.9326368570327759, -0.5556417107582092, -1.3344072103500366, -0.3167259097099304, 0.25428006052970886, -0.2839122712612152, -0.6439486145973206, 0.18262554705142975, 1.562988042831421, 1.3822121620178223, 0.9765884280204773, 0.6930294036865234, 0.271592915058136, 1.221801996231079, -0.1314529925584793, 0.6741605401039124, 0.46545112133026123, 0.13575762510299683, 0.17665579915046692, 0.6820751428604126, 1.0276738405227661, -0.10302738845348358, 0.004662531428039074, -1.548913836479187, 0.19126568734645844, -1.2452707290649414, 0.8180083632469177, -0.40522897243499756, -0.978797972202301, -0.7889965176582336, 1.883500099182129, -0.7563841938972473, 0.4402008056640625, -0.6331361532211304, -0.029361890628933907, 0.31904417276382446, -0.6833187341690063, -0.6098767518997192, -0.27198532223701477, -1.4921873807907104, -0.20556698739528656, -1.488189697265625, -0.8154131174087524, -0.9653355479240417, 0.10373398661613464, 0.4692583978176117, -0.5887708067893982, 0.7566062211990356, -0.645599365234375, -1.2567130327224731, -1.573088526725769, -0.01082224678248167, -1.8087395429611206, -0.36842039227485657, -1.6982169151306152, -0.6750814318656921, 0.0485750176012516, -0.4483441114425659, 0.7689269185066223, 0.8212010860443115, 0.2234317660331726, 0.9484866261482239, -0.4266612231731415, 0.900510847568512, 0.12217115610837936, -1.0108203887939453, 0.49146121740341187, -0.3788752555847168, -0.4692627489566803, -1.0610442161560059, 0.20040781795978546, -0.31814631819725037, -0.7829126119613647, 0.9855767488479614, 1.1441283226013184, 0.3099762797355652, 0.9348458051681519, 0.30578818917274475, 0.15965533256530762, -1.64449942111969, -0.9724231958389282, -1.228929042816162, 0.24005232751369476, 0.15913482010364532, -0.7954318523406982, -0.0216759592294693, 0.6788978576660156, -0.9886178970336914, -1.0437524318695068, -0.8988533020019531, -0.1730501353740692, 1.078325629234314, 0.3030931353569031, -1.2037312984466553, -1.121062159538269, -0.36239805817604065, 0.37521252036094666, -0.5222429633140564, -1.3833847045898438, -0.3312273323535919, -0.5574028491973877, 0.3490319550037384, -1.5230770111083984, 0.16938044130802155, 1.0972421169281006, -0.37947356700897217, -1.665340781211853, -1.2973617315292358, 0.39789846539497375, 0.31977635622024536, 0.5640766620635986, -0.8014949560165405, -1.0586609840393066, 0.04436933994293213, 0.4429776668548584, 2.0990676879882812, 0.9469335079193115, -0.4649449586868286, 1.8368943929672241, -0.6088597178459167, 0.49371427297592163, 0.6474882364273071, -0.9371463656425476, -0.6429196000099182, 0.9341015219688416, -2.7146573066711426, -1.6470417976379395, -0.12183345854282379, 0.27454087138175964, 0.6275482177734375, -0.47273290157318115, 0.545788049697876, 1.3133882284164429, 1.8241539001464844, 0.131010964512825, -0.22861327230930328, 0.22073395550251007, 1.7237679958343506, 0.7357295751571655, 1.3309835195541382, 0.4359191060066223, 0.07658328860998154, -1.8510260581970215, -0.284060001373291, 1.6161528825759888, 0.5965969562530518, 0.16536526381969452, 0.11814603209495544, -0.1511274129152298, -0.8935442566871643, -0.7749989628791809, 0.9411558508872986, 0.8692697286605835, -0.07105082273483276, 1.3583656549453735, 2.475844621658325, 1.4703072309494019, -0.9720620512962341, -0.79170823097229, -1.2448948621749878, 0.9274077415466309, -1.5847498178482056, 0.36092862486839294, 1.092741847038269, 0.37469208240509033, 0.015161777846515179, -0.6621737480163574, 2.2988109588623047, -1.9117441177368164, -0.7329822182655334, -1.1412734985351562, 0.609390914440155, 0.2389240711927414, 0.7655254602432251, -0.20893481373786926, 0.7456967234611511, 1.4651877880096436, -0.3389451205730438, -0.04038854315876961, 0.9220958948135376, 0.9223361611366272, -2.44185471534729, 0.37035349011421204, -0.16427859663963318, 0.5071129202842712, 1.1588882207870483, 0.3161395788192749, -0.6882770657539368, 0.965609610080719, 2.1141059398651123, 0.1197686716914177, -0.5224882364273071, -1.6149641275405884, 0.8801792860031128, 2.253074884414673, -1.688312292098999, -1.3742417097091675, 0.44361352920532227, 1.1476761102676392, -0.6008217334747314, -1.532778263092041, -1.2864782810211182, 1.3966315984725952, 0.25216034054756165, -0.23705023527145386, 0.4672769010066986, -0.24338339269161224, 0.07765602320432663, -0.13471876084804535, -0.3754270672798157, 0.26359233260154724, -0.7192918658256531, -1.2077428102493286, 0.5625342726707458, -1.2045390605926514, 0.54453444480896, 0.6363741159439087, 0.2319357693195343, -0.826522707939148, -1.2110962867736816, -1.9677860736846924, 1.6773152351379395, 0.4849500060081482, -1.332527756690979, -1.1715610027313232, 1.1565686464309692, -1.3620905876159668, -0.16543938219547272, -1.4083701372146606, 0.13943076133728027, -0.3837234377861023, -0.43161988258361816, -1.3593958616256714, 0.08212801069021225, -0.3389788866043091, -0.580152690410614, 0.08162491023540497, 1.48977530002594, -0.5443360209465027, 1.9778058528900146, -1.428976058959961, 1.9780203104019165, 1.3829128742218018, 0.32436975836753845, 0.7152919173240662, 1.722481608390808, 1.1005663871765137, 0.9372300505638123, 0.8100894689559937, 1.039117693901062, -0.816851019859314, 0.34515464305877686, -0.6302202343940735, 0.517951250076294, 0.9590559601783752, 0.5935268402099609, 1.6565637588500977, 1.6757452487945557, -0.07801997661590576, -0.6282824873924255, 0.06644545495510101, -0.22141391038894653, -0.12929268181324005, 0.11732728034257889, -0.06253805011510849, -0.0050015198066830635, 0.1234537810087204, 0.514732301235199, 2.0779178142547607, 0.250248521566391, 0.4948592782020569, -0.2563878297805786, 0.344306081533432, 1.3935792446136475, -0.5647326707839966, -2.228834629058838, -0.15023909509181976, -0.7449027895927429, 1.3024957180023193, -0.35074955224990845, -0.2753983736038208, 1.255515694618225, -0.04885272681713104, 1.10112464427948, -2.1742308139801025, -0.7440364360809326, -0.288420170545578, 0.6537655591964722, 1.0600359439849854, 0.2224792093038559, -0.680820882320404, 0.6894928812980652, 0.10115215927362442, -0.3805777430534363, 0.6184438467025757, 0.3606068789958954, 1.4688029289245605, -0.5774019360542297, -0.2618524432182312, -0.3488866686820984, -0.24276745319366455, 1.2215793132781982, -0.5953881740570068, 0.5573393702507019, -1.006493091583252, 0.331804484128952, 1.6435781717300415, 1.191725254058838, 0.7487701773643494, 0.9354962706565857, 0.9687620997428894, 0.12320327758789062, -0.20863166451454163, 0.09125232696533203, -1.6433699131011963, -0.322552353143692, -2.351114511489868, -0.09875011444091797, 1.7516673803329468, 1.3800650835037231, 0.5071369409561157, 0.5212838649749756, 1.5820531845092773, -1.4897761344909668, 1.2809046506881714, 0.16164259612560272, 0.3186115622520447, -2.2288055419921875, -0.05717091262340546, -1.5236259698867798, 0.6685225367546082, 0.25314831733703613, -0.23427480459213257, -1.7889245748519897, 0.8674976229667664, -0.5141685009002686, 1.342922568321228, -1.0301905870437622, 1.9753015041351318, 0.7609940767288208, -0.535705029964447, 0.06683531403541565, 2.018266439437866, 0.27672162652015686, 0.7107449173927307, 0.9015052914619446, -0.4307286739349365, 0.4186854660511017, -0.6401443481445312, 0.16365353763103485, 1.5232551097869873, 0.8490500450134277, 0.5887444019317627, -0.7297033071517944, -1.1118806600570679, 0.6518179178237915, 0.17850540578365326, 0.018080750480294228, -1.5428991317749023, 0.30071887373924255, 0.8462302088737488, 0.3281775414943695, 0.3389818072319031, 2.2673542499542236, 0.41665688157081604, -0.4660714864730835, 0.1282312124967575, -0.7265498638153076, -0.36812686920166016, -0.595182478427887, 0.2243308126926422, -1.1488187313079834, 0.6029321551322937, -0.1605972796678543, 0.38384532928466797, -1.4720324277877808, 1.048689365386963, 0.65531325340271, -1.0247077941894531, 1.3531429767608643, -1.645756721496582, -0.7831816673278809, -0.9255742430686951, 0.196843683719635, 0.30339401960372925, -0.04879975691437721, -0.09987232089042664, 1.218583345413208, -0.33562225103378296, -1.0952259302139282, -0.22396259009838104, -0.4663485288619995, 0.534764289855957, 0.12402807176113129, 2.1526994705200195, -1.0066014528274536, -0.6125025153160095, -0.3001621961593628, -1.398984670639038, 0.6973495483398438, -0.46791374683380127, -1.4250822067260742, 0.019642023369669914, 2.1153786182403564, -0.4254903793334961, 0.1308627426624298, 0.8580164909362793, 2.4388985633850098, -0.01425065379589796, 0.8643195033073425, -0.8953396081924438, 1.3297122716903687, -1.1409059762954712, -0.4929295480251312, -0.04570236802101135, 1.5934357643127441, -0.4148770570755005, -1.1023271083831787, 0.06930840015411377, -0.8126545548439026, 2.244769334793091, 0.11041582375764847, -0.07805891335010529, -0.921420693397522, 1.106024146080017, -1.8245254755020142, -1.0175976753234863, 1.9178874492645264, -1.1084988117218018, -0.43314963579177856, -1.6662439107894897, 1.0400519371032715, 0.6349436640739441, 0.85442715883255, 0.679090142250061, -0.9449850916862488, 0.022836677730083466, 1.472090244293213, -0.04130369797348976, 0.1304178535938263, 0.603651762008667, -0.09944871068000793, 0.5200274586677551, 0.35616257786750793, 0.7490676641464233, 1.323345422744751, -1.0376898050308228, 0.059236716479063034, 0.17631398141384125, 0.02892867848277092, -1.6516685485839844, 0.43194442987442017, -0.07442589849233627, 0.2978909909725189, 0.024466469883918762, -0.27946344017982483, -1.117319941520691, 0.259685754776001, 1.0299040079116821, -0.1889377236366272, 0.6183508038520813, -1.4408164024353027, -0.16785570979118347, -1.8687130212783813, 1.2913020849227905, 1.6302706003189087, 2.0337047576904297, 0.6662175059318542, 0.5214741230010986, 0.6321467757225037, -2.0607926845550537, 0.13947659730911255, 0.2289389967918396, 1.160901427268982, -0.4434090554714203, -1.1939741373062134, -1.0297093391418457, 1.0443071126937866, -1.2880663871765137, 0.4211995601654053, 1.3638496398925781, 0.6599025726318359, -1.0502110719680786, 1.0860329866409302, 0.30472198128700256, -0.12387961149215698, -0.6528860330581665, -1.3046917915344238, -2.2271881103515625, -0.6058803200721741, 0.5187941193580627, -0.10979873687028885, -0.5567337870597839, -1.0043472051620483, 0.9042888283729553, -0.7957079410552979, -0.4829813241958618, -0.027974620461463928, -0.9979551434516907, 0.4488811790943146, 0.2596134543418884, 1.3615692853927612, -0.7546707391738892, 0.16854055225849152, 0.8518182635307312, -0.6780543923377991, 0.5045791268348694, -0.77362459897995, 0.9371506571769714, 1.385774850845337, -1.1841555833816528, -0.15103548765182495, -0.5500558614730835, -1.7810419797897339, -1.730419635772705, 0.003417945234104991, 1.3133888244628906, 0.1762334406375885, 0.7282078862190247, 1.1501026153564453, 0.19412904977798462, 1.0835111141204834, 0.8856019377708435, -0.5800641775131226, 0.4594910740852356, 1.0766018629074097, 0.9131234288215637, -1.1583951711654663, -0.47996532917022705, -0.6443414092063904, -0.035584066063165665, 0.8454863429069519, -0.16637277603149414, -0.9805455803871155, -0.5056660771369934, -0.9882820844650269, -0.014979658648371696, 0.20685309171676636, 0.015357059426605701, -1.1824136972427368, -1.2772718667984009, -1.0392591953277588, -1.216671109199524, -0.8148109912872314, 0.511281430721283, 2.068171262741089, 0.5931435823440552, 0.43313097953796387, 1.3787606954574585, 1.1592934131622314, -0.04407905414700508, 1.309950828552246, 0.27364975214004517, -1.1118990182876587, -0.7122156620025635, 0.5061488747596741, -0.8376069068908691, 0.4438124895095825, -1.1678481101989746, -0.5417557954788208, -2.5410337448120117, 0.02695895917713642, 0.3078378736972809, 0.5033693313598633, -0.30070334672927856, 0.9063212275505066, 0.4186393618583679, -1.3571099042892456, -0.9487292170524597, -0.3827769160270691, 0.4431551396846771, -1.772299885749817, -0.6656351089477539, 1.1988664865493774, 0.8199948668479919, 1.020451307296753, 0.2936358153820038, 0.4661419093608856, -0.7369197607040405, -1.1657395362854004, 0.903299868106842, 0.9481608271598816, 0.18044991791248322, 0.556249737739563, 0.04646822437644005, -0.4516129791736603, -1.5399720668792725, -0.41380441188812256, 0.3110904395580292, -0.6129445433616638, -1.605727195739746, 0.11873703449964523, -0.12363054603338242, 0.055345162749290466, -0.4162052571773529, 2.1541237831115723, -0.4405915141105652, 0.4130123555660248, 0.7659253478050232, -0.6078095436096191, -0.7920074462890625, -0.2285059541463852, 0.4606011211872101, -0.43295755982398987, 2.039851188659668, 1.3071610927581787, 0.1357683539390564, -1.9508756399154663, -2.078108549118042, 1.028929352760315, 0.044891729950904846, -1.3794113397598267, 1.1668907403945923, -2.3335416316986084, 0.8136601448059082, 1.4974545240402222, -0.7357481718063354, -0.7038257122039795, 0.26929453015327454, -1.3754714727401733, -0.3876359164714813, -0.5614470839500427, 0.7385765910148621, 1.9488533735275269, 0.6265953779220581, 0.35826072096824646, 1.7522861957550049, 2.2699296474456787, -0.4312088191509247, 0.8611759543418884, 1.5841128826141357, 0.9045839309692383, 1.0087696313858032, 0.7887635231018066, 0.5699596405029297, 0.06902510672807693, -0.5737823843955994, 0.9187195897102356, 0.7561306953430176, 1.014160394668579, -0.6882190108299255, 1.3236747980117798, -0.4751625061035156, -1.6496756076812744, -1.2338966131210327, -0.5187156796455383, 0.2443663328886032, 0.41454195976257324, 1.191979169845581, 0.16009458899497986, 0.9248452186584473, -0.9217734932899475, 0.49395015835762024, -0.4398263990879059, 0.18949034810066223, -0.26102355122566223, 1.4281442165374756, 0.5891845226287842, 0.5350711941719055, 0.9576377272605896, 0.7547209858894348, -0.576934278011322, -1.6466362476348877, 1.04771888256073, 2.137125015258789, -1.1744649410247803, -0.43182846903800964, 1.6043727397918701, 0.11066021025180817, -0.6832787990570068, -0.4043117165565491, -0.30186906456947327, 0.5537109375, -0.8582836389541626, -1.018256425857544, 1.6605397462844849, -1.613689661026001, -0.5548788905143738, -0.2060329169034958, -0.252361923456192, -3.070864677429199, 0.4225463271141052, -0.6023243069648743, -0.48274728655815125, 0.33425527811050415, 0.970231294631958, -0.07708892226219177, -0.9799700379371643, -0.8775823712348938, -0.2971717119216919, 0.026808489114046097, 0.7415235042572021, 0.7773804664611816, -0.8424386978149414, -0.9691802263259888, 1.1983129978179932, -1.6248780488967896, -0.7715206146240234, -2.019573211669922, -0.3662741482257843, 0.12314664572477341, 0.7267277240753174, 0.3002045154571533, -1.4850521087646484, 0.042459771037101746, -1.932166576385498, 0.6070274710655212, 0.13197468221187592, -1.0045368671417236, 0.12476415187120438, 0.5504345297813416, 0.32683050632476807, -0.0355943962931633, 0.35999757051467896, 0.47071966528892517, 0.039210304617881775, 0.7373024821281433, 1.152550458908081, -0.3492199778556824, -2.9292819499969482, -0.45502403378486633, -0.17062051594257355, 0.28686583042144775, -0.3015928864479065, 1.873910665512085, 1.996010422706604, 1.2263299226760864, 1.2569459676742554, 0.9384115934371948, 0.2753960192203522, -0.032102491706609726, -0.5952325463294983, 1.2561523914337158, -0.090945303440094, 0.47604799270629883, 1.2019119262695312, -1.0474869012832642, 1.0791287422180176, 0.8987540602684021, -0.9122828841209412, 0.3620542287826538, 0.7841823697090149, 0.7932823300361633, 1.0016037225723267, -0.952812910079956, 0.16397443413734436, 1.0324876308441162, 0.3582536578178406, 0.01243269070982933, 0.8237007856369019, -0.6997995972633362, 0.1332482397556305, 0.6848622560501099, 0.5759623050689697, -0.022089535370469093, 1.9082233905792236, 1.4626305103302002, -0.6049976348876953, 0.18940091133117676, 0.9644883275032043, -1.6571674346923828, 1.2513136863708496, 0.714038610458374, 0.6390202045440674, -0.7764405012130737, -0.4411243200302124, -0.29241859912872314, 0.40620312094688416, -0.7290176153182983, -0.2636597156524658, 0.06297720968723297, 0.121890589594841, 0.23631036281585693, -0.2561911344528198, 0.2317119538784027, 1.1030421257019043, 0.848824679851532, -1.6839817762374878, 0.05071798712015152, -0.20219364762306213, 0.16214275360107422, -0.7217525839805603, -1.0673404932022095, -1.2312343120574951, 0.26465901732444763, 1.2985841035842896, 2.1888115406036377, -0.8006558418273926, 0.7254535555839539, 1.0274686813354492, -1.5891668796539307, -0.21191638708114624, -0.905847430229187, -1.5086878538131714, 0.8594591617584229, -0.32631954550743103, 1.2444088459014893, -0.4711293578147888, 0.010346651077270508, -0.47961172461509705, -0.15481722354888916, -0.4781709909439087, -0.1395954191684723, 0.007355604320764542, 2.1889634132385254, 0.8297053575515747, 0.46722251176834106, 0.6247050762176514, -0.22031903266906738, 0.0945037230849266, -1.401115894317627, 0.8269931077957153, -0.8633894324302673, -0.0667913481593132, -1.051884412765503, 0.12234276533126831, -1.3886349201202393, 0.09405693411827087, -0.2764221429824829, 0.40768587589263916, -0.745682418346405, -0.4140929579734802, -1.339300513267517, -1.4354112148284912, 1.7708885669708252, 1.2966480255126953, -1.0350277423858643, 0.5199962854385376, -1.6814134120941162, 0.9976937174797058, 0.6089606881141663, -0.28997287154197693, -0.6141459345817566, -1.3034389019012451, 0.4951322078704834, 0.6749987006187439, 1.4768412113189697, -1.3465118408203125, -0.16239048540592194, 1.0917158126831055, -0.19618956744670868, -0.7825008630752563, -0.25232428312301636, 0.38747167587280273, 2.036458730697632, 1.063026785850525, -0.3362411558628082, 0.36417925357818604, 0.4921489357948303, -0.5641039609909058, -0.2846556007862091, 0.8095285892486572, -0.28231003880500793, 0.1293259859085083, 1.0654710531234741, -0.2536165416240692, -0.5697010159492493, -0.9659896492958069, -1.0192997455596924, -0.8345308899879456, -0.3961600959300995, -1.1213308572769165, 1.0152043104171753, 0.21832649409770966, 0.2661489248275757, 0.9220579266548157, 0.5927607417106628, 1.265446424484253, 0.4258235991001129, -0.6038081049919128, 0.507167398929596, 1.2961137294769287, 0.8650004863739014, 0.19035889208316803, 0.7241655588150024, 1.0538089275360107, -0.5052041411399841, -0.6761142611503601, -0.695996105670929, 0.18433386087417603, -0.9895939230918884, -1.0486303567886353, -1.5234540700912476, 0.9250112771987915, -0.300370991230011, -0.5420231223106384, -0.9149524569511414, 0.8458505272865295, -0.10829252749681473, -0.8726300001144409, -0.10793568938970566, 1.426137089729309, 0.7258138656616211, 0.807395339012146, -0.7783606648445129, -1.106239676475525, 0.17943495512008667, 0.5193887948989868, -0.20638808608055115, -1.3899542093276978, -2.83294939994812, -1.0754214525222778, -1.2377572059631348, -0.4012771248817444, 1.4376006126403809, 0.05460624024271965, 0.3104310631752014, 0.15368442237377167, 1.2091199159622192, -0.7845701575279236, 0.24667124450206757, 0.291596919298172, 0.45705291628837585, -1.4685142040252686, 1.4672834873199463, 0.6515027284622192, 1.557308554649353, 1.1760023832321167, 0.39420872926712036, 0.11298411339521408, 0.6041317582130432, 0.03631874918937683, -0.09254396706819534, -1.290150761604309, -0.20566125214099884, -1.1309599876403809, -1.0115077495574951, 1.1491453647613525, -1.806228756904602, 0.6956860423088074, -0.5605051517486572, 0.0904150903224945, -0.8845287561416626, -0.3339102566242218, -0.3989619016647339, -0.26971638202667236, 0.3183329999446869, -1.3211307525634766, -0.06462840735912323, 2.4779984951019287, 0.2844017744064331, 0.4112381339073181, -0.3920705020427704, -0.8586087822914124, 1.1995420455932617, -2.140848398208618, -1.336142659187317, -0.8648803234100342, 0.13889023661613464, -1.8320021629333496, 0.15279866755008698, -1.6230559349060059, 0.6574265360832214, 0.7301390171051025, 0.32424426078796387, -0.7202870845794678, 0.5640677213668823, 0.24781100451946259, -0.15706898272037506, 0.32060739398002625, 1.139134168624878, -0.6059219837188721, -0.7973236441612244, 2.2065773010253906, 0.9207944869995117, -0.1345595419406891, 0.478300541639328, 0.8647485971450806, -1.3607732057571411, -1.7938108444213867, 1.2615644931793213, 0.05444213002920151, 0.030626539140939713, 0.05238872766494751, 0.7604564428329468, 1.7057868242263794, -0.4620673954486847, 1.221690058708191, -1.0238533020019531, -0.300335168838501, 1.4380574226379395, 0.6092077493667603, -0.03359920158982277, -2.347519874572754, 1.2633694410324097, 2.133133888244629, 0.279070645570755, 0.6468484997749329, 0.7394493222236633, -0.4520459473133087, -0.7462388277053833, -0.34599006175994873, -0.22186961770057678, 3.064863920211792, 0.31341102719306946, -0.8354211449623108, -0.6412434577941895, -0.984363317489624, -1.1663687229156494, -1.379163146018982, -0.5477033257484436, 0.5237505435943604, 2.3133013248443604, -2.4168272018432617, 0.7144538760185242, -1.5856130123138428, 1.1548370122909546, 0.3424864411354065, -0.33405801653862, -0.7353968024253845, -0.317344069480896, -0.6056594252586365, 0.9752163887023926, -0.1581365317106247, -0.16733615100383759, 0.9460160136222839, 0.5097565650939941, -0.5673792362213135, 0.6623902916908264, 2.418107509613037, 0.22746509313583374, 1.2366477251052856, 0.10180911421775818, 0.5507533550262451, -1.1162278652191162, -0.27488213777542114, 2.3369271755218506, 0.8206181526184082, -0.37446439266204834, 1.249855637550354, -0.9065141081809998, 0.7082273364067078, 1.2471636533737183, 0.2288949340581894, -0.4418111741542816, 0.9471950531005859, 0.017735593020915985, -0.7630348205566406, -0.7064182758331299, 0.856104850769043, -0.026943013072013855, 0.7622936964035034, 1.8432997465133667, -0.5726586580276489, -0.6667470335960388, -0.5484340190887451, 0.07342003285884857, 1.583588719367981, 0.4505362808704376, -1.8836300373077393, 1.9277799129486084, 1.7212282419204712, -0.012548479251563549, 1.0803471803665161, 1.517116665840149, -0.7021861672401428, -0.4696201682090759, -1.9998539686203003, -0.6290576457977295, -0.09651834517717361, -1.1863652467727661, 0.47001758217811584, 1.5577583312988281, 1.239223837852478, 0.13545143604278564, 0.9490364789962769, -0.27027615904808044, 0.13979589939117432, 0.3634493350982666, 0.6009385585784912, -0.7219533920288086, 0.1248520091176033, 0.45627591013908386, 0.7411261200904846, 1.5387517213821411, -0.11175304651260376, -1.2299995422363281, -0.602517306804657, -0.6027194261550903, 0.10082180798053741, 2.048807144165039, -0.007121844682842493, -1.0595409870147705, -0.7106328010559082, -0.7946557998657227, 2.240626096725464, 1.0544846057891846, 1.3769803047180176, -0.0675976499915123, 0.03853784129023552, 0.6335281729698181, -0.5589374899864197, -0.3392810523509979, 0.23469749093055725, -0.3713030219078064, -1.2516294717788696, 0.4262109100818634, -0.050558820366859436, -0.5215253829956055, 1.0546424388885498, 0.38873764872550964, 0.569052517414093, -0.4658573269844055, 0.5215972661972046, 0.5063955187797546, -0.4708373248577118, -0.4617355167865753, -0.282129168510437, 3.075428009033203, -0.4209238588809967, 1.8380612134933472, -0.7434599995613098, 0.1041940376162529, 1.327839732170105, -0.4607199430465698, -1.286271572113037, 0.5622978210449219, 0.9449388980865479, -0.01614353433251381, 0.11927489936351776, -0.7610538005828857, -0.4238985478878021, 0.5779557824134827, 0.015540639869868755, 0.5925832390785217, 0.7594045996665955, 0.3172358274459839, -0.2898426055908203, -1.691469669342041, 1.6546062231063843, 1.9897799491882324, 0.9833572506904602, 0.8757314682006836, 0.03340153768658638, -1.1073716878890991, -1.61149263381958, 1.5818325281143188, -1.001272439956665, 2.4090232849121094, 0.4967930018901825, -0.5889718532562256, -1.2327499389648438, -0.933485746383667, 0.6923277974128723, 2.978621006011963, -1.201478123664856, -0.5414489507675171, 0.9162774682044983, -0.9938631057739258, -0.9462518692016602, 2.026346445083618, -0.08148741722106934, 2.1180760860443115, 2.4568521976470947, 1.308334469795227, -0.4092293083667755, 1.5199389457702637, 0.2401336431503296, -0.07672857493162155, 0.19953538477420807, -0.582857072353363, 1.7541544437408447, 0.3644847571849823, -0.8537748456001282, 1.333866000175476, 1.1097289323806763, -1.2961713075637817, -0.2671332359313965, -0.11528171598911285, 0.5364192724227905, 0.8485555052757263, -0.6455994248390198, -1.61687171459198, -0.36001577973365784, -0.2978653609752655, -0.07868463546037674, 0.00238874857313931, 0.8151956796646118, -0.8603678345680237, 0.590671718120575, -0.1570080667734146, 0.25781306624412537, 0.5526830554008484, 0.005012926179915667, 0.5645086169242859, -1.8153542280197144, 0.10933580249547958, 0.914612889289856, 1.1200610399246216, 1.0505285263061523, -0.002121273661032319, -0.5658379793167114, 0.6379390954971313, 0.45158031582832336, -0.7626321315765381, 1.3174611330032349, -0.6234307885169983, -1.7559058666229248, 1.6489591598510742, -0.5015462636947632, -0.7464198470115662, 1.30655837059021, 0.4342547059059143, -0.3332986831665039, -0.16042929887771606, -1.8263144493103027, 0.7954517006874084, 0.0019316024845466018, -1.085212230682373, 0.05519922077655792, 0.2578616440296173, 0.2691059708595276, -0.24719884991645813, -1.1037062406539917, 1.2708667516708374, -0.6203423738479614, -0.2558014392852783, 0.7869867086410522, 0.9924377202987671}; - - -static float gemm_C_dram [64*128] __attribute__((section(".data"))) = {1.1461836099624634, -0.925197422504425, 0.045681800693273544, 0.12508131563663483, -0.4813244938850403, 0.08405609428882599, -0.4832690954208374, -0.6851286292076111, 0.17000712454319, 1.177240014076233, 0.2754661738872528, -0.9759548306465149, 0.2587737739086151, 0.24277469515800476, 1.6395325660705566, 0.5712029933929443, -0.6191564202308655, 0.9200091361999512, 0.2194957286119461, 0.6950746178627014, 1.231610894203186, -0.3235622048377991, 0.8434053659439087, -1.1394197940826416, 1.9753950834274292, -0.8547207713127136, 0.4149115979671478, -0.6653251051902771, -2.227154016494751, 0.21538236737251282, 0.19520561397075653, 2.0457096099853516, 0.4834785461425781, 0.21646755933761597, 0.21859319508075714, 0.07242795825004578, -0.4706675708293915, -1.6633014678955078, -0.7983156442642212, 1.1904983520507812, 1.3149547576904297, -0.872753381729126, 0.4062662422657013, 0.33824422955513, 1.5677845478057861, 1.0824438333511353, -0.32650649547576904, 0.21665386855602264, 0.8378231525421143, -0.7503502368927002, 0.696711003780365, 0.6184662580490112, 1.1421349048614502, -0.4861550033092499, 0.5971087217330933, -1.1044844388961792, -0.24697527289390564, -0.31988877058029175, 0.7287176251411438, -0.9450184106826782, 0.4216642379760742, -1.6059740781784058, -0.3584510385990143, -0.45883315801620483, 1.569604754447937, 2.1209893226623535, 0.4029560387134552, 0.12680023908615112, 0.26448920369148254, 0.715726375579834, -0.8140620589256287, -0.09836431592702866, -0.6782593727111816, -0.20651526749134064, -1.5632652044296265, -0.9191578030586243, -1.3441343307495117, 2.045252799987793, 1.121589183807373, -0.4548458158969879, -0.25969576835632324, -0.014969835057854652, -0.22431418299674988, -0.07683953642845154, -1.5764670372009277, 0.34683534502983093, -0.3977266848087311, 3.0460972785949707, -1.3808554410934448, -1.5788131952285767, 1.1395078897476196, -0.17530997097492218, -0.4312095046043396, -0.5385904908180237, -0.15311753749847412, -1.0559680461883545, -0.4256456196308136, -1.0746498107910156, -2.623314619064331, 1.2572273015975952, -0.4650733470916748, -1.1774027347564697, -1.95713472366333, -0.46885377168655396, 0.8855757117271423, -2.3139586448669434, -1.9464280605316162, -0.23965734243392944, -0.2647119462490082, 0.028292115777730942, -0.8573666214942932, 0.3536205589771271, 0.17124006152153015, -0.7855179905891418, -0.17985939979553223, 0.29635173082351685, 0.44778192043304443, 0.5792455673217773, -0.5167396664619446, 0.14775127172470093, 0.2273465096950531, 0.40980809926986694, -0.25179287791252136, 1.9823349714279175, 1.1448192596435547, -1.1394574642181396, 0.9925094842910767, -0.7322224974632263, -1.6529203653335571, -1.4640864133834839, 0.09710408002138138, -1.2405847311019897, 0.2737589180469513, 0.6365431547164917, -0.9917715787887573, -0.6802170276641846, -2.227713108062744, 2.2060036659240723, -0.4809766113758087, -0.03716631606221199, -0.3918103575706482, -1.0321851968765259, -0.8379493355751038, -0.45199963450431824, -0.6588855981826782, -0.5496092438697815, 1.8101423978805542, 1.813652753829956, -0.10116614401340485, 0.712404191493988, 1.2393639087677002, 1.538009762763977, 0.4532662332057953, -0.2648650109767914, 0.5938531756401062, 0.5040872693061829, -1.3104233741760254, 2.1287930011749268, -1.1520315408706665, 1.067251205444336, 0.259245365858078, 0.28111669421195984, 1.5076802968978882, 0.23735357820987701, 0.29249605536460876, -0.29426664113998413, -0.3329826593399048, 0.21854034066200256, -0.33590710163116455, -0.3296174705028534, -1.0800011157989502, -0.5593826770782471, 0.2493898868560791, 1.5483933687210083, 0.9528552293777466, 0.018920166417956352, 1.6964718103408813, -0.4348345100879669, -1.2824186086654663, -0.570356011390686, 2.0226619243621826, 1.94395911693573, 1.203733205795288, -1.5800527334213257, -0.9531199336051941, -0.7194394469261169, -0.7632372379302979, 0.48862582445144653, -1.3505860567092896, 0.21517273783683777, -1.510231852531433, 0.4840081036090851, 0.16342943906784058, -0.11430932581424713, -0.34312182664871216, -0.5410669445991516, -0.2712363600730896, 1.5040216445922852, 0.8307691216468811, -0.118621826171875, -1.109676480293274, 0.6022520661354065, 0.7369877696037292, 1.2294758558273315, -0.7931652069091797, 0.06589248776435852, 0.9999004006385803, -0.37482553720474243, -0.7283306121826172, 0.8367783427238464, -0.22277869284152985, -1.04607355594635, -1.6226893663406372, -0.8755483031272888, 0.1441163718700409, -1.1820365190505981, 0.5713995695114136, -0.5819032788276672, -0.23356109857559204, -0.7112576961517334, 0.15907597541809082, 0.057729680091142654, -1.234723687171936, -0.21592645347118378, 0.7627710103988647, 1.8667207956314087, 1.9584366083145142, 0.8458401560783386, 0.3636375665664673, 0.3296601474285126, -0.5703135132789612, -0.014800261706113815, -1.1478610038757324, 1.1133593320846558, -0.07982213795185089, 0.21360348165035248, 0.24011126160621643, -1.3744145631790161, -0.1410217434167862, 0.07245025783777237, -1.317772388458252, -0.09810341149568558, -0.5361059308052063, 0.12794749438762665, -0.6000442504882812, -0.020636023953557014, -1.1892322301864624, 0.681274950504303, -0.7774696946144104, 0.6127382516860962, 0.469952791929245, -0.14465650916099548, -0.4461713135242462, -0.3819434344768524, -1.9647483825683594, 0.44745320081710815, -1.7089629173278809, 0.4627201557159424, -0.6895861625671387, -1.1340458393096924, 0.7742297053337097, -0.6006583571434021, 0.3770104646682739, -0.9978212714195251, 0.5487270355224609, 2.1203742027282715, 1.27312433719635, 1.8125492334365845, -0.08688022941350937, 0.1370605081319809, -0.25501108169555664, -0.3115346133708954, 1.078444242477417, 0.2961873412132263, -0.21249224245548248, -0.020212704315781593, 0.3292897343635559, 0.20023423433303833, -1.094189167022705, 0.7283406257629395, 1.050908088684082, -1.7366182804107666, -0.18986885249614716, 0.8010783195495605, 0.3837248682975769, 2.9085259437561035, -1.0504871606826782, 0.39462172985076904, -0.7158964276313782, 0.27780967950820923, 0.9906352758407593, 0.9681207537651062, 0.13429316878318787, 0.2125522792339325, -1.2462257146835327, 0.5097037553787231, -0.820456862449646, -1.763423204421997, -1.3901922702789307, 0.8230733275413513, 1.2621049880981445, -1.3687059879302979, -0.9075360298156738, 2.059342861175537, -1.3010276556015015, 0.30281156301498413, -0.3678593039512634, -1.570702314376831, 0.1571108102798462, -0.5135447978973389, 1.0179486274719238, 0.9321484565734863, 0.6278203725814819, 0.03660230711102486, 0.786332905292511, -0.5679843425750732, 1.1443750858306885, -0.3000045716762543, 1.309080719947815, -0.8132901191711426, -1.3022125959396362, 1.2917194366455078, 1.0194035768508911, 0.00248744641430676, -0.6477036476135254, -1.1080063581466675, 0.07166557759046555, 1.4059423208236694, -1.6217572689056396, -1.2231537103652954, 0.35014253854751587, 0.05886305868625641, -0.5545094609260559, 0.05308857932686806, 0.2734648287296295, -1.5828238725662231, 0.24628600478172302, 0.7177585363388062, 0.16836299002170563, -0.15528716146945953, 0.12401560693979263, -1.0999937057495117, -2.479473829269409, -1.1268045902252197, 1.545646071434021, -0.8820153474807739, -2.7336862087249756, -0.028030795976519585, -0.2801133990287781, -0.7881538271903992, -0.0102301724255085, 1.3352530002593994, 0.4562806189060211, 0.04864730313420296, -1.0668126344680786, 0.2506082355976105, -1.219687581062317, -0.03062083199620247, -0.11067631840705872, -0.01533905602991581, -0.5708697438240051, 0.6374395489692688, 0.03457891568541527, 0.5303639769554138, 1.0006904602050781, -1.4314296245574951, -0.11361731588840485, -0.5323442220687866, 0.01584271341562271, -0.40201273560523987, 0.15545214712619781, 1.487341046333313, 0.08801984041929245, 0.6867648363113403, -0.7509442567825317, 0.9259932637214661, -1.1282087564468384, 0.2268911451101303, 1.156336784362793, -0.9855378866195679, 1.3825527429580688, 1.17389976978302, -1.1229116916656494, 1.9909428358078003, -0.22254100441932678, 0.4116585850715637, 0.5883088111877441, 0.623862624168396, -1.418918490409851, -0.5537405610084534, 0.7306120991706848, -0.10485788434743881, 0.3041887879371643, -0.17445194721221924, 1.2674678564071655, 0.14794912934303284, -1.9215002059936523, -1.0004860162734985, 0.380216121673584, 0.6472048163414001, -1.4588133096694946, -0.3678722083568573, -0.5542176365852356, 0.37422314286231995, 0.25864824652671814, 0.14207442104816437, -1.0991194248199463, -1.2323707342147827, -1.8137578964233398, -0.6905040144920349, -1.472104787826538, -0.6798034906387329, -0.2320878654718399, -0.12436152249574661, -1.7481125593185425, -0.7744478583335876, -1.005427360534668, -0.445128470659256, -0.49920690059661865, -1.2511234283447266, 1.413553237915039, -0.49040916562080383, -2.0864195823669434, -1.2724952697753906, 0.9266754388809204, 0.0396316796541214, 1.5224297046661377, 0.6223563551902771, -0.7272334694862366, -0.8394643068313599, 0.7642459869384766, -0.15168152749538422, -1.120729684829712, 0.08663387596607208, 0.703443169593811, 2.376915454864502, -0.5904582738876343, 0.643314003944397, 0.7492372393608093, 0.43023088574409485, -0.8055498600006104, -0.6838157773017883, 0.12993179261684418, 0.08200930804014206, 1.0240027904510498, -1.2957664728164673, 0.2603977620601654, -0.3148236870765686, -2.4640426635742188, 0.09418080002069473, -1.2168586254119873, -1.035667896270752, -2.45902943611145, -1.0432871580123901, 1.1737724542617798, 0.45003315806388855, 0.09459362924098969, 0.9861469268798828, -0.19381196796894073, 0.48243680596351624, -1.6306030750274658, -0.7303743362426758, 0.7542548179626465, -0.7714942097663879, -0.14200665056705475, -1.8938629627227783, -0.7009972929954529, -0.5690559148788452, 1.2004964351654053, -0.2338741421699524, 1.1048530340194702, -0.4468814730644226, -1.721454381942749, 0.4870378077030182, -1.887312889099121, -1.28815495967865, 1.2358500957489014, 1.6400325298309326, 1.4069246053695679, 0.49380117654800415, -1.0706700086593628, -0.40355056524276733, -1.480239748954773, -0.02864418737590313, -1.3437269926071167, 1.9430443048477173, 0.3965672254562378, 0.21661914885044098, -1.009649395942688, 1.3246287107467651, -1.5345683097839355, 0.5463643670082092, -0.5756259560585022, 1.0084810256958008, -0.29436102509498596, 0.46585944294929504, -0.282407283782959, -0.45596441626548767, -0.7608029842376709, -2.370734691619873, 0.37506312131881714, 0.314164400100708, -0.3987463414669037, -0.1438126564025879, -0.0658525750041008, 0.5862781405448914, 0.922174870967865, -1.1764335632324219, -1.309481143951416, 0.9528230428695679, 0.3780807554721832, -1.6077550649642944, -0.17792658507823944, 1.1085803508758545, -2.1546967029571533, 0.7569305896759033, 0.020378248766064644, 0.7611619830131531, -1.2872730493545532, 1.5869779586791992, 0.5578174591064453, 0.3294259309768677, 0.25274842977523804, -1.7915946245193481, 0.4557841122150421, 0.19310809671878815, -0.28293415904045105, -0.9301953315734863, -0.09025152027606964, -1.3601348400115967, -1.4189269542694092, 0.6599076986312866, 0.7230499386787415, -0.64736407995224, -0.010357722640037537, 0.3538391590118408, 0.43678370118141174, -0.14476169645786285, 1.1746352910995483, 1.0059548616409302, -0.07217452675104141, -0.5912344455718994, -0.6125980019569397, -1.1882824897766113, 1.5388343334197998, 0.38902273774147034, 0.7068952322006226, 0.42015349864959717, 0.28325849771499634, 0.39731669425964355, 0.5393460988998413, 0.7017847895622253, 0.22260913252830505, 0.9001048803329468, 0.5926806330680847, 0.575739860534668, -0.182559534907341, 0.30621832609176636, 0.6708401441574097, 2.5664966106414795, -0.5914044380187988, 0.3831835389137268, 0.01534055545926094, -0.7344679832458496, -0.4751342535018921, 0.43762004375457764, 0.8489245772361755, -1.731415867805481, -1.2886912822723389, 0.8339402079582214, 0.6044676303863525, 2.086089849472046, -1.2220746278762817, 0.31386619806289673, -0.17632728815078735, -0.548790693283081, -1.5469971895217896, 1.3445618152618408, -1.4733692407608032, -0.44747409224510193, -0.2211962193250656, 0.14331674575805664, -2.0329089164733887, 0.11914341896772385, 0.07592228055000305, -0.5265060067176819, 1.4931269884109497, 0.7875722050666809, 0.8344499468803406, -0.6012063026428223, -0.8138382434844971, 1.1030197143554688, -1.4535772800445557, 1.0177559852600098, 0.9648528695106506, 0.905540943145752, 0.3080628514289856, -0.26391613483428955, 0.8430980443954468, -0.8177153468132019, 1.1891584396362305, -1.1569856405258179, 0.2068420648574829, -1.0431580543518066, 0.032170362770557404, 0.4929044544696808, -0.22460214793682098, 1.4253699779510498, 0.4016988277435303, -1.4553583860397339, 0.7266255617141724, 0.31739941239356995, 0.7006790637969971, 0.05135747417807579, 0.6329513192176819, 0.7375080585479736, 0.7079004049301147, 0.9068149924278259, -0.016078224405646324, -1.32290780544281, 0.5312222838401794, -1.5422077178955078, -0.1710830181837082, -0.024016600102186203, 1.0150597095489502, 1.2546226978302002, -1.2771068811416626, 2.3063852787017822, 0.9314523339271545, -0.07229183614253998, -1.5839335918426514, -0.7417495846748352, -0.7521906495094299, -0.6582112908363342, -1.5306779146194458, 1.6192551851272583, -0.7669572234153748, -1.027315616607666, -1.4889039993286133, -0.6001451015472412, 1.2401199340820312, -0.8504090309143066, 0.24080625176429749, 0.4288440942764282, -1.548938512802124, 0.0593230277299881, 0.3076804578304291, 2.182203531265259, 1.0584279298782349, 1.5646765232086182, 0.565480649471283, -0.18976186215877533, 0.6682277321815491, 0.8287177085876465, -0.1755658984184265, -0.4827743172645569, -1.2820956707000732, 0.20693576335906982, 0.31328001618385315, -0.020323188975453377, 0.3916594088077545, 0.8059439659118652, -1.5660170316696167, -0.09966612607240677, 1.1194355487823486, 2.0980899333953857, -0.06284931302070618, 0.31341609358787537, 1.6775834560394287, -0.5758804678916931, 0.2033965289592743, 2.583695888519287, 1.092511534690857, 0.09823209047317505, 0.23085954785346985, -0.03787662461400032, -0.4646366238594055, 1.9690353870391846, 0.372011661529541, 0.3109612762928009, -1.0367642641067505, -0.7474067807197571, -1.1862778663635254, -0.4720861613750458, 0.8590311408042908, 0.7068113088607788, 1.2485971450805664, 1.017604112625122, 0.695793867111206, 2.1361753940582275, -0.30246520042419434, -2.147726058959961, -0.4135883152484894, -1.6441075801849365, -1.1413065195083618, 0.7245224118232727, 1.2469756603240967, -0.24784734845161438, -2.5415282249450684, -0.009922402910888195, 1.2334064245224, -1.008525013923645, 0.43208158016204834, 1.452688455581665, -0.6495896577835083, -0.7705747485160828, -1.3808695077896118, -0.0112844780087471, -1.6743733882904053, -0.31772148609161377, -1.7003717422485352, 0.3204028010368347, -0.003510315902531147, -0.3480696678161621, 0.032722730189561844, -0.6252533197402954, 0.42974984645843506, 0.6386435627937317, -0.5776906609535217, 1.1635489463806152, 0.05803189426660538, -0.4714987277984619, 1.3065849542617798, -0.5034745931625366, -0.9364447593688965, 0.6323910355567932, -0.6758667826652527, 0.16463853418827057, -1.5509603023529053, 1.173037052154541, -1.0408146381378174, 0.8998091220855713, 0.7057612538337708, -0.4554930031299591, 0.4334265887737274, -1.1871320009231567, -0.9034011363983154, -0.47084692120552063, 0.7341066598892212, -0.6009141802787781, 0.5452782511711121, -1.7837350368499756, 0.8718382716178894, -0.14356687664985657, 0.6183330416679382, 1.7231794595718384, 1.0611510276794434, -0.5030128955841064, 0.2772311866283417, 0.07439642399549484, -1.0909793376922607, -1.037758231163025, 1.57411789894104, -1.0005409717559814, -0.4778778851032257, 0.7259119153022766, -1.2694346904754639, -0.2797561287879944, 0.8541364073753357, -0.4478784501552582, 0.6801887154579163, 0.8910377621650696, -0.5190295577049255, -1.5116558074951172, 1.6752128601074219, 1.5365315675735474, -1.744053602218628, 0.04325494170188904, 0.9744000434875488, -0.21424338221549988, -0.5243934392929077, -1.4997553825378418, -0.9745938181877136, 0.8825051784515381, 1.5460751056671143, 0.4053869843482971, 0.7598040699958801, 0.02276633307337761, 0.9662615060806274, 0.6817096471786499, 2.679764986038208, -0.8961530923843384, 1.006338357925415, 1.2334017753601074, -0.034436214715242386, -2.177886962890625, 0.9070073962211609, 1.306765079498291, -1.0856964588165283, 1.7033183574676514, 0.07013339549303055, 0.9156399965286255, 0.9188463687896729, 0.5301861763000488, 0.437359094619751, 0.852860152721405, -1.2471301555633545, 1.5361508131027222, -0.9837326407432556, -0.9805454015731812, 1.4827989339828491, -0.9940140843391418, 0.14915692806243896, 0.7168190479278564, -0.5368642807006836, 0.03443889319896698, -1.340107798576355, 0.6707820892333984, -0.22779415547847748, 1.1676357984542847, 0.2671286463737488, 0.3350473940372467, -0.10517803579568863, 0.22644375264644623, -0.8352276086807251, -1.2068190574645996, 0.2773573398590088, 0.4213554561138153, -0.5877177715301514, -0.6079329252243042, -0.14739437401294708, -1.4085168838500977, -1.2167421579360962, -0.10697531700134277, -2.274136781692505, -0.9521486759185791, -0.19751831889152527, 0.3863239288330078, 1.8386523723602295, -0.03614964708685875, -0.19145673513412476, -0.13105538487434387, -0.7310182452201843, -1.9371672868728638, 0.7312856316566467, -1.1187294721603394, -0.3121435344219208, 0.5412718653678894, -1.1865642070770264, 0.5746864676475525, -0.8768628835678101, -1.8840473890304565, 1.7089622020721436, -0.8040568232536316, 0.134303018450737, -0.5591476559638977, 0.9854964017868042, -0.24293407797813416, 1.9010889530181885, -0.6262291669845581, -1.061158537864685, 0.9373116493225098, 1.9817945957183838, -1.5863920450210571, 1.1015229225158691, -1.0990160703659058, 1.3545143604278564, 0.6491940021514893, -0.7922073006629944, 0.15628781914710999, -0.8278886675834656, -0.41880422830581665, -0.42241159081459045, 1.426519751548767, -0.24913948774337769, -0.9482235312461853, 0.5806369185447693, -0.6130928993225098, -0.912233293056488, -0.8782299757003784, 0.6551238894462585, -1.6986210346221924, -1.2029129266738892, -0.0037337159737944603, 0.6016515493392944, -0.616423487663269, 1.7049752473831177, -2.2782421112060547, 1.278979778289795, 0.36832404136657715, 0.7234752178192139, 0.27180585265159607, -0.19179271161556244, 0.788508951663971, 0.26771992444992065, -0.2536696791648865, 0.2022397518157959, 1.0709457397460938, -0.4470517635345459, -0.04968205466866493, 0.20045854151248932, 2.4254117012023926, 1.1456202268600464, 0.28035083413124084, 1.3052151203155518, -0.34297651052474976, 0.7520315647125244, -1.618732213973999, -1.6055095195770264, 1.0760798454284668, -0.37361574172973633, 1.7360970973968506, 1.1961469650268555, -0.16783595085144043, 0.41634079813957214, 0.4626937210559845, -0.116666279733181, -0.872348964214325, -1.6073611974716187, -1.4574841260910034, -1.0314910411834717, 1.5867739915847778, 1.2625712156295776, -2.6988439559936523, 1.1425931453704834, 1.040143609046936, 1.5081125497817993, -0.8525752425193787, -1.2788829803466797, -0.17123907804489136, -0.313368558883667, -0.4904158115386963, -0.9763240218162537, 1.403957724571228, 0.39400216937065125, -0.33673810958862305, 0.5444762110710144, 0.5535179972648621, -1.5752962827682495, -0.4616399109363556, -0.774427056312561, 0.006386628840118647, 1.2184021472930908, 0.16385680437088013, -1.368614912033081, -1.4802519083023071, 0.6238643527030945, -0.4135255217552185, 2.228482723236084, 0.1545608639717102, 0.6006828546524048, -0.6623119115829468, 0.047770485281944275, -2.823435068130493, 0.49373510479927063, -0.05800070986151695, 0.2993623614311218, 0.49773624539375305, 0.46306660771369934, -1.0045301914215088, -1.5224486589431763, -0.6149227023124695, -0.03981608897447586, 0.41412538290023804, 0.056716982275247574, -0.47792455554008484, 0.9630080461502075, -0.3100804090499878, 0.47646787762641907, 0.4726511836051941, -0.38455435633659363, -0.026915084570646286, 2.1486213207244873, 0.34397459030151367, -1.788156509399414, -0.410500705242157, -0.8795010447502136, -0.805179238319397, 1.11342191696167, 0.12642234563827515, 1.166041374206543, 0.517128050327301, 0.2655039131641388, 0.5372676253318787, 0.41533347964286804, -0.831403374671936, 0.5855547785758972, 0.20351526141166687, -0.5833857655525208, -1.4546972513198853, 0.9841927289962769, 0.39273539185523987, 0.7210509777069092, -1.9135591983795166, 0.8956406116485596, 0.11255104094743729, 0.6477473974227905, -0.03082481399178505, -1.144518494606018, 1.598435401916504, 0.9901102781295776, 0.16302920877933502, -1.389894962310791, -0.20181387662887573, 0.6375985741615295, -0.2762746214866638, 1.3398635387420654, -1.1777675151824951, -0.3151717483997345, -0.5964513421058655, -0.20334546267986298, 0.2217772752046585, 0.5498955845832825, 0.3879639208316803, 0.351286381483078, 0.4416024088859558, 0.12086282670497894, -0.09911958128213882, 1.1007423400878906, -0.6658017635345459, -1.2272752523422241, 0.28895047307014465, -0.2883552312850952, 1.2565927505493164, 1.0747323036193848, -0.4700372815132141, 0.5934500694274902, -0.7885742783546448, -1.7548407316207886, -0.8310991525650024, 1.582967758178711, -0.869167685508728, -0.00042465166188776493, 0.25658637285232544, -0.8529409766197205, 0.7554131746292114, 0.2763632535934448, 1.636642575263977, 0.07986479252576828, -0.8928759694099426, 1.555809736251831, 0.08813470602035522, -0.02495909482240677, -0.5189676284790039, 0.24225474894046783, -0.6527195572853088, -1.2691335678100586, 1.3505803346633911, 1.011438012123108, -0.818925678730011, 1.3658032417297363, 0.7219139337539673, -1.0544432401657104, -1.4024404287338257, -3.0915143489837646, -1.6482514142990112, 0.1792115718126297, -0.09205194562673569, -0.9042299389839172, -0.3436017632484436, 1.2519160509109497, 0.35165178775787354, 0.3802858591079712, 0.3201286494731903, -1.2998360395431519, -0.04162105917930603, 0.0034104592632502317, 1.3244961500167847, 0.27685871720314026, 0.9530870914459229, 0.8878345489501953, 0.5708205699920654, 1.774420976638794, 0.5327517986297607, 0.36705565452575684, -0.6391046047210693, -0.5932085514068604, -1.0725220441818237, 1.3194342851638794, 0.5289162993431091, 0.7074966430664062, -1.0743408203125, -0.5715456604957581, -0.5952511429786682, 1.0710901021957397, -0.9528910517692566, -0.6663487553596497, 0.8110467791557312, 0.6463767886161804, -0.9256242513656616, -1.6763112545013428, -0.28288277983665466, 1.110994815826416, -0.9628477096557617, 0.48269253969192505, 0.8935016393661499, 0.1560996025800705, -0.12617674469947815, -0.23164114356040955, -0.5588524341583252, -1.0008312463760376, -0.5735286474227905, 0.43058550357818604, -1.2838671207427979, -0.8399543762207031, 0.20103959739208221, 0.4790631830692291, -0.3462916314601898, -0.9674949645996094, -1.0884157419204712, -0.6737895607948303, 0.2736413776874542, -1.4429844617843628, 0.8323605060577393, 0.13079054653644562, -1.3276169300079346, 0.07740548253059387, 0.6125710606575012, 0.3734882175922394, 1.131043791770935, -1.0812077522277832, 2.4382412433624268, 1.062613844871521, 1.5243998765945435, -0.15972404181957245, 0.007739401888102293, 0.029898596927523613, 1.9170650243759155, -0.057848699390888214, 0.6261939406394958, -0.6846776604652405, 0.7741466164588928, 0.07567333430051804, 0.5779408812522888, 0.04847513884305954, -0.9716615080833435, -1.063011646270752, -0.4111408591270447, 1.1519650220870972, 2.1271843910217285, 1.0057052373886108, -0.853182315826416, 0.34833887219429016, -0.2421545535326004, 0.6549513339996338, 1.2943514585494995, 0.7863060235977173, -1.8489598035812378, 1.846632957458496, -0.6936521530151367, 0.9189095497131348, 0.6848545074462891, -0.7557948231697083, -0.7229211926460266, -0.8267048001289368, -0.8875840306282043, 0.8983263969421387, 0.7250875234603882, 0.41876426339149475, -0.1664055585861206, -0.2262459248304367, 0.7404511570930481, -1.2985702753067017, 0.05029737204313278, 0.8606621026992798, -0.8343114256858826, -1.3031758069992065, -1.9502431154251099, 1.1189513206481934, -0.003746672999113798, -0.8514395356178284, 0.2470083087682724, -0.2738511562347412, 0.9419270753860474, 1.4984309673309326, 0.9823076128959656, -0.2616870105266571, -0.01915702410042286, 0.5663856863975525, -0.7214712500572205, 0.3044078052043915, -0.39721372723579407, 0.3501163721084595, 0.2630210816860199, 0.35850024223327637, -1.7687623500823975, -0.12844321131706238, -1.1185251474380493, 1.43491530418396, -0.8756193518638611, 1.4389456510543823, 0.1895032674074173, 0.6529088616371155, 0.5427952408790588, 0.4055632948875427, -0.739663302898407, 2.8019816875457764, 0.11586438864469528, 2.485548257827759, -0.28192421793937683, -0.5033719539642334, -1.2924153804779053, -1.3035414218902588, -0.9969660639762878, -0.17057311534881592, 1.111652135848999, -0.5077708959579468, -0.4420250952243805, -0.5498480796813965, 0.10432156175374985, 0.27962636947631836, 1.5735074281692505, -1.2038326263427734, -1.6770247220993042, -1.7926647663116455, 0.047020070254802704, 1.0511454343795776, -0.36523663997650146, 0.4729894697666168, -0.6645509600639343, 0.6527335047721863, -0.9549705982208252, -0.9141030311584473, 0.3930000960826874, -2.34485125541687, -0.9156555533409119, 0.1756550818681717, -0.9086050391197205, -0.5328245162963867, -0.27611690759658813, 0.278078556060791, 0.9840853214263916, -0.4037463963031769, 1.089081048965454, 2.1517293453216553, 0.2650476098060608, -0.04256448522210121, 1.3213773965835571, -0.9126872420310974, 0.6472273468971252, 0.18155749142169952, 2.177903175354004, 1.7463138103485107, -0.07339736074209213, 1.4920434951782227, -0.13264720141887665, -0.01697687804698944, 0.707045316696167, -0.12207669019699097, -1.491546630859375, -0.36678460240364075, 0.5357024073600769, -1.5158751010894775, 0.34968101978302, -0.01641356386244297, 0.9673476219177246, 0.026362314820289612, 2.126936674118042, 0.7595853805541992, 0.14142799377441406, 0.12379442155361176, -1.2156168222427368, 2.033399820327759, -2.106581687927246, -1.5643799304962158, 0.5713115930557251, -1.1477878093719482, -1.4635416269302368, 0.2289474457502365, 0.22021065652370453, 0.4787444472312927, -0.47372671961784363, -0.3804641366004944, 1.0520234107971191, 1.0363157987594604, 1.6497269868850708, -0.2629929482936859, -0.26389098167419434, 0.24749574065208435, -0.5053747296333313, 0.1252039670944214, 0.0038084080442786217, -0.92634516954422, 1.0084755420684814, 0.29291340708732605, 0.2065506875514984, -0.7275137305259705, 1.1867798566818237, -0.11821222305297852, 1.0115718841552734, -0.014045019634068012, -0.9854261875152588, 2.1732544898986816, 0.7485927939414978, -0.7765831351280212, 0.20200026035308838, -0.15311570465564728, 1.4286010265350342, -1.5209782123565674, 0.27574506402015686, -0.86683589220047, 0.16711468994617462, 0.3811279833316803, 2.447333812713623, -0.4426872432231903, -0.09996584802865982, 0.06816934794187546, -0.7828433513641357, 0.4482230544090271, 0.545208215713501, 1.1773234605789185, 0.9769831299781799, 0.3172052800655365, -0.0552092120051384, 0.1288064420223236, -0.19020695984363556, 0.27748903632164, 0.44555649161338806, 0.8486361503601074, -0.6793386936187744, -0.35890987515449524, 0.6532883048057556, 1.1806750297546387, 0.5125744938850403, -0.15214596688747406, -1.4904029369354248, -0.20433785021305084, 0.18016894161701202, 1.3212217092514038, 0.3678434491157532, -0.7261868119239807, -0.9284923672676086, -0.829149603843689, 0.6486647129058838, 0.5619094967842102, 1.1607086658477783, 1.5466023683547974, 0.029192190617322922, -0.21340924501419067, -0.9221441149711609, -0.09687396138906479, -0.4043552279472351, 0.32771384716033936, 0.2095441073179245, -0.8953085541725159, 2.4885334968566895, 1.0356923341751099, -0.9895824790000916, -0.15130887925624847, -3.0885841846466064, 0.44792553782463074, 1.2275382280349731, -0.03135538101196289, 0.10683242231607437, -0.8105326294898987, 0.21746888756752014, 0.46650487184524536, -0.8955770134925842, -0.13472433388233185, 1.3029053211212158, 0.12519511580467224, -0.5881564617156982, 0.26528072357177734, -0.3471061885356903, 1.5282481908798218, -0.8961146473884583, -0.22016094624996185, 2.7051312923431396, 1.5574642419815063, 0.36638471484184265, 0.42102497816085815, -1.0107086896896362, -0.6086214780807495, 0.16692061722278595, -1.1534862518310547, -0.7197577953338623, 0.33640965819358826, 0.22302758693695068, 0.2308254837989807, 2.074862003326416, -1.2993555068969727, 0.8976183533668518, 0.17996492981910706, 0.943515419960022, -1.441399097442627, 1.9530658721923828, 1.5543081760406494, 0.8810333609580994, -0.5521501898765564, -0.6721897125244141, 0.37273669242858887, 0.13655129075050354, 0.15000778436660767, 1.902961254119873, -1.89691960811615, 1.378592610359192, 0.017078684642910957, -0.7561686635017395, -1.0334581136703491, -0.05121750012040138, -0.35471397638320923, -1.1307989358901978, 0.2561958134174347, -0.09950823336839676, -1.4935283660888672, -0.3759431540966034, -0.16197365522384644, -0.7981149554252625, 1.6605498790740967, 1.3702707290649414, -0.5666712522506714, -0.9827202558517456, -0.6765560507774353, 0.32137158513069153, -0.07490753382444382, 1.5517363548278809, -1.1140944957733154, -0.7274102568626404, -0.8722671270370483, -1.3216742277145386, 0.9497491717338562, 0.33674824237823486, 0.313978374004364, -1.2500170469284058, -0.2513587474822998, 1.6123833656311035, -0.30162951350212097, -0.8965704441070557, 0.062187451869249344, 2.1196939945220947, -0.06662897020578384, 0.3615536689758301, -1.0839279890060425, 1.0405988693237305, -1.4103775024414062, 0.6432074308395386, -0.3016275465488434, -2.5027668476104736, 0.7422593832015991, -0.3507789373397827, 0.632719874382019, 1.3298543691635132, 0.918591320514679, -0.5001590251922607, -1.0487858057022095, 0.9092391133308411, 1.8165889978408813, -0.06394052505493164, -0.49474650621414185, -1.1837331056594849, 0.8148226141929626, 0.9442540407180786, 0.06732183694839478, -0.3487388789653778, 0.29472440481185913, -1.3132725954055786, 0.4570390284061432, -0.8416682481765747, -0.06949479877948761, -0.08147085458040237, 1.469860553741455, -0.18530136346817017, -1.2594705820083618, 0.5015788078308105, 3.0247349739074707, -0.666653037071228, -1.0702084302902222, 0.019646817818284035, 0.400133341550827, -0.22213035821914673, 0.16482019424438477, -0.2798578143119812, 0.8049954175949097, -0.7556061148643494, 1.1844953298568726, 0.4460659623146057, -0.21012350916862488, 0.4609684646129608, -0.47047969698905945, 2.3401424884796143, -0.048479314893484116, -0.7687066793441772, -0.9947183132171631, -0.003140449756756425, -1.5505437850952148, 0.15960079431533813, 0.9006152153015137, 0.13931035995483398, -0.17070770263671875, -1.5690844058990479, 0.267842561006546, 1.1833926439285278, -0.22807084023952484, -0.7078599333763123, -0.2360691875219345, 0.5067169070243835, -0.5750651955604553, -0.4857785403728485, 0.6557487845420837, -0.13569818437099457, 0.4449128806591034, -1.779971957206726, 1.6420552730560303, 0.009948762133717537, -1.2381796836853027, -0.4534509479999542, 0.3679199516773224, -1.3671164512634277, 1.194454312324524, -0.07736333459615707, -1.4492707252502441, -1.629345178604126, 0.5221847295761108, -0.2448461949825287, 0.42563605308532715, 0.19493885338306427, 1.9771672487258911, 0.42962315678596497, -1.0904945135116577, 1.2167435884475708, -0.7928486466407776, -0.1997838020324707, -1.1292208433151245, 1.2364604473114014, 1.2605609893798828, -0.49701327085494995, -2.070082664489746, -0.06485889852046967, -2.5858607292175293, 0.7975786924362183, 0.6682897806167603, -2.048008680343628, -0.5154860019683838, -0.01700434461236, -0.5272117853164673, 0.32470354437828064, -0.40117713809013367, 0.3735232353210449, -0.07917865365743637, -0.7778551578521729, -0.8711238503456116, 0.6733057498931885, -0.04680545628070831, -0.5058909058570862, 0.7238486409187317, -0.2583847641944885, 0.6794872283935547, 0.6476739048957825, 0.24581396579742432, 0.09424419701099396, -1.5646981000900269, -0.23582778871059418, -0.6911652684211731, 0.7282096743583679, 0.619006335735321, 0.5758054852485657, 0.47185343503952026, -0.007436564192175865, -0.2887874245643616, 0.5788285732269287, 0.1852790266275406, -0.4124920666217804, -0.5972588658332825, -0.8848386406898499, 0.714844286441803, 0.39280569553375244, 0.8246749639511108, 0.9750280380249023, 0.8167970776557922, 1.040351152420044, -0.3355698585510254, -1.2798302173614502, 0.7304749488830566, -0.0450301319360733, 1.6947243213653564, -1.294326663017273, 1.837096095085144, 1.2362416982650757, -0.8450162410736084, -0.747577428817749, 0.9228556752204895, -0.5641833543777466, -0.30549317598342896, 0.8878365159034729, -1.4736859798431396, -1.7473931312561035, 1.3170807361602783, -1.7198818922042847, -0.2299347221851349, -1.3134748935699463, -0.19836272299289703, 0.6206405758857727, -1.8691773414611816, -1.9444842338562012, 0.4600144624710083, 0.5496747493743896, 0.6170141100883484, -1.67283296585083, -0.2828862965106964, 0.5185047388076782, 0.9492515921592712, -0.7366635799407959, -0.5301705002784729, -1.5709154605865479, -0.03512439876794815, -2.2129406929016113, 1.099124789237976, 1.8443208932876587, 0.1374916136264801, -0.14862920343875885, 2.4201393127441406, 0.37460845708847046, 0.5914928317070007, -1.469136357307434, -0.7703368067741394, 0.4897560775279999, -0.2790951132774353, -2.9002327919006348, -1.355411410331726, 0.8205994963645935, 0.8267268538475037, 1.2904198169708252, -2.2801690101623535, 1.3343186378479004, 1.2140470743179321, -0.7739862203598022, -0.8901899456977844, 1.4863770008087158, -1.411792516708374, 1.8684489727020264, 1.1911591291427612, 0.6791858673095703, -0.059242524206638336, -0.1455661803483963, 0.3785853385925293, -1.183030128479004, 1.183313250541687, -0.47856616973876953, -1.2188304662704468, 0.10953167825937271, -0.4911409020423889, 0.5806158781051636, -0.09979734569787979, 1.4958378076553345, -0.6301077008247375, 0.33401378989219666, -0.1953159123659134, 1.4288966655731201, -0.19240261614322662, -0.5252359509468079, 0.1914055049419403, -0.10884596407413483, 0.6313185095787048, -0.056668274104595184, -0.5314979553222656, 1.4164472818374634, -0.95904141664505, -2.0429039001464844, -0.3992553651332855, -0.37327778339385986, 0.49207863211631775, -1.5971760749816895, 2.085350751876831, 0.7058151364326477, -0.17623797059059143, 1.123203992843628, -0.08888798207044601, -0.02997097186744213, -1.1241297721862793, -0.6289699673652649, -0.9270151257514954, -0.6314213275909424, -0.18306957185268402, -1.1598458290100098, -0.4805225431919098, 0.03535831347107887, -1.6858128309249878, -0.9395286440849304, -0.4230501651763916, -0.5339463353157043, -0.015701323747634888, -0.3417779803276062, -1.3882274627685547, 0.22449466586112976, 1.7611477375030518, -0.7519659399986267, 0.9870901107788086, 2.1393821239471436, -2.345439910888672, 0.32190170884132385, -0.35321298241615295, -2.7029197216033936, 1.3343526124954224, 0.2804146111011505, -1.9719069004058838, -0.5677357912063599, -0.8468117713928223, -0.05648081749677658, 1.4866383075714111, 0.6789857149124146, -0.40188369154930115, -1.3553786277770996, 1.3016616106033325, 0.652421236038208, -0.8198145627975464, 0.26950299739837646, 0.07574958354234695, -0.9007129669189453, -0.6026549935340881, -0.9178622364997864, -1.5336765050888062, 0.720496416091919, 0.8117412328720093, -1.2628753185272217, -1.0524826049804688, -1.0909619331359863, 0.6950987577438354, -1.7824532985687256, 0.2058744877576828, 0.8182763457298279, 0.6368052959442139, -0.029699670150876045, 0.2117728590965271, 0.6265838742256165, -1.785498857498169, -0.739753782749176, -1.5127067565917969, 0.9878082275390625, 0.7704707980155945, 1.292018175125122, -2.313260555267334, 0.5804421305656433, 0.41655588150024414, -0.564752459526062, -0.9591069221496582, -0.0781586691737175, 0.3145959973335266, -0.6411417126655579, -1.3853241205215454, -0.08197776973247528, 0.8423593044281006, -1.457306146621704, 0.43125638365745544, -2.0258004665374756, -0.6827791929244995, -0.695667564868927, -0.9607589840888977, 0.2261282056570053, 0.1577128767967224, -0.3407910466194153, 0.27921661734580994, 1.6589668989181519, -0.5305145978927612, 1.3671518564224243, 1.7360931634902954, -0.3034587502479553, 0.3831605315208435, -0.44176924228668213, -0.41376546025276184, 1.1836363077163696, 0.888927698135376, -0.4168349802494049, -0.2551189959049225, 0.11021029949188232, 0.27509284019470215, 1.646873116493225, -0.6137185096740723, -1.851759433746338, -0.47716692090034485, 0.9397451877593994, 0.6205881834030151, -0.057741835713386536, 0.8224795460700989, -1.048681616783142, -0.3397696316242218, 1.2351878881454468, 0.5096540451049805, -1.881108045578003, 1.1487245559692383, -1.99761962890625, -0.15463221073150635, 0.6518874764442444, -0.6931959986686707, 0.4099440574645996, -2.887815237045288, 1.2555114030838013, 1.2405145168304443, 0.7988168001174927, 0.40618157386779785, -0.44668132066726685, -0.2813767194747925, 0.24317295849323273, 0.2348468005657196, 1.322789192199707, -2.204789876937866, 1.7773747444152832, -0.5594763159751892, 0.674096941947937, -0.38313302397727966, -0.1360902041196823, -0.19948546588420868, 0.9798868298530579, 0.07680127769708633, 0.7169238328933716, 0.3531917929649353, 0.45903393626213074, 0.04658171907067299, 1.323750376701355, 0.33786246180534363, -1.468105673789978, -1.5429784059524536, 0.2415749877691269, 0.14774075150489807, 1.0434887409210205, -0.989919126033783, -0.3243928849697113, 1.2294433116912842, -0.9801531434059143, 1.172534704208374, -0.06065626069903374, 0.9190887212753296, 0.6702594757080078, -1.7791361808776855, -0.4383338689804077, -1.5442034006118774, -0.26228204369544983, 0.3554246425628662, -1.522120475769043, -1.2320513725280762, -1.129518747329712, -0.6401389241218567, -0.7875050902366638, -2.2776758670806885, 1.1232951879501343, -1.1400220394134521, 0.8962593078613281, 0.3065834641456604, -0.5576578378677368, -0.435228556394577, 0.09738583862781525, -0.1894892454147339, -0.02250344306230545, 0.0905180498957634, -0.7942233085632324, 0.6411010026931763, -0.6642621159553528, 0.7253985404968262, -1.0357794761657715, 0.5823801159858704, 1.7665716409683228, -0.7957325577735901, -0.3316511809825897, 0.9118538498878479, -1.0477001667022705, -1.6628779172897339, -0.8516863584518433, -0.2777296006679535, 0.23218819499015808, -0.9585142731666565, -0.6081188917160034, -1.7022727727890015, -0.46331271529197693, 0.5152527093887329, 0.3824928402900696, -0.9322109222412109, -0.03194111958146095, 1.1391526460647583, 0.166416734457016, 0.14972390234470367, 0.90721595287323, -2.3945083618164062, 0.2272442877292633, 1.6695667505264282, -0.7406620979309082, -0.47063738107681274, -0.3675789535045624, 0.2965203523635864, -1.2363502979278564, -0.265415757894516, 0.697144627571106, 0.03831292316317558, 2.113088369369507, 1.9095242023468018, -3.617987871170044, -0.6240943074226379, 0.505473792552948, -1.5576088428497314, 0.7014147043228149, -1.5404019355773926, -0.6430942416191101, -1.1431611776351929, 1.5749543905258179, -1.910408854484558, 0.24808363616466522, 0.8244473338127136, 1.582382082939148, 1.9153456687927246, 0.21719002723693848, -0.8455433249473572, 0.4210892915725708, -2.497379779815674, 1.3116892576217651, -0.34993651509284973, -0.04852358251810074, -0.4145015478134155, 1.1759686470031738, 0.24273493885993958, -1.5433273315429688, -0.6123619675636292, 0.44077998399734497, 0.7301350235939026, 0.9905571937561035, -0.8217543959617615, -0.3968971371650696, -1.23886239528656, -0.5489045977592468, -0.5833092331886292, -1.0968090295791626, -1.3110390901565552, 1.1869858503341675, 1.696703553199768, 1.5638989210128784, 1.789341688156128, 1.2748255729675293, -0.2232232689857483, -0.3405147194862366, -0.0840369239449501, 0.13410712778568268, 0.3333178758621216, -0.509300947189331, -1.58588707447052, 0.15801721811294556, -0.5619005560874939, -0.5726098418235779, -0.5793331861495972, -0.029263408854603767, 1.273694396018982, 0.5212334990501404, -2.5118069648742676, 0.3200906813144684, 0.09300168603658676, 0.8871062397956848, 0.39188748598098755, 0.13705259561538696, 0.7103641629219055, -0.35436299443244934, 0.9699034094810486, -0.2890114486217499, 1.5675619840621948, 0.11629253625869751, -0.2952595055103302, -1.3919463157653809, -0.9342259764671326, 1.4010876417160034, -0.5344032645225525, -0.664154052734375, -2.089425802230835, -1.2829259634017944, 0.9359328150749207, 0.36780473589897156, 0.6283378601074219, -0.025916609913110733, 0.8429322242736816, 1.575284719467163, -0.724503755569458, 2.2352516651153564, 1.535900592803955, 1.1729000806808472, 0.15543314814567566, -1.1011155843734741, -0.18236103653907776, 1.1892428398132324, 0.5940195918083191, 0.09834767878055573, 0.37317219376564026, -1.2701349258422852, 0.5321575403213501, -0.3398177921772003, 0.2377547025680542, 0.4835802912712097, -2.4414761066436768, -1.2041934728622437, -0.9334692358970642, -0.29670968651771545, 2.601547956466675, 1.8583483695983887, -0.3107968270778656, -0.15810349583625793, -0.29195868968963623, -0.609557032585144, -0.17353183031082153, 0.9097544550895691, 1.1451117992401123, -0.6531111001968384, 1.6299396753311157, 1.2546837329864502, 0.8181360363960266, -0.06196945160627365, -0.32625439763069153, -0.5934523344039917, 0.23811814188957214, 0.49568304419517517, 1.5073118209838867, -0.09507489204406738, -0.33010560274124146, -2.3335764408111572, 0.7376885414123535, 0.9795709848403931, -0.8602240681648254, -0.4705606997013092, -3.6484670639038086, -0.010631260462105274, 0.46046438813209534, -0.6133274435997009, 2.0720794200897217, 0.3059873580932617, -0.30696532130241394, -0.0018401636043563485, 2.058788537979126, -1.6265480518341064, 0.7273648977279663, 0.5328537225723267, 1.7865911722183228, -0.4746728539466858, -0.2658635079860687, 1.090189814567566, -0.3459935486316681, -1.8170794248580933, 0.36672475934028625, 0.21142737567424774, -0.6848956942558289, -0.7399840950965881, -0.1937895268201828, -0.24051515758037567, -0.8889289498329163, -0.2726530134677887, 2.0980257987976074, 0.19257093966007233, 0.0209564957767725, -1.102488398551941, -1.390242338180542, -0.5885916948318481, 1.8682069778442383, -2.131523847579956, -0.2189270257949829, 0.2364703118801117, 0.33736759424209595, 0.6605494022369385, -0.08498922735452652, -0.6368355751037598, 0.5706837177276611, 1.1372556686401367, 0.6288235187530518, -0.8503052592277527, 0.23063887655735016, -1.990073800086975, 0.20392188429832458, -0.4374844431877136, 0.3905079960823059, 0.27805638313293457, 1.9066914319992065, 0.13114754855632782, 0.32209256291389465, 0.5280979871749878, 0.16627952456474304, 0.05970114842057228, -0.490707665681839, -1.3974791765213013, -0.9002655744552612, 1.4837766885757446, -1.416027307510376, -0.802776038646698, -0.17592450976371765, 1.436205506324768, 0.7214786410331726, -0.0013568131253123283, 0.41823792457580566, -1.692365050315857, -0.6372694373130798, -1.5888079404830933, -0.8772348761558533, -0.12008755654096603, -1.8935399055480957, 0.3698682487010956, -0.5943158864974976, 0.05000131204724312, -2.0265896320343018, -1.0096213817596436, 0.38911718130111694, 0.5016490817070007, -0.9999761581420898, 0.17650800943374634, 0.34682944416999817, 1.0935369729995728, -0.761703372001648, 0.03381742537021637, -0.7711618542671204, 0.37810125946998596, 0.028223568573594093, 0.9413857460021973, -0.2578916549682617, 1.0101511478424072, 1.345682144165039, -0.01300720777362585, -0.09589029848575592, -0.2717178463935852, -0.732291042804718, -2.3137197494506836, 0.3890242576599121, 0.4104483127593994, -0.09643110632896423, 1.7548210620880127, -1.528460144996643, 0.9021007418632507, -0.27117443084716797, 0.18614709377288818, 0.448129802942276, 0.7405259609222412, -0.33711734414100647, -2.046137809753418, -0.10161925107240677, -0.09467561542987823, 0.9064048528671265, 1.4707008600234985, 1.5349822044372559, -2.50667142868042, -0.4100164473056793, -0.13602767884731293, 1.5251107215881348, -0.49695029854774475, 0.6653448343276978, 1.030166745185852, -0.03486713394522667, -2.288170576095581, -1.5197824239730835, 0.617132842540741, 1.0927550792694092, -0.5990452766418457, -0.3605480194091797, 0.06737571954727173, -0.531424880027771, -0.46448373794555664, -0.0208270400762558, 0.25711187720298767, -1.3728044033050537, -0.798967719078064, 0.24260489642620087, 1.3619307279586792, 0.9245813488960266, -1.1745274066925049, -1.8431657552719116, 0.18354783952236176, -0.7985763549804688, 0.0034392254892736673, 0.6350827813148499, 0.43949297070503235, -1.550806999206543, -0.7019770741462708, -0.13833126425743103, -1.968543291091919, -0.9198434948921204, 0.3398464620113373, 1.7765318155288696, 1.088769793510437, -2.057199716567993, 2.0178000926971436, -0.2974448800086975, 0.8677487373352051, 0.8334692716598511, -1.0399831533432007, -0.5342798829078674, 0.5340321660041809, -1.4064284563064575, 0.1673462837934494, -2.195061445236206, -0.32618433237075806, -0.01054026372730732, -0.013737623579800129, -0.1428036093711853, -0.7111895084381104, 1.3264738321304321, -1.7021604776382446, 1.9738997220993042, -2.016904830932617, 0.5995200276374817, -1.7559179067611694, 1.7485846281051636, 1.2553045749664307, -0.6220445036888123, 0.48549720644950867, -1.4063395261764526, 0.5702501535415649, 0.49598458409309387, 0.6669746041297913, -0.31524941325187683, 1.6327414512634277, 0.3780699670314789, 0.5302292108535767, 0.48838236927986145, -0.2166571468114853, 0.44569942355155945, -1.1003015041351318, 0.8778834939002991, -0.5301135182380676, 1.7958332300186157, -0.8581931591033936, 0.8768548965454102, 1.0874863862991333, 0.42246219515800476, 0.21231518685817719, -1.4831081628799438, 1.1773921251296997, 0.5545319318771362, 1.4185560941696167, 1.174834966659546, -0.7133411765098572, -0.4537469744682312, 0.07635367661714554, 1.3312089443206787, 0.7542613744735718, -2.34960675239563, 0.8969835638999939, 0.951708197593689, 1.214866042137146, -0.3087397813796997, 0.8304315209388733, -0.810230016708374, -0.364608496427536, 1.4503247737884521, -0.8830675482749939, 0.6011660695075989, 0.9542391300201416, 0.22869089245796204, 0.16893674433231354, -1.7686692476272583, -0.8515592217445374, -0.018999233841896057, 0.8395580053329468, -1.0350669622421265, -1.0358158349990845, 1.404151439666748, -0.30122360587120056, -1.4791158437728882, 0.6222699284553528, -0.7291299700737, 1.1885676383972168, -0.05268574506044388, -1.444924235343933, 1.058347225189209, -0.43678900599479675, 0.6113530993461609, 1.31635320186615, 0.5962603688240051, -0.21681468188762665, 0.16625362634658813, -0.2979987561702728, 0.1604609489440918, -1.3937956094741821, 0.6024852395057678, 0.7622133493423462, -0.5688129663467407, 0.972726583480835, 0.1245235726237297, 1.0211114883422852, -2.0390100479125977, -0.5588046312332153, 0.34913328289985657, -1.6532002687454224, 0.9939486384391785, -1.3685237169265747, 0.3129218816757202, 0.7507959604263306, 0.042711589485406876, -0.5283958911895752, 0.6622592210769653, 0.150099977850914, 3.5391178131103516, 0.1520920842885971, 0.4113622009754181, 0.5824888944625854, 0.05132703855633736, -2.2241432666778564, 0.0006124443025328219, 1.2142996788024902, 1.5310534238815308, -0.45380353927612305, -2.615259885787964, -1.2353273630142212, -0.8524446487426758, 0.15659143030643463, 0.0020088953897356987, 1.8693172931671143, 0.41306930780410767, 1.6483043432235718, 0.16930930316448212, 0.11925596743822098, -0.4536757469177246, 0.2344377636909485, 0.867112398147583, -0.2546684443950653, 0.8608096837997437, -0.8038142323493958, 1.4314944744110107, -0.6962491869926453, -2.3973300457000732, -0.23936550319194794, 1.5606520175933838, 0.08505959063768387, 1.217066764831543, -0.2656298577785492, 1.7895176410675049, 0.239382803440094, 1.0203379392623901, 0.48493492603302, 1.2905189990997314, -0.5286926031112671, 0.9397351741790771, 0.9381882548332214, -0.31388384103775024, -0.6552895307540894, -0.13685160875320435, -0.6929755210876465, 0.274202436208725, 0.4549439251422882, 1.5016961097717285, -0.06582111865282059, 0.6209633946418762, -0.666215717792511, 1.509466528892517, -0.05366858094930649, 0.9279872179031372, 1.0520992279052734, 2.2125844955444336, 0.22813189029693604, -0.7477759122848511, 1.2762353420257568, 0.2243494838476181, -0.6067823767662048, 0.3567139208316803, 0.08448828011751175, -0.12982462346553802, -1.557336688041687, -0.08293955028057098, 1.101883053779602, -0.5847648978233337, 1.9881926774978638, 1.3330882787704468, -0.7656809091567993, -1.0312901735305786, -0.3032156229019165, -2.201615571975708, 0.4237106740474701, 0.706562340259552, 2.327378273010254, 0.5156179070472717, 1.088000774383545, -1.5429115295410156, -0.9331044554710388, 3.4501428604125977, 0.34020525217056274, -0.3738122284412384, 2.084662914276123, -2.3108530044555664, 0.1388547569513321, -0.5349862575531006, 0.6628404855728149, 1.035265326499939, -0.2812628746032715, 2.2791953086853027, -0.6828503012657166, 1.453924536705017, 1.2339446544647217, -0.4426778256893158, 1.1177165508270264, -0.4983830153942108, 0.3098337948322296, -0.4855406880378723, -0.009304732084274292, 0.3255491554737091, -0.2617795765399933, -0.18172115087509155, -0.6194568872451782, 0.16821126639842987, 0.9721202254295349, 1.143089771270752, -0.5865171551704407, 0.9908456206321716, 0.19233115017414093, -1.8247809410095215, -0.9948707222938538, 0.4333687126636505, 1.3269888162612915, -0.639788806438446, -0.4120892286300659, -0.7533767819404602, -0.1096724346280098, -1.5423685312271118, -1.9042003154754639, -0.7930514812469482, -2.0674986839294434, -0.14229203760623932, 0.04642784595489502, -1.4686970710754395, 0.21541659533977509, 0.533730685710907, 1.3455440998077393, -0.8341216444969177, -0.6668789386749268, 1.3572900295257568, -1.2098568677902222, 0.5708373188972473, 1.8379056453704834, 0.41952648758888245, -1.1209943294525146, -0.3884834349155426, -0.5397000312805176, 0.3989032506942749, -2.2744486331939697, -0.4120873212814331, -0.033230435103178024, -1.1924837827682495, -0.0748162493109703, 1.0531110763549805, 1.260634422302246, -1.145781397819519, 0.5775744318962097, 0.20445722341537476, 1.0654664039611816, 0.7223242521286011, -0.541044294834137, -0.4907662570476532, -0.11361212283372879, 1.2573362588882446, 1.6457327604293823, 0.25308868288993835, 0.5611664056777954, 0.6641573905944824, 0.06849104911088943, 0.12881875038146973, -1.0948067903518677, 0.8314734101295471, -0.478580504655838, 0.7981184720993042, -0.08513525128364563, -0.6179192662239075, -1.353550672531128, -0.13444501161575317, 1.9120641946792603, -0.05328359827399254, 0.06125126779079437, -0.4750969111919403, 0.2151179313659668, -0.16315919160842896, 0.33156368136405945, 0.9958202838897705, 0.5526071190834045, 1.1722012758255005, -1.8050990104675293, -1.000367283821106, 0.1683708280324936, -0.6929770708084106, -1.0675151348114014, 1.3082040548324585, -1.1017813682556152, 0.301548033952713, 0.6326074600219727, 0.18410469591617584, 0.306639164686203, -1.2333635091781616, 1.0747452974319458, -0.2843979597091675, 1.8733447790145874, 0.39695489406585693, -0.5665665864944458, -1.5175012350082397, -1.9807928800582886, -0.014164802618324757, 0.43379807472229004, -0.5270458459854126, -1.8760764598846436, -1.0831220149993896, -0.3401023745536804, 2.693241834640503, 0.36364737153053284, -0.48973411321640015, 0.628061830997467, -1.543215274810791, 1.6970266103744507, -1.5820765495300293, 0.18638372421264648, -0.5791453123092651, 0.053130440413951874, -0.8561115264892578, 0.20103874802589417, -0.5058879256248474, -0.42534029483795166, -0.8187360763549805, 0.5137255787849426, 2.8132822513580322, 1.087854027748108, 0.02746497467160225, -1.0221962928771973, 0.5726145505905151, -0.00779449800029397, 1.670994758605957, -0.41744235157966614, -0.8544744253158569, 1.7066452503204346, 0.6570547819137573, -0.2597980797290802, -0.5118493437767029, -0.5752624869346619, 1.6972026824951172, 0.13433445990085602, -0.6174977421760559, -0.9895591139793396, 0.6880727410316467, -0.9055514335632324, -0.4941510856151581, -0.17682026326656342, -0.27054691314697266, 0.2011827528476715, 1.5322136878967285, 0.1423823982477188, -1.7865447998046875, -0.8610501885414124, -1.0883398056030273, 0.31314441561698914, -1.2740412950515747, 0.22740215063095093, 0.9000534415245056, -0.7484085559844971, -0.050008561462163925, 0.8995022773742676, 0.59404456615448, -0.23716644942760468, 0.07199373841285706, 0.48281678557395935, 2.6447882652282715, 0.48356446623802185, 0.19311130046844482, 2.166795492172241, 0.20568139851093292, 0.2458321452140808, 0.7110143303871155, 0.1949952244758606, 1.0800299644470215, 0.5182516574859619, 1.9803075790405273, 0.5356550812721252, 1.3219720125198364, 0.19064614176750183, 0.602623701095581, 0.36791548132896423, 0.017142856493592262, -0.8319840431213379, -0.675237774848938, 0.6868468523025513, 2.9042742252349854, 0.47918078303337097, -1.6995922327041626, 1.6874396800994873, 0.19404202699661255, -0.854591965675354, -1.0535937547683716, 0.7503053545951843, -1.2272101640701294, 1.5753543376922607, 0.6933835744857788, 0.12422078847885132, 0.415941059589386, -0.6060796976089478, -0.4984903633594513, 2.3916127681732178, -0.2472795844078064, -1.2089381217956543, 0.17758610844612122, 0.4564645290374756, -0.24248802661895752, 2.063385009765625, 0.19444893300533295, -0.5206788182258606, 1.6680512428283691, 2.2573368549346924, -0.7656739354133606, -0.14271949231624603, 1.1330151557922363, -0.7986032366752625, 0.016378460451960564, -0.6584047079086304, -1.8937989473342896, 1.780066728591919, -0.9627573490142822, -0.6577364802360535, -0.4411576986312866, 0.8767510056495667, 1.9660286903381348, 0.5201155543327332, -1.0098360776901245, 0.052645161747932434, -0.8646785020828247, 0.32824739813804626, 1.3890557289123535, -0.6480070948600769, 0.07079560309648514, -0.5899826884269714, -0.2856917381286621, -0.49151742458343506, -0.33321958780288696, 1.3288830518722534, -0.4214257597923279, -0.95245361328125, 0.7313836812973022, -0.7812925577163696, -0.8932725787162781, -2.217555284500122, -0.08873558789491653, 0.5459333062171936, -1.2234835624694824, -0.34577909111976624, -1.203158974647522, -0.6583689451217651, 0.815342128276825, 1.1034998893737793, -0.4164983034133911, -0.9214662909507751, -0.6295676231384277, 0.9409560561180115, -1.2073979377746582, 2.339689254760742, 0.3311420679092407, 0.450419157743454, -2.154186964035034, 0.47016027569770813, -0.07958243787288666, -1.8430163860321045, 1.348121166229248, -1.9848953485488892, 1.3407701253890991, -0.04146422818303108, -0.3863842189311981, 0.04174301400780678, -1.3279995918273926, 0.45957106351852417, 0.196977436542511, 1.337458848953247, -0.5650703310966492, 0.2993803024291992, 1.8007936477661133, -0.32406875491142273, 0.19353903830051422, -0.1706494390964508, -0.6186155676841736, -0.7163066864013672, -0.46098312735557556, 1.2919113636016846, 0.5866581797599792, 0.7426550388336182, 1.3432128429412842, -1.5464463233947754, -0.16137655079364777, -0.5362291932106018, 0.41435328125953674, 0.48378193378448486, -1.2237170934677124, -0.15078853070735931, 1.4294712543487549, 0.39286544919013977, -0.08810805529356003, -0.20314830541610718, 1.8254179954528809, 2.0469067096710205, -0.5313576459884644, -0.7431443333625793, -1.7642797231674194, -0.3581470847129822, 0.7779578566551208, 0.504874050617218, 1.6563338041305542, 0.6662731766700745, 0.6002815365791321, 1.1088019609451294, -0.8984306454658508, 1.6893649101257324, -0.08135015517473221, -0.5468626618385315, -0.0036427027080208063, 0.8365070819854736, 0.24902580678462982, 0.29038485884666443, 1.5511304140090942, -1.1648681163787842, -0.9923748970031738, -1.5046335458755493, -1.1827383041381836, 1.4432108402252197, 0.242534339427948, 0.9887407422065735, 0.11032114177942276, -0.6391551494598389, 0.36947935819625854, -2.1729376316070557, -3.0697059631347656, -1.842409610748291, 1.474765658378601, -1.1796680688858032, 1.0296907424926758, -0.38531258702278137, -1.5393084287643433, -0.8152357339859009, 1.1038166284561157, 0.4965057969093323, 0.5265976786613464, -0.13370899856090546, 1.3017035722732544, -1.609012484550476, 0.2763034701347351, -0.696168065071106, 1.1479907035827637, -0.42534253001213074, 0.10708405822515488, 0.8597576022148132, -0.19108758866786957, -1.624049425125122, 1.486898422241211, -2.5886330604553223, 0.16529996693134308, -2.0326831340789795, 0.6609864830970764, -1.1520438194274902, -0.48034676909446716, -0.10761509835720062, 0.2712048292160034, -0.8937346339225769, -0.016389086842536926, -2.111565113067627, 0.8591905832290649, -0.6541910171508789, 1.1603366136550903, -1.4677796363830566, 0.75095134973526, -0.640887975692749, 0.7396584749221802, 0.8590121269226074, -1.2849150896072388, 0.33128559589385986, 1.7164533138275146, -0.46379104256629944, 0.1583215594291687, -0.21006181836128235, -0.21420428156852722, -0.04746835306286812, -0.34212252497673035, 0.8934492468833923, 0.2448565512895584, -0.39729779958724976, 2.102466106414795, -0.11612243950366974, 0.04674588516354561, -0.11106691509485245, 0.1512402892112732, 0.6478707194328308, 0.6919004321098328, 1.2090208530426025, 0.6626819968223572, 0.3740123212337494, 0.6754312515258789, 0.6956468820571899, 0.4514749348163605, -0.8606852889060974, -1.0826598405838013, 0.6756665110588074, -0.946803092956543, 0.9282375574111938, -0.8583572506904602, 1.018744707107544, 1.1344921588897705, -0.38604456186294556, -2.57306170463562, 1.0262442827224731, 0.9897263050079346, 0.256207674741745, 0.8498367667198181, 0.8859441876411438, -1.2114896774291992, -2.789935827255249, 0.11177598685026169, 0.3341848850250244, 0.3262026607990265, -1.516559362411499, 1.222947120666504, 0.2486288845539093, -0.2397727370262146, 2.7137744426727295, 2.3536722660064697, 0.4784468412399292, -0.9222778677940369, -1.278241515159607, -0.205296590924263, 1.494978666305542, 0.11549374461174011, -1.6554220914840698, 2.1973390579223633, -0.07981470227241516, -1.7147700786590576, -0.6658543348312378, 1.321998119354248, -0.14781063795089722, 1.7430866956710815, -1.0803403854370117, -1.2021868228912354, -0.4320950508117676, 0.64448481798172, 1.1088110208511353, 1.0714904069900513, -0.21611616015434265, -0.5818010568618774, 0.2799772620201111, 1.009709358215332, -0.16650265455245972, 2.3726229667663574, -0.37666672468185425, 0.7031195163726807, -0.1715889722108841, -1.3531526327133179, 0.37884876132011414, -0.27685976028442383, 0.20159156620502472, 0.07449652254581451, -1.064665675163269, -0.4371497333049774, 0.5294568538665771, -0.4506196081638336, -0.9995402693748474, 1.0824170112609863, -0.14779186248779297, 0.010239139199256897, -1.664300799369812, 0.9672760963439941, -0.7410799860954285, -0.0414111353456974, 2.387251615524292, 0.7348708510398865, -0.868346095085144, 1.7752786874771118, -1.0972257852554321, -0.2336130291223526, 1.1022969484329224, 0.8304921388626099, -1.3136564493179321, 0.3927704691886902, -0.013445046730339527, -1.4784107208251953, -0.41697943210601807, 0.019185060635209084, 0.38291555643081665, 0.7807537317276001, 1.8508057594299316, 0.3594847023487091, 1.3357547521591187, 1.3601683378219604, -0.12092841416597366, 2.1111533641815186, -1.2766399383544922, 0.9931259751319885, -0.20106728374958038, -0.2162616103887558, -0.7348368167877197, 0.5026276707649231, 0.38813313841819763, 2.513293743133545, 0.026288790628314018, 1.5948269367218018, 0.8429645895957947, 1.4999185800552368, 1.6161688566207886, 1.9762715101242065, -1.4117302894592285, -0.6986169815063477, 0.9447687864303589, 0.09242717176675797, -1.601567029953003, -0.23279966413974762, 0.03208939731121063, -0.6238745450973511, 2.048795223236084, 1.293555736541748, 0.3339654803276062, -1.3638454675674438, -2.169490098953247, -1.587702751159668, -0.05800196900963783, 1.8883261680603027, 0.006666417699307203, 1.1164246797561646, -1.0185061693191528, 0.3224371373653412, 0.009630739688873291, -1.10468590259552, -0.7436623573303223, -0.1294650435447693, -0.25483232736587524, 1.6687756776809692, -1.6726715564727783, 0.08180888742208481, 0.4693737328052521, -1.0214813947677612, 0.63097083568573, 0.3472679555416107, 0.20510950684547424, -0.23960047960281372, 0.24757611751556396, 1.125542163848877, 1.111466646194458, -0.8249119520187378, -1.2913639545440674, 0.034253597259521484, 0.957965075969696, 0.3761252760887146, 0.23761872947216034, -0.3276573121547699, -0.2026737481355667, 0.15805362164974213, 0.1099993959069252, -0.6233143210411072, 0.9289401769638062, 0.9906447529792786, -0.1485089361667633, 1.0080244541168213, -0.45165517926216125, -0.03389479219913483, 0.35326915979385376, -0.3687205910682678, -0.023793397471308708, 0.7517914772033691, -0.020517950877547264, -0.34426596760749817, -0.016025464981794357, 0.4099102020263672, 0.2716614305973053, -0.16172368824481964, 0.69850754737854, 0.15972639620304108, 1.6190931797027588, 0.46050071716308594, -0.9595988392829895, -0.3368423879146576, 0.7476123571395874, -0.34279853105545044, 0.26197612285614014, -0.5985599160194397, 0.042163196951150894, -1.687171459197998, 0.20064006745815277, -0.3055354654788971, 1.3802193403244019, 0.8356146216392517, -0.37905025482177734, -0.02070228010416031, 0.024875320494174957, 0.16562072932720184, 0.9898591637611389, 0.15676896274089813, 2.0497448444366455, -0.9979355335235596, -0.3598509728908539, 1.4144021272659302, -0.6248550415039062, -0.5161045789718628, -0.6668903231620789, -0.3228982388973236, 0.5178568959236145, 0.6043820381164551, -0.4567596912384033, 1.4655570983886719, -0.7810298800468445, -1.4856675863265991, 2.578195571899414, -1.0259778499603271, -0.767977237701416, 1.120401382446289, -1.005187749862671, 0.8403520584106445, 0.08080729842185974, 0.7585537433624268, -0.09985784441232681, -0.6939193606376648, 0.1260550320148468, -1.6328204870224, 1.1317994594573975, -1.690718173980713, -2.587743043899536, 0.537209153175354, 0.18790298700332642, -0.6133967041969299, -2.1927831172943115, -0.7495774626731873, -0.2960189878940582, 0.911310613155365, -1.7289320230484009, 0.4697432816028595, -0.23604871332645416, 0.33581164479255676, -1.0504337549209595, -0.5339572429656982, 1.4799916744232178, -0.08389468491077423, -0.14536762237548828, 0.050054751336574554, 0.23291169106960297, 0.5908386707305908, -0.18167975544929504, 0.2904944121837616, -1.2301571369171143, -0.6711090207099915, -0.37636321783065796, 0.7559733986854553, -1.1557536125183105, 0.01009652391076088, -0.09916761517524719, 1.1315433979034424, 0.6119382381439209, -0.8628199100494385, 0.2641623616218567, 0.959858775138855, -2.032797336578369, -1.8367180824279785, -1.1271276473999023, -0.34238535165786743, -0.856523871421814, -0.7778397798538208, -0.46560898423194885, -1.3546528816223145, -1.521884799003601, 0.41891220211982727, 0.5576200485229492, 0.7854041457176208, -1.4465912580490112, 0.3152896761894226, -0.2911442816257477, 0.8795607686042786, 1.2618987560272217, -0.8822064995765686, -0.3814834952354431, 0.5329810976982117, -0.0663393884897232, 0.3653855323791504, -0.08905784040689468, 0.5717746019363403, 0.4021803140640259, 1.1924803256988525, -0.37624266743659973, -0.1345680207014084, 0.11619115620851517, 1.1818782091140747, -0.8764480948448181, -0.2503621280193329, -2.5417869091033936, -0.24534405767917633, 1.8532652854919434, -0.15760797262191772, -0.2990522086620331, 0.48270031809806824, -2.7204151153564453, -0.9060174226760864, 0.021401498466730118, 0.050221946090459824, 0.4402182996273041, 0.49012595415115356, -0.7124966382980347, 0.5926991701126099, -0.33057743310928345, -1.0097508430480957, 0.5903187394142151, -0.09897816926240921, -0.9501646757125854, -0.12333910912275314, -0.937386155128479, -1.0433894395828247, 0.6367050409317017, -2.1201086044311523, -0.17771847546100616, 0.15927733480930328, 0.19443874061107635, -0.98359215259552, -0.2747456729412079, -0.25449806451797485, -0.46108534932136536, 0.33615371584892273, 0.8286378979682922, -0.02388630248606205, -0.5277169346809387, -1.0591036081314087, -0.9485446214675903, 1.0040425062179565, 0.5196725130081177, -1.129185438156128, -0.3923799693584442, -0.2783471345901489, 0.3039741814136505, 0.3854086399078369, 1.4889907836914062, -0.9157599806785583, -0.1872810274362564, -1.0438933372497559, -0.012937220744788647, -0.9669612050056458, -0.18662014603614807, -1.0899310111999512, 0.9881458282470703, -0.8023582100868225, 0.0332389660179615, -0.7520378232002258, 0.7218586206436157, 0.2591380774974823, -0.6480130553245544, 0.35809892416000366, -1.4733480215072632, 0.3321792781352997, -1.6188380718231201, 2.6514408588409424, 0.2978975176811218, 0.36103853583335876, -0.44770190119743347, 1.1588188409805298, -0.905961275100708, 0.10405594110488892, 0.3926006555557251, -0.7857284545898438, -0.2946929633617401, -1.203579306602478, 1.4919267892837524, 0.13891029357910156, -0.427457720041275, -1.8924155235290527, -1.003616452217102, 0.3130009174346924, 1.453271508216858, -0.5578297972679138, 0.57457435131073, 2.4484126567840576, -0.1330782175064087, -0.7109165191650391, -1.1014270782470703, -0.2638387382030487, -0.8466259241104126, 0.8730990886688232, 1.2487714290618896, 0.9059169888496399, -1.9168719053268433, 1.2061899900436401, 0.12342926859855652, -0.2115563601255417, 0.15801294147968292, 1.2570602893829346, -0.10895249992609024, 0.7570620775222778, 1.625579833984375, 0.21948431432247162, -0.59693443775177, 0.7424607276916504, -0.0007317422423511744, 0.8031550645828247, -0.46954211592674255, 0.09644126147031784, 1.0252395868301392, -0.7466514706611633, 0.3236702084541321, -0.4603210389614105, 0.4072835147380829, 1.7340641021728516, -0.6812677383422852, -0.8274984359741211, 0.16558212041854858, -0.603732168674469, -0.1835736334323883, -1.1752774715423584, 0.4286722242832184, 0.062222469598054886, -0.875443160533905, -1.548195719718933, -1.0414047241210938, -0.2113843560218811, 2.424471139907837, -0.05112529173493385, -0.858532190322876, 0.20052790641784668, -0.6498627662658691, 1.1874974966049194, 0.39856261014938354, 0.11779304593801498, -1.782891035079956, 0.5215082764625549, 1.1822988986968994, -0.5125122666358948, -0.5684987306594849, 1.0125086307525635, 0.2335708886384964, -0.709576427936554, -0.32878854870796204, 0.4222430884838104, -0.9476615190505981, -0.20783796906471252, -0.38422349095344543, -0.2161870002746582, 0.4126991033554077, 0.4880409836769104, 1.0212043523788452, 0.3732532262802124, 0.9770601987838745, -1.3904449939727783, 1.021622896194458, -1.003851294517517, 1.7741847038269043, -1.9183405637741089, 1.5280550718307495, 1.0808335542678833, -0.14504162967205048, 0.21194370090961456, -0.9196906685829163, -0.45094919204711914, -0.8655813932418823, -0.24799734354019165, 1.4233964681625366, 1.6594566106796265, -1.1954299211502075, 1.6074094772338867, 1.116944670677185, -0.39952921867370605, -0.5430397391319275, -0.2820277810096741, 0.22017325460910797, -0.3211571276187897, -0.1966007798910141, 0.8963565826416016, 0.9712313413619995, -2.068341016769409, 2.540982484817505, 0.7685813307762146, 1.4288533926010132, 1.2850319147109985, -1.0572433471679688, 0.21336859464645386, -1.5517549514770508, 0.9775876998901367, 1.1837292909622192, -0.3531794250011444, -0.3712179958820343, 0.20955540239810944, 1.2703096866607666, -0.5164867639541626, -0.4005381166934967, -0.5107730031013489, 1.1914013624191284, 0.6380420923233032, -1.4579919576644897, -1.3568952083587646, 1.5853146314620972, -0.11988066881895065, -1.9155818223953247, 0.3570619523525238, -1.580655574798584, -3.065260171890259, 0.42066651582717896, -0.9146254658699036, 1.0002260208129883, -0.9274699091911316, 0.926967203617096, 0.13156315684318542, 0.21745482087135315, -1.1942239999771118, -0.7603896856307983, -0.5808967351913452, -0.9852287173271179, -0.6668665409088135, 0.8957351446151733, 1.1167157888412476, 0.7068280577659607, 1.3929468393325806, -2.3937058448791504, -0.7164028286933899, -1.4959948062896729, -0.5407084822654724, -0.54593825340271, -0.9108741283416748, -2.013782501220703, 0.724251925945282, 0.8703131675720215, 1.2912734746932983, 0.7642573714256287, 0.07303464412689209, 0.18352080881595612, 0.414910227060318, -0.4665850102901459, -1.220497488975525, -0.7048542499542236, 0.8227304220199585, -0.30348584055900574, 1.9674432277679443, -0.5819951891899109, -0.6124041676521301, 0.6983696222305298, -0.20690645277500153, 0.07560352236032486, 1.0748460292816162, 0.25794970989227295, 0.9014586806297302, 1.0156261920928955, 2.051623821258545, -0.1735415756702423, 0.16188286244869232, 0.03461967781186104, -0.6046258807182312, 1.311259388923645, -1.5357844829559326, 0.6504973769187927, 1.8904228210449219, 0.4023660123348236, -0.5370991230010986, -0.3898440897464752, 0.19980640709400177, -0.3712960183620453, -1.5049378871917725, -2.1912264823913574, -0.9078407883644104, -0.46693599224090576, 0.49350014328956604, -1.1291314363479614, 0.18922604620456696, -0.6731681823730469, -0.16211897134780884, -1.4864999055862427, 0.753328263759613, -0.4520115852355957, 1.079442024230957, 0.9344057440757751, -1.5304452180862427, -0.9620947241783142, -1.7069591283798218, 0.2429850846529007, -0.9117926359176636, -0.4996938705444336, 0.30716705322265625, 0.2706451117992401, 0.9771631360054016, 0.46577537059783936, 0.3355846703052521, 0.55353844165802, 0.19733630120754242, -0.5497198104858398, -0.3755882680416107, -0.7906184792518616, 0.5544710755348206, 1.0138776302337646, -0.2321280539035797, 1.881483793258667, -1.55168879032135, -0.7437909245491028, -2.0665996074676514, 0.13157324492931366, -0.030072418972849846, -1.2409015893936157, -2.107684373855591, 0.343229740858078, -0.773529589176178, 0.03519069775938988, 0.9069134593009949, 0.10659897327423096, 0.46375972032546997, -0.18433889746665955, -1.3513095378875732, -1.7425848245620728, -0.44699376821517944, -0.25207605957984924, -0.6507673263549805, 0.39315542578697205, 0.038211554288864136, -0.42223453521728516, -0.32700738310813904, 0.56840580701828, 0.7615572810173035, -2.679641008377075, 1.3811970949172974, 0.441402405500412, -0.054561857134103775, 1.8546043634414673, 1.6414217948913574, 0.46633145213127136, 0.7484146952629089, -0.3503609895706177, 1.1116511821746826, -0.8824669122695923, -1.444146990776062, -0.01264511700719595, 0.6380325555801392, -0.3494488298892975, 0.6780933141708374, -0.6242164373397827, 0.4873592257499695, -0.6196683645248413, 0.09667506068944931, 0.48764902353286743, 0.9012766480445862, -0.6256422400474548, -0.5285018682479858, -2.0471267700195312, 0.6778622269630432, 0.5493381023406982, -2.4877614974975586, -0.5408051609992981, 0.7302252650260925, -1.1210943460464478, 1.078770399093628, 0.14528416097164154, 0.1452162265777588, -1.5134379863739014, 0.5235338807106018, -0.10577192157506943, -0.30905401706695557, 0.8222167491912842, -0.2700158953666687, 0.5019190907478333, 1.0633199214935303, -0.6267543435096741, 0.5281897187232971, 0.09512044489383698, 0.833135724067688, 1.2502188682556152, -1.1317481994628906, 0.6808190941810608, -0.9092693328857422, -0.6016238331794739, -1.426514744758606, 0.18337373435497284, 0.2257515788078308, 0.26217928528785706, -0.12738896906375885, -0.19070874154567719, -1.3528501987457275, 0.925815224647522, -0.8218523263931274, 1.7931770086288452, 0.38222944736480713, 1.006805181503296, -0.7030316591262817, -0.8755000233650208, 1.7343794107437134, 1.4347022771835327, -0.43945831060409546, -0.19977827370166779, -2.430457592010498, 0.3425866961479187, 0.26706942915916443, -1.8650445938110352, 0.6973189115524292, 0.08510370552539825, 0.44952765107154846, 0.039880234748125076, -1.943101167678833, 2.168633460998535, 0.4425443708896637, -0.2873421907424927, -1.1421864032745361, -0.4365338385105133, 1.1475986242294312, -0.18162037432193756, -1.021941900253296, -0.5757516026496887, 0.8294909596443176, -0.8387212157249451, -0.16604676842689514, -0.29854458570480347, -0.5735236406326294, -2.4841718673706055, 1.128095030784607, -0.17908844351768494, 0.48918014764785767, 0.5031930804252625, -0.4110927879810333, 0.019032008945941925, 0.14453992247581482, 0.10401563346385956, 0.5091016888618469, -0.5652907490730286, -0.2642582356929779, -0.1224285140633583, 0.21594911813735962, -0.002496910747140646, 0.6110938191413879, 0.9062353372573853, -1.8327381610870361, -0.015438953414559364, -0.1866411566734314, 0.1488407552242279, -1.5438570976257324, 0.7076100707054138, -0.535940945148468, -0.5626620054244995, 0.05915471538901329, -0.00987408310174942, 1.0537996292114258, 0.2552019953727722, -1.002784013748169, -0.5270487070083618, -0.47637736797332764, -1.1102944612503052, 0.3155270516872406, 0.9143484830856323, 0.2196573168039322, 0.6779251098632812, -0.7007827162742615, -0.7354161739349365, 2.162975311279297, -0.03550171107053757, -0.3409341275691986, 1.108736276626587, -0.9627017974853516, 0.46868929266929626, 2.0898587703704834, -0.30424198508262634, -1.0003407001495361, -1.051120638847351, -1.6319870948791504, 0.663738489151001, -0.8357906341552734, 0.3972403109073639, -1.6282954216003418, 0.8811146020889282, -0.7468650341033936, -0.22173355519771576, 0.7823204398155212, -0.9317780137062073, 2.722238063812256, -0.013712451793253422, -0.9076263308525085, -0.7036681175231934, -0.4927535355091095, -1.4255033731460571, 0.32394546270370483, 0.5172354578971863, 0.12567289173603058, 0.7534435391426086, 0.31683313846588135, 1.7446551322937012, -1.7066090106964111, -0.044915758073329926, 0.175420343875885, -1.0074633359909058, 1.3400219678878784, 0.13519705832004547, 0.970081627368927, 0.07626281678676605, 0.40651002526283264, -0.9769337177276611, 1.3393380641937256, 1.0320831537246704, 0.37849900126457214, 0.03777255117893219, 0.9467257261276245, -2.200377941131592, 1.4299026727676392, -1.7212166786193848, -0.10159309953451157, -1.2827194929122925, -0.10075924545526505, 0.9236124157905579, -1.4608242511749268, 1.1871494054794312, 0.9139726758003235, 1.7811766862869263, -1.9325987100601196, 0.790335476398468, -0.8222143650054932, 1.6031466722488403, 0.40750786662101746, 0.7009850144386292, 0.3213622272014618, -1.1736763715744019, 0.11856698244810104, 0.16626986861228943, -0.31611698865890503, -0.7932949662208557, 0.2898302674293518, 0.9791768193244934, 0.6590469479560852, 2.6975340843200684, -1.6996958255767822, 0.8798921704292297, 0.6329247355461121, -0.3277301490306854, 0.935872495174408, -0.33658307790756226, 2.198136806488037, -0.6422423720359802, 1.0920807123184204, 0.8596627116203308, 1.53168523311615, 0.6890133023262024, -0.529064953327179, -0.7932190299034119, 1.494551658630371, 0.580501914024353, 0.4300163984298706, 2.318800926208496, 1.0772050619125366, -1.0487847328186035, -3.015441417694092, -0.969504177570343, -0.34802424907684326, 0.7683895230293274, -1.2958484888076782, 0.7534372210502625, -0.27824434638023376, -0.1850525587797165, -0.07444170117378235, 1.6373647451400757, 0.4509337246417999, 0.12143543362617493, -0.6063981652259827, -0.9522760510444641, 0.2266307771205902, -0.008077682927250862, 2.9113941192626953, -1.577389121055603, 1.2585722208023071, 0.6162378191947937, -0.5819801688194275, -0.08644428849220276, 1.0398122072219849, 1.918564796447754, -1.7965861558914185, -1.2931506633758545, 1.0261057615280151, -1.8908255100250244, 0.7683046460151672, 1.1473517417907715, -0.9410959482192993, -0.8479611277580261, 0.23246122896671295, 0.16016638278961182, -0.9703955054283142, 1.2548424005508423, 1.5939356088638306, 0.4333554208278656, 1.2579364776611328, -0.6771564483642578, -0.13554027676582336, 0.2225102335214615, -1.8188925981521606, -1.0931181907653809, 2.748406410217285, -0.31480225920677185, -0.6067327260971069, -1.9394183158874512, 0.13848650455474854, 0.9313795566558838, 1.3787827491760254, -1.6627904176712036, -0.9903350472450256, 0.7322495579719543, 0.448514848947525, 0.8177887797355652, 0.6894576549530029, 0.39087507128715515, -0.26695114374160767, 0.7026932239532471, 0.9387925863265991, -1.0705947875976562, -0.336995393037796, 2.011566400527954, 1.0780324935913086, 1.3024758100509644, 0.3234122097492218, 0.629339337348938, -0.5891852974891663, -0.5539487600326538, 2.1914901733398438, 1.5268027782440186, 0.25387662649154663, -0.762139618396759, 0.007753557059913874, -0.0355801098048687, 2.488910436630249, -0.24255317449569702, 0.244916170835495, -0.31285834312438965, 0.8347153067588806, 0.06374730914831161, 1.2641632556915283, 0.13615134358406067, 0.26412034034729004, 1.0917208194732666, -0.2514338791370392, 1.4266780614852905, -1.1012601852416992, 0.9076321125030518, -0.264208048582077, 0.2280248999595642, -0.8021599650382996, -0.7985302805900574, -0.6035928726196289, 0.6436244249343872, -1.068423867225647, -1.4743813276290894, 0.03841956704854965, -0.6367899775505066, -0.36780455708503723, -1.6806379556655884, -1.2440828084945679, 0.5285425782203674, -2.003282308578491, -0.2872718870639801, -0.0439012385904789, -0.18800759315490723, -0.427489310503006, 0.6061272621154785, -0.5305808782577515, -0.7076340913772583, -0.7933356761932373, -0.11062135547399521, -2.298767328262329, 1.3660134077072144, -0.9756633043289185, -1.3057340383529663, 1.816114902496338, 1.0204135179519653, -0.5521848797798157, 0.5234428644180298, 0.47189486026763916, -0.29119205474853516, -0.17903946340084076, -0.8186423778533936, 1.575637698173523, -0.08632553368806839, -1.0650731325149536, 1.48491370677948, -0.41310030221939087, -0.3544030487537384, 1.2425605058670044, 1.0243173837661743, -0.39318329095840454, -0.5685970187187195, -1.0190356969833374, 0.21061484515666962, -0.5637555718421936, 1.6439496278762817, -0.05166640877723694, 0.7277247905731201, -0.4567492604255676, -0.9529756903648376, 1.8298277854919434, -0.7303281426429749, -1.5210992097854614, -0.8360509872436523, -0.5321525931358337, 1.381486415863037, -0.5450780987739563, 0.9421884417533875, 1.1236364841461182, 0.175294429063797, 1.769920825958252, -0.8669178485870361, 0.15638189017772675, -0.331009179353714, -0.010375097393989563, 1.0560358762741089, 1.4699581861495972, -0.3492678105831146, 1.1113296747207642, 1.528476357460022, -0.07715923339128494, -0.9211940169334412, -1.6085073947906494, 0.21611855924129486, -1.1950100660324097, -1.265571117401123, -0.6636560559272766, 0.7719048857688904, 2.152226209640503, 1.4076145887374878, 1.9253668785095215, 1.4799574613571167, 0.07341745495796204, 1.0161988735198975, 0.44696369767189026, -1.6156158447265625, -2.0378189086914062, 0.5281509160995483, 2.281700611114502, 1.08240807056427, -0.3149643540382385, -1.7818543910980225, 0.7333816289901733, -2.579914093017578, 0.34066465497016907, -0.5126487016677856, -1.4883252382278442, -1.6199723482131958, -0.894855260848999, -0.8451811075210571, 0.5300923585891724, -0.0923057347536087, -1.7475852966308594, -2.735800266265869, 0.3996947109699249, -0.1344814896583557, 0.8167273998260498, -0.8211012482643127, 1.1624326705932617, -0.07183989137411118, 0.5370879769325256, 0.061353664845228195, -1.4528465270996094, 0.6398134827613831, 0.8254149556159973, 0.12805165350437164, -0.14109468460083008, -0.625221848487854, 0.8092066049575806, -0.11718758195638657, -1.1148312091827393, 0.9762508869171143, 0.7792982459068298, 1.7043391466140747, 0.7392937541007996, -0.09029268473386765, -1.5124807357788086, 0.11073430627584457, 0.3517610728740692, 0.225253626704216, 1.0885297060012817, 1.1271064281463623, -2.571439743041992, -1.5619075298309326, -0.04409025236964226, -1.6726114749908447, 0.10444904118776321, 1.2362767457962036, 0.18855658173561096, -2.026031017303467, -0.763046145439148, 0.39466825127601624, -0.4472545385360718, 1.3351815938949585, -0.21375977993011475, -0.26246339082717896, -0.2416851669549942, -0.8357256650924683, 0.20526982843875885, 0.48812177777290344, 0.6734729409217834, -0.5760495662689209, 1.5215134620666504, -0.6188464760780334, -0.6054529547691345, -0.0629148930311203, 0.2784777581691742, 1.7754497528076172, -0.10409016907215118, -0.3474760055541992, 0.9673697352409363, -1.043190598487854, -0.041241008788347244, 2.4454219341278076, 0.8718115091323853, 2.4982998371124268, 1.2723380327224731, 1.5647765398025513, 0.48673132061958313, -1.526787281036377, -0.5008388161659241, -0.4825889766216278, -2.7372868061065674, -0.460245817899704, 0.3206985294818878, -0.1324109435081482, -1.2323992252349854, 0.8853267431259155, -0.7162377238273621, 0.7331264019012451, 1.2727547883987427, -0.2141655832529068, 0.5932980179786682, -0.5717976093292236, 0.5250082015991211, 0.13406798243522644, -0.9980590343475342, -0.49482566118240356, 0.04486565291881561, -0.44595402479171753, -0.6660885810852051, -1.0977351665496826, 0.6875897645950317, -0.4028196632862091, 1.2828515768051147, 0.20233047008514404, -0.1452723890542984, -1.2760826349258423, 0.8781658411026001, -1.3329477310180664, 0.6804112195968628, -0.8617445230484009, -0.24095205962657928, 0.9688426852226257, 0.09023550897836685, 1.4299067258834839, -0.10566366463899612, -1.2167209386825562, -0.6714652180671692, 0.4090316593647003, 1.9153506755828857, -0.19954705238342285, -1.156960129737854, -2.1965367794036865, 0.740816593170166, -0.7165335416793823, 2.189835548400879, -0.5803816914558411, -1.8143552541732788, 0.19016751646995544, 0.997963547706604, -0.44459035992622375, -0.2186175137758255, -1.5346736907958984, -0.06741951406002045, 1.118284821510315, -0.539294958114624, -0.24226878583431244, 1.181956171989441, 0.8644051551818848, 0.4498967230319977, -0.459118515253067, 0.24597714841365814, -0.2969715893268585, 1.5312389135360718, 0.9527507424354553, 0.9935436248779297, -0.6778773069381714, 0.08999475091695786, 0.23534545302391052, 1.5798420906066895, 0.8776527047157288, -1.0788476467132568, 0.8199342489242554, 1.1258000135421753, 1.0732814073562622, 0.4224063754081726, 1.276467204093933, 1.8543668985366821, -0.685929000377655, 1.150649905204773, -0.5860447287559509, -1.162844181060791, 0.10077589750289917, 0.6879785656929016, 0.569084644317627, -0.0120925921946764, -1.121256709098816, -0.31278616189956665, 1.5336055755615234, -1.3610914945602417, 1.2032177448272705, -0.7268349528312683, -0.7323019504547119, 3.737567186355591, -1.5371572971343994, -0.6533039808273315, 0.3316200375556946, 1.4778854846954346, 0.03268267586827278, -0.11003129929304123, -0.31057214736938477, 1.5397073030471802, -1.2787760496139526, 0.01793847791850567, 0.45681118965148926, 0.05143281817436218, -0.9807073473930359, -0.28033119440078735, -0.3591710031032562, -1.1237719058990479, -0.47590139508247375, -0.7490650415420532, 0.24647657573223114, -0.16897666454315186, -1.4565855264663696, -0.09422188997268677, -0.7165818810462952, -1.4126297235488892, 0.3722274899482727, 0.22315478324890137, 0.5901334881782532, 1.7704182863235474, -0.07067952305078506, 0.2633742094039917, -0.9359489679336548, 1.3029149770736694, 1.5921276807785034, 2.410417318344116, -0.1330181211233139, -0.20999406278133392, 0.37402912974357605, -1.042997121810913, 1.0441745519638062, 0.9879540801048279, 1.3288050889968872, 1.3879175186157227, 1.1054853200912476, -1.531322717666626, 0.24462273716926575, 0.5808990001678467, -0.4733770191669464, -1.3447606563568115, 0.493614137172699, 0.10951017588376999, 0.5634345412254333, 1.3920351266860962, -0.04094242304563522, 0.9086894989013672, -0.934503972530365, -0.7036672234535217, -0.6773335933685303, -1.6695002317428589, 0.3254689574241638, -1.1045103073120117, 0.043463848531246185, -0.15345712006092072, -0.2445601373910904, -0.9832969903945923, -0.08945722877979279, 1.2400035858154297, -1.9783906936645508, -1.0010015964508057, -1.9590941667556763, 1.0083421468734741, -0.7855895757675171, -0.3156237304210663, 0.24393317103385925, -0.09808523207902908, -0.5225448608398438, -0.140946164727211, 0.6916184425354004, -0.5811348557472229, -1.381591558456421, 1.0387083292007446, 1.7929490804672241, -1.1075234413146973, -0.12245869636535645, -0.5079467296600342, -0.12870757281780243, 0.971109926700592, 1.660989761352539, -0.15505516529083252, -0.08253271877765656, 1.0298832654953003, 1.8664350509643555, 0.006741723511368036, -0.3333989381790161, -1.483108401298523, -1.3328425884246826, -1.650346279144287, 0.3439781963825226, -1.2932019233703613, 1.0748846530914307, 0.11718330532312393, -2.2243857383728027, -0.1520201861858368, -0.16796833276748657, 1.1464675664901733, -1.2217791080474854, 1.7396749258041382, -0.8884100914001465, -1.0964643955230713, 0.11216297000646591, -0.07985618710517883, -1.4582661390304565, 0.08765754103660583, -0.8795207142829895, -0.4667317569255829, -0.3155784606933594, 0.6934699416160583, 0.46829691529273987, -1.0374470949172974, 0.5043755769729614, 0.1263323277235031, 0.20309847593307495, -0.7504032254219055, 1.0274159908294678, -0.35111135244369507, 0.6700394749641418, 1.241397738456726, -1.4321649074554443, -0.5421318411827087, 0.22114239633083344, -1.3104230165481567, -0.8001041412353516, 1.068984031677246, 1.5536808967590332, 1.9840667247772217, -0.5542587041854858, 0.4291350245475769, 0.07153293490409851, -0.8111438155174255, -0.6357504725456238, 0.9728097319602966, -0.29340609908103943, -1.3046586513519287, 1.4507033824920654, 0.24427996575832367, -0.27988964319229126, 0.13080105185508728, 0.9897212386131287, 0.26025304198265076, 2.7997419834136963, 1.0818803310394287, 0.9812026619911194, 0.2707505226135254, 0.24990861117839813, -1.5812535285949707, 1.459407091140747, -0.2828080952167511, -0.005939028225839138, 2.64807391166687, -1.2152334451675415, -0.27039632201194763, -0.752345085144043, -1.9628762006759644, -0.7799535393714905, 1.3053598403930664, -1.19623863697052, 0.04948476701974869, 1.060243844985962, 0.7925424575805664, 0.4193824231624603, -0.2554340958595276, 0.631379246711731, -0.12032739818096161, -3.9121832847595215, 0.6039804816246033, 2.5269126892089844, 0.2891322374343872, -0.32962509989738464, 0.7888669371604919, 0.508623480796814, -0.7438071966171265, 0.32529276609420776, 0.21549654006958008, -1.3953423500061035, -0.9015535116195679, 1.260398507118225, 0.9797837138175964, -0.15988801419734955, -1.501569390296936, 0.5753917694091797, 0.40218260884284973, 0.680004358291626, -0.2228332906961441, 0.05721769854426384, 1.257693886756897, 0.04202239587903023, 0.8552138805389404, -1.6662797927856445, 0.12209436297416687, -0.4810634255409241, 0.6728562116622925, -1.236962914466858, -0.07593362778425217, -0.14078956842422485, -1.4311490058898926, -0.18495774269104004, 0.8867563605308533, -0.29879140853881836, -0.5001145601272583, 1.2341684103012085, 0.29003456234931946, 0.5025383830070496, -0.29458707571029663, 1.3728606700897217, -0.9574563503265381, 0.2567926347255707, 0.21248166263103485, 1.7713278532028198, -0.062249474227428436, 1.503443717956543, -0.6107755899429321, -1.880210041999817, 0.15732310712337494, 0.010878953151404858, 0.5172543525695801, 1.0021523237228394, 1.7204879522323608, -0.8014861345291138, 0.7292404174804688, -1.2093164920806885, -0.11279178410768509, -0.12805528938770294, -0.23522600531578064, 0.319780170917511, 0.6084484457969666, -0.4609980881214142, 0.40383872389793396, 1.4538699388504028, 0.16701926290988922, 1.1059075593948364, -0.6889957189559937, 0.22573328018188477, -0.3714214563369751, 2.8207132816314697, -0.08482622355222702, -0.20837418735027313, 1.7986183166503906, 2.7002756595611572, 0.7384520769119263, 0.26596349477767944, 0.667968213558197, -0.7547044157981873, 1.0194534063339233, 0.16678744554519653, -0.20146481692790985, -0.05644375458359718, -0.6490781903266907, -0.237937331199646, -0.8169330954551697, 1.298348307609558, 0.8412277102470398, -0.8797728419303894, -1.730401635169983, 0.2518666684627533, 0.3475038707256317, 1.0800846815109253, -1.9508588314056396, -0.010487566702067852, 0.8177810311317444, 0.19798626005649567, 0.9566313624382019, -0.6350823044776917, 0.5434618592262268, 0.5291541814804077, 0.2794392704963684, 0.4544614851474762, -0.3876997232437134, 1.4177502393722534, -0.5781033039093018, 0.4136441946029663, -0.1206916868686676, -0.6290946006774902, 0.3915053606033325, -2.007110595703125, -0.4053952097892761, -1.122340440750122, -0.4892158806324005, 0.776770830154419, 0.5238416194915771, 1.5474406480789185, -0.32735341787338257, 0.17540472745895386, -0.7206088900566101, -1.2161283493041992, -0.13443462550640106, -1.9483815431594849, 1.1907774209976196, 0.5778099298477173, -0.6292712688446045, -1.8719921112060547, -0.8533044457435608, -1.8409264087677002, -1.8782709836959839, -0.5614420771598816, 1.0375573635101318, 1.166880488395691, 0.6964668035507202, 0.40323734283447266, 0.010644453577697277, 1.6259480714797974, 0.09104235470294952, -0.4907911419868469, 1.3572924137115479, 1.153324842453003, -0.4400479197502136, -0.5386212468147278, -0.08256223052740097, -1.183887243270874, -2.133148193359375, 1.7795989513397217, 1.6640939712524414, -0.5876670479774475, 1.378942608833313, 0.011772708036005497, -0.7205244898796082, 0.8751362562179565, 0.329300194978714, -0.07683443278074265, -1.086125373840332, -0.8939959406852722, -0.29706764221191406, 0.3815048635005951, -0.5044566988945007, 0.6646755933761597, 0.22238093614578247, 1.2756435871124268, -1.4538068771362305, -0.6289234757423401, -0.602325975894928, 1.4938900470733643, -0.9342547059059143, -1.8864609003067017, 0.3252260982990265, -1.1353306770324707, 0.21732360124588013, 0.9412229061126709, 0.1598060578107834, 1.3064740896224976, 0.6046754121780396, -0.3512266278266907, 0.1580752283334732, -0.2101304531097412, -1.0327658653259277, -1.681771993637085, -0.6743054389953613, -0.6471498012542725, 0.4679722487926483, 0.5767708420753479, -0.5030954480171204, -1.7015576362609863, 2.4714863300323486, -0.08661904186010361, 0.36388081312179565, -0.029387962073087692, 0.00817455630749464, -0.30516794323921204, 0.6339081525802612, 0.18278087675571442, 0.45510950684547424, 0.5665608644485474, 1.5399689674377441, -0.5992820858955383, -0.2958123981952667, 0.24613642692565918, 0.6187294721603394, 0.33197376132011414, 1.0692565441131592, -1.3324910402297974, 0.48959237337112427, 0.4576452970504761, 1.0760219097137451, -0.4555814564228058, 1.035882830619812, 0.4635593593120575, 1.091817021369934, 0.5075836181640625, -0.7341267466545105, 0.15846975147724152, -0.238127663731575, 1.4244141578674316, -0.4335969090461731, 0.5426681041717529, 1.8807353973388672, -0.001059782924130559, -0.4529305100440979, 0.25380295515060425, -1.0844064950942993, -0.16526812314987183, -0.7693505883216858, 0.7944958806037903, -0.1316644549369812, 0.44368696212768555, 0.09684249758720398, -0.14090146124362946, 0.8729015588760376, 0.6496527194976807, -0.9324080944061279, -0.012991619296371937, 0.7158141136169434, 0.7582191824913025, 1.674124836921692, 0.5441913604736328, 2.330477237701416, 0.02989143505692482, -0.636602520942688, -0.8229746222496033, -2.2453789710998535, 1.0187805891036987, 0.637028157711029, 0.9151227474212646, -1.006482720375061, 1.0157517194747925, -0.38936811685562134, 0.33508217334747314, -0.6082870364189148, -0.18234838545322418, 1.1082736253738403, -0.05457199364900589, 0.8439196348190308, -1.2839586734771729, -0.935492217540741, 0.9190904498100281, 1.1361888647079468, 1.9202191829681396, 1.0445201396942139, 0.6805078983306885, -0.06770967692136765, 0.42559048533439636, 1.8681201934814453, -0.7825446724891663, -0.3753860890865326, -0.24905522167682648, 0.18731844425201416, -1.2517534494400024, -2.7686190605163574, 0.9186437726020813, 0.2581877112388611, 0.579437792301178, -1.659554362297058, -0.43731486797332764, -1.4602290391921997, -0.3830370008945465, -0.7662826776504517, -0.6976858973503113, -1.8539657592773438, 0.43681061267852783, -0.37106433510780334, -1.1720880270004272, 0.10693153738975525, 0.5302504301071167, -0.667519211769104, -0.17678500711917877, 0.32774245738983154, -0.7376916408538818, 0.8049237132072449, -0.6542702317237854, 0.13875018060207367, 0.6753641366958618, -0.6020073890686035, 0.39809608459472656, 0.6330115795135498, 0.6013759970664978, -0.5854831337928772, 0.25348961353302, 1.190448522567749, 0.623799204826355, -0.3573349416255951, -0.20235136151313782, -0.23608341813087463, 0.6205408573150635, -2.4090969562530518, -1.0863476991653442, -1.6541858911514282, 0.20609086751937866, 0.09979747235774994, 0.742448627948761, 0.21360981464385986, 1.987547755241394, 0.23870255053043365, -0.8480212688446045, -0.17841368913650513, -1.4312450885772705, 0.34628865122795105, -0.40463149547576904, -0.6671148538589478, -1.923720359802246, -1.4224551916122437, 0.03599223867058754, -0.10034719854593277, 2.011566638946533, -1.3348053693771362, 0.3162751793861389, -0.5086963176727295, -0.9606382846832275, 2.0284743309020996, -0.43665093183517456, -0.17906934022903442, 0.22404329478740692, 1.5714350938796997, 1.0406004190444946, 0.6872478723526001, 0.03640188276767731, -0.13288892805576324, -0.12045915424823761, -0.2542456388473511, 0.4451410472393036, 0.0005290870903991163, -0.5509600639343262, -1.4267605543136597, -0.014758991077542305, -0.35794493556022644, 0.32812219858169556, -0.8550605773925781, 0.21175603568553925, 1.0113179683685303, 0.42635688185691833, -0.12649883329868317, -2.2683780193328857, 1.5826592445373535, 0.4911666512489319, 1.040094017982483, -0.5160954594612122, 0.8116937875747681, 0.3749651312828064, 0.22384704649448395, 1.3391739130020142, 1.0057607889175415, -1.545810580253601, 1.2546799182891846, 3.0660042762756348, -0.28801509737968445, 1.3394947052001953, 1.2670992612838745, -0.23420919477939606, 0.3011074960231781, -2.4638924598693848, -0.06897260248661041, -0.7377135157585144, 0.7225001454353333, 1.9646975994110107, -0.23649413883686066, -0.1706112027168274, 0.6163316369056702, -0.26770836114883423, -1.7102938890457153, -0.9636721014976501, -0.23509581387043, -0.6346080899238586, -0.962644100189209, 0.41979020833969116, -0.9997031688690186, 0.4108242988586426, 0.8600877523422241, -1.4285424947738647, 0.8557441830635071, 0.7185693383216858, 1.3682702779769897, 0.3925681710243225, -0.5306551456451416, -1.2837398052215576, -0.2661794424057007, -0.2374679297208786, -1.664079189300537, 0.07760095596313477, -0.5601007342338562, -0.08185724169015884, 0.04398095980286598, 1.9412330389022827, 0.7789140343666077, -0.40259411931037903, -0.05212096497416496, -0.03676185756921768, -1.0146822929382324, -1.016826868057251, -0.791703462600708, -1.67879056930542, 1.6547619104385376, -0.10918651521205902, 0.30223819613456726, 0.7851754426956177, -0.9047738313674927, 0.7441718578338623, 0.40695634484291077, -0.8583745360374451, -1.2239270210266113, -2.099071502685547, 0.9074001908302307, -0.43341705203056335, 0.7662373185157776, 0.8147382736206055, -0.18383076786994934, 0.7877869606018066, 1.095198154449463, 1.234156847000122, -0.29067978262901306, 1.858472228050232, 0.9548314213752747, 0.9657578468322754, -0.4010951817035675, 0.26182830333709717, 2.1988894939422607, -1.4747294187545776, -0.9333288669586182, 0.5567031502723694, 0.05982119217514992, 0.7573878169059753, 0.4670591950416565, -1.5186328887939453, -0.49045753479003906, 0.3300169110298157, 0.29016876220703125, -0.8521882891654968, 0.160671666264534, -0.5665712952613831, -0.8765566945075989, -0.21724791824817657, 0.5522458553314209, -0.5695482492446899, 0.5906784534454346, -0.3612735867500305, 0.9898018836975098, 0.15837809443473816, -1.5128203630447388, 0.7876787781715393, 0.07618260383605957, 1.038846492767334, -0.1317533701658249, 0.32039132714271545, 0.5379406213760376, -0.8216034173965454, -2.084514617919922, 1.0655919313430786, -0.5764473676681519, 1.7796975374221802, 0.5395705699920654, -0.3291202485561371, -0.024425141513347626, 0.2745550870895386, 1.2877718210220337, -0.7734994888305664, -0.8897309899330139, 2.4250855445861816, -1.1457871198654175, 1.2680788040161133, 0.27538612484931946, 2.2440707683563232, 0.5156107544898987, 0.8208649754524231, 0.902502179145813, -1.0883241891860962, -0.01702646166086197, 0.9753521084785461, -0.2784930169582367, 0.8099731802940369, 0.1190190240740776, 0.05521570146083832, -0.5253241062164307, 0.43599051237106323, 1.0813751220703125, 1.7777644395828247, -0.5466489195823669, -1.4295318126678467, 0.33359137177467346, -0.3456627428531647, 0.4787299931049347, -0.40649643540382385, -0.3044435977935791, -0.6316342949867249, 1.169443964958191, -0.12955066561698914, -1.7264448404312134, 2.135037660598755, 2.357022523880005, 0.44654205441474915, -1.0911445617675781, -0.055311419069767, -2.0378358364105225, 0.09481053054332733, 1.2662850618362427, -2.010100841522217, -1.1083357334136963, 0.0518486388027668, 0.2709353566169739, -0.16320951282978058, -0.030345706269145012, -0.30573517084121704, -0.8283917903900146, 0.42207738757133484, 1.464890956878662, -0.36265966296195984, 0.5387789607048035, 1.1787538528442383, 0.48261377215385437, 0.18560296297073364, -1.5972353219985962, 0.4317144453525543, -0.6533365249633789, 0.8122573494911194, 0.2925291955471039, -0.4729432761669159, -1.1899516582489014, -1.0201808214187622, 0.8307679295539856, 0.915500819683075, 1.0881435871124268, -1.1810250282287598, -1.0661336183547974, 0.7778351902961731, -1.6927061080932617, 0.835306704044342, 1.100214958190918, 0.7841358780860901, -1.0522983074188232, -0.8353984355926514, -1.3282067775726318, -0.16439206898212433, 0.32153427600860596, 0.6824885606765747, 0.24890904128551483, 0.02100907824933529, 0.5816425681114197, -0.5557401180267334, -0.45208123326301575, -0.1499725878238678, 0.8092933297157288, 0.5514435768127441, -0.16237635910511017, 0.46116235852241516, -0.9620375037193298, -1.0346430540084839, -0.8669313192367554, -0.03897300362586975, -0.12912973761558533, -0.2564948797225952, 0.9839723706245422, 0.2317689061164856, -0.4751053750514984, -0.8871399760246277, 0.9670895338058472, 0.30383792519569397, -0.5973309278488159, 0.41086670756340027, 0.2842828035354614, 0.732323944568634, 0.7015424370765686, -0.5639681220054626, 1.7514299154281616, -0.15211883187294006, -1.089211106300354, -0.5164806842803955, 0.6802801489830017, -1.273200511932373, -1.0649609565734863, 1.4496800899505615, -1.4340516328811646, 1.1592146158218384, -0.7731466293334961, 1.272306203842163, -0.3923969566822052, -0.140667125582695, -0.47084155678749084, -0.7792714238166809, 0.6729049682617188, -0.06457013636827469, 0.09072761982679367, -1.513971209526062, -1.5745311975479126, 1.1078623533248901, 0.01583578810095787, 1.5712605714797974, -1.223505973815918, -0.6677567958831787, -0.22846144437789917, -0.01106249913573265, -0.35648292303085327, -0.9585416316986084, 1.4823193550109863, -0.0102509381249547, -0.9072616696357727, -1.303543210029602, -1.0285513401031494, -1.079121708869934, 0.4060207009315491, 0.2600885331630707, 0.7325735092163086, 0.20307864248752594, -0.9144693613052368, -2.3953826427459717, -1.5663951635360718, 0.3023854196071625, 0.1001671776175499, -0.5825690627098083, -0.18621961772441864, -0.4905887544155121, 0.5818184018135071, -0.15969081223011017, -0.8450137376785278, -0.6333736181259155, -1.4329365491867065, -0.4092918336391449, -0.49686068296432495, -0.7045661807060242, 0.10038123279809952, 1.3346611261367798, -0.3481118679046631, 0.5773225426673889, -1.254859447479248, -0.7662203311920166, -1.684614658355713, 0.807702898979187, 1.2010918855667114, 0.3539280295372009, -0.2671698033809662, 0.37198901176452637, -0.5087712407112122, -2.555086851119995, -0.9519170522689819, -0.22534331679344177, -0.6874731779098511, 1.8952445983886719, 2.0404508113861084, 0.20750568807125092, -0.5816615223884583, -0.8381348848342896, 0.931266188621521, 2.3120977878570557, -0.06939026713371277, -0.16991770267486572, -0.28199082612991333, 0.2546122670173645, -0.38565748929977417, -1.3129234313964844, -0.7620145082473755, -0.15710803866386414, -1.7858093976974487, 0.985482931137085, -1.3645119667053223, -1.7312994003295898, -1.160460114479065, 1.0972238779067993, 0.8792272210121155, -0.5663394927978516, -0.4963913559913635, -1.1763041019439697, 1.6686753034591675, -0.8492873311042786, 0.02429470233619213, 1.647212266921997, 0.9799559116363525, -0.9480441212654114, 1.3707575798034668, -0.09055932611227036, 1.0686030387878418, -0.564554750919342, 1.7300444841384888, 0.31831011176109314, -1.216063380241394, 0.14877082407474518, 2.5665667057037354, 0.5250276327133179, 2.130091428756714, 1.9350430965423584, -0.1232396736741066, -1.6750215291976929, -1.4725717306137085, -0.5811826586723328, 0.36472412943840027, 0.07676995545625687, 0.16606591641902924, 0.8874421119689941, 0.1084735095500946, -0.07619103789329529, -0.40122541785240173, 0.2688271999359131, -1.1993120908737183, -1.6618160009384155, 1.4509897232055664, -0.33957400918006897, -1.6361005306243896, 0.5548206567764282, 2.6632049083709717, -0.2441670298576355, 1.1718566417694092, -0.3482344150543213, -1.4949512481689453, 0.9929715991020203, 0.030364559963345528, 1.3200898170471191, -1.32210373878479, 0.6674696803092957, 0.5909454226493835, -1.2327039241790771, 0.6146141290664673, -1.2873250246047974, -0.7572727203369141, -1.5615862607955933, 0.6008574366569519, -1.3106837272644043, -0.5232867002487183, -1.0321465730667114, 0.8514344096183777, -0.47253450751304626, -0.15873269736766815, 1.6858713626861572, -0.6036465764045715, 0.5762452483177185, -0.4073806405067444, 0.110654816031456, 0.7341816425323486, -0.3965451121330261, -0.7271662354469299, 0.51008141040802, -0.2563871443271637, 0.5042846202850342, -1.6270148754119873, -0.7430934309959412, -0.85621178150177, -0.7886927127838135, -0.24463854730129242, -0.15118679404258728, 1.256852626800537, 1.002708077430725, -0.751757800579071, -0.44067490100860596, 1.2139300107955933, -2.875753164291382, -0.9857417345046997, 0.832857608795166, -0.6614843606948853, 1.046247124671936, 0.28692489862442017, -0.4249379336833954, -0.46529120206832886, 2.447742223739624, 0.7531779408454895, 1.45774507522583, -0.02479812130331993, 0.5839856863021851, 0.4595630168914795, -0.6206485629081726, -0.29119420051574707, -0.462353378534317, 0.13948607444763184, -1.0271687507629395, 1.2115676403045654, 0.3924987316131592, -2.575882911682129, 0.8888468742370605, -0.3798424303531647, 0.47404998540878296, -1.7815005779266357, -1.4920016527175903, 1.134150743484497, -1.047631859779358, 1.3218562602996826, 0.47908419370651245, -0.261776864528656, -0.6030348539352417, 0.5401794910430908, 0.5598765015602112, -0.06427069753408432, 1.3153014183044434, -0.28614693880081177, -0.19743676483631134, 0.0011419766815379262, -0.7507864236831665, 0.7663580775260925, 0.9213473200798035, -0.15386110544204712, 0.8458670377731323, -0.20608040690422058, 0.5103346705436707, 2.1660385131835938, 1.2000799179077148, 1.2003155946731567, 1.064083456993103, -0.24798017740249634, -0.06355244666337967, 0.6741690039634705, 0.9225830435752869, 0.8904999494552612, 0.27962005138397217, 0.14215683937072754, 2.047839403152466, -1.1031817197799683, -1.8477404117584229, 0.25219663977622986, 0.5441582202911377, -0.11664307862520218, 0.8169258832931519, 0.07803666591644287, 1.1853387355804443, -1.563123345375061, 0.8235005140304565, 0.699645459651947, -0.2739996910095215, -0.649753987789154, 0.3339695334434509, 0.6070361733436584, -1.2381759881973267, -1.8098002672195435, -1.0426753759384155, -0.49666789174079895, 1.1042855978012085, -0.28629598021507263, 1.1872057914733887, -1.548396110534668, -1.0748999118804932, -1.318832278251648, 0.25598642230033875, -1.6089767217636108, 0.11880530416965485, 1.7134044170379639, -1.9737489223480225, 1.7090034484863281, 0.014746163971722126, -0.028018174692988396, 0.7729841470718384, -0.16630803048610687, -0.3082164525985718, -1.3598295450210571, 0.7484915852546692, -0.020066307857632637, 1.1464874744415283, 0.2593289017677307, 0.5561820864677429, -0.42343366146087646, -0.7130321264266968, -0.15960584580898285, 2.047469139099121, 1.7842962741851807, -0.1500924527645111, -0.5729426145553589, -1.5497281551361084, -0.9490254521369934, -0.6718958616256714, 1.6634629964828491, 1.0943793058395386, -0.20335201919078827, 0.24930346012115479, -1.0565357208251953, 1.761036992073059, 1.6969059705734253, -1.3833608627319336, -0.9623389840126038, 0.7332934737205505, -1.5554397106170654, -0.5061531066894531, 0.8648771643638611, 0.696260392665863, 1.304234504699707, -1.799821138381958, 2.3756792545318604, -0.6498905420303345, 0.3199048936367035, -1.4698498249053955, 0.5847093462944031, -1.159850835800171, -0.7021216750144958, -1.6163984537124634, 1.1773993968963623, -0.33206772804260254, -0.4710956811904907, 0.3076260983943939, 1.50766921043396, 0.2656412720680237, -0.9846153855323792, -0.43968701362609863, 0.24974483251571655, 1.3714436292648315, 0.011947983875870705, 0.21989481151103973, 1.3582602739334106, 0.18479764461517334, 0.11225549876689911, 0.487280011177063, -1.8237143754959106, -0.20599345862865448, -0.3458836078643799, -0.19538514316082, -4.590487003326416, -2.0522897243499756, 0.32260194420814514, 0.37994635105133057, -0.8459410667419434, -0.027350332587957382, -0.105218805372715, 0.9033235907554626, 0.7069961428642273, -1.4173370599746704, -1.0193253755569458, 0.8716959357261658, 0.21388539671897888, 1.5898735523223877, -0.08420645445585251, 1.7137596607208252, -0.6511648893356323, 1.0987123250961304, 0.38846316933631897, -2.241964817047119, -0.9849853515625, 0.5033459663391113, -1.877898931503296, -0.09880439192056656, -0.7266132831573486, 0.8347182869911194, -0.8041361570358276, -0.3806835114955902, -0.0120514752343297, 0.13004733622074127, 1.5650300979614258, -0.9664760828018188, 0.16665737330913544, 1.5542923212051392, -0.026734543964266777, 0.0569528192281723, 0.9543485641479492, -1.5862916707992554, -1.3902620077133179, -1.2890939712524414, -0.44249624013900757, 0.22539444267749786, 0.6514113545417786, 0.2526547610759735, -0.38624462485313416, 0.6183772087097168, 0.46923014521598816, 0.39548906683921814, 0.7532169818878174, -0.7838207483291626, 1.082488775253296, -0.3692897856235504, -0.0846959576010704, 0.18322138488292694, 0.29738742113113403, 0.12281671166419983, -1.065079927444458, -0.0818561241030693, 0.5466769933700562, 0.9761568307876587, -1.1271271705627441, -0.5635595321655273, 1.9902280569076538, -1.2461110353469849, -0.572148323059082, 0.3713187873363495, -0.14302805066108704, 1.260285496711731, -0.308820515871048, -0.22995419800281525, 0.8583492636680603, -1.537030577659607, -1.2683606147766113, -0.9647015929222107, -1.7631279230117798, -0.6365145444869995, -0.4509296417236328, 1.1802514791488647, 0.044512808322906494, -0.027889186516404152, -0.5378810167312622, -0.35102200508117676, 1.4256056547164917, -0.9954700469970703, 0.5747546553611755, -0.4056012034416199, 0.5297638177871704, 2.301440715789795, 1.0028607845306396, -0.13206739723682404, 1.201464295387268, -0.8039288520812988, -0.18027454614639282, 0.5994168519973755, 0.6733683347702026, 0.24267470836639404, 0.8966361284255981, -1.4408336877822876, 0.8006253242492676, 0.5767998099327087, -0.012014731764793396, 0.4058736562728882, 0.10847731679677963, 0.484870046377182, 1.0501197576522827, -0.6837360858917236, -0.5548007488250732, -0.7267906069755554, -0.4585682153701782, 1.6783833503723145, -2.2557921409606934, -0.8522458672523499, -0.6435146927833557, -0.20969831943511963, 1.1025543212890625, -0.1733873039484024, -0.4653708338737488, 0.4414534568786621, 0.6998939514160156, -0.5254987478256226, 0.6385402083396912, 0.10993203520774841, -0.17751605808734894, -0.8374624848365784, -0.5139830708503723, -0.5715621709823608, -0.17139151692390442, 0.29010912775993347, 0.07599475234746933, 0.45246168971061707, -0.7084513306617737, 1.0194917917251587, -0.09768582135438919, -0.5591790080070496, 1.6106548309326172, 1.56406831741333, -0.3981296718120575, 0.3738940954208374, 0.438385546207428, 0.34225642681121826, -0.4658235013484955, 1.470977783203125, 0.33540236949920654, 0.2557631731033325, -3.1068077087402344, -0.09887733310461044, 0.2541777193546295, 1.220206379890442, 0.19442462921142578, 0.3978477418422699, 1.0854442119598389, 2.2304768562316895, -1.0525119304656982, -0.4110996127128601, -0.6825019717216492, -0.6428825855255127, -0.48665568232536316, -2.0378172397613525, 0.4522855281829834, 0.07762130349874496, 0.7037158608436584, -1.5849863290786743, -0.4051603376865387, -0.3160574734210968, -0.5489075779914856, 0.2491654008626938, -0.5246623158454895, 0.3743203580379486, 0.41794902086257935, 0.3183284401893616, 1.2588160037994385, 0.1869850903749466, 0.9540350437164307, -2.658742904663086, 0.6647977232933044, -0.09665559232234955, -0.07421164959669113, 0.9925838708877563, 1.5309867858886719, -1.2082571983337402, 0.04005281254649162, -1.312328815460205, -0.8354765176773071, 0.15306589007377625, -0.6748557090759277, -0.5820913314819336, -0.8385991454124451, -0.6930100917816162, 0.17461663484573364, 0.251962810754776, 1.207927942276001, 0.865795373916626, -0.11512261629104614, -0.2068532407283783, -0.30375269055366516, 0.7153390645980835, 0.102569580078125, 0.29510873556137085, -1.6200566291809082, -0.2799949645996094, -2.2940165996551514, -1.8437029123306274, -0.11671214550733566, -1.4409173727035522, -0.20028278231620789, -1.6468303203582764, -0.5535934567451477, 0.916714608669281, -0.49961593747138977, -1.370246171951294, -0.5892370343208313, 0.5851083397865295, 0.15231788158416748, 0.1524266004562378, 1.1538183689117432, 0.1434231698513031, -0.4668002426624298, 0.6694236993789673, 0.8029570579528809, -1.128645420074463, -0.5415638089179993, 0.7148733735084534, -0.21786054968833923, 0.25494393706321716, 1.7888623476028442, 0.837852954864502, -0.8623061180114746, -1.9807080030441284, -0.5683214664459229, -0.7880021333694458, -0.20363865792751312, -0.8288527131080627, 0.8197945356369019, -0.8912142515182495, -1.5004454851150513, -1.0436478853225708, -0.13629910349845886, 1.5387922525405884, 1.6148947477340698, -0.46592357754707336, -1.0835857391357422, 2.4127721786499023, 0.29831284284591675, -0.5864914059638977, 0.026118503883481026, 0.8253267407417297, 0.5728235840797424, 0.2168377786874771, 2.0342705249786377, -0.8952576518058777, -1.0570136308670044, 0.7002004981040955, 0.11120284348726273, 1.2526781558990479, -0.11705522984266281, 0.2431677281856537, -1.903295874595642, -1.5120699405670166, 0.8551264405250549, -1.1362826824188232, 0.04684753715991974, 0.7452582716941833, -1.1002061367034912, -0.6902682185173035, 1.4649009704589844, 1.0322400331497192, 0.07994987070560455, -1.9760518074035645, 0.23384903371334076, -0.09299273788928986, -0.37670794129371643, 0.6242139935493469, 0.12479114532470703, 1.3663537502288818, -2.245995044708252, -0.6988351941108704, -1.1289371252059937, -0.5075103044509888, 0.32241788506507874, 1.6312764883041382, 0.3923393487930298, 0.09763448685407639, -1.0984749794006348, -0.6188521385192871, -0.9596922993659973, -0.9977754950523376, -0.6907290816307068, 0.3962167203426361, -2.5347654819488525, -1.3945866823196411, -1.849588394165039, 0.5696204900741577, -0.06455918401479721, 1.3876205682754517, -0.8802580237388611, -1.400011420249939, -1.4569069147109985, 2.2219786643981934, -1.0853896141052246, 0.6074466705322266, -0.354131817817688, -0.8613611459732056, 0.6020967960357666, 0.42113202810287476, -1.50015389919281, 0.5675557255744934, -1.3787809610366821, 1.3422988653182983, 0.06369331479072571, -0.571025550365448, 0.920621931552887, 0.9102606177330017, -0.5799019932746887, -1.3493554592132568, -0.18462340533733368, -0.3938900828361511, 0.5079939961433411, -0.04151388630270958, 0.638681948184967, -0.9251974821090698, 0.4793422818183899, 0.07934211194515228, 0.45806410908699036, 0.3873237371444702, 2.1038315296173096, -0.009075237438082695, 0.4696924686431885, 1.1768519878387451, -1.0309484004974365, -1.4824835062026978, 0.548785924911499, -1.6630266904830933, 0.2332160919904709, 0.32850784063339233, -2.2924160957336426, -0.9336865544319153, -1.1462935209274292, -1.4328354597091675, -1.3781925439834595, 0.11795748770236969, -1.0284250974655151, -0.3721398711204529, -0.933484673500061, 1.1596593856811523, -0.5431807041168213, -0.3126688599586487, -0.8101866841316223, 0.294687420129776, 1.3017981052398682, -0.37575218081474304, -1.2258555889129639, -0.8496612310409546, 1.6667790412902832, 0.48977890610694885, 0.041659194976091385, 0.5937827229499817, -1.2999275922775269, 1.1711041927337646, -0.06464914232492447, 0.18754738569259644, -1.6257176399230957, 0.2559446096420288, 0.8953971862792969, 0.05210793763399124, -0.8828252553939819, 0.315426230430603, -0.9624536633491516, -1.2980780601501465, -0.7440680265426636, 0.6479417681694031, 0.496842622756958, -0.4313477873802185, 0.46270114183425903, -0.20873035490512848, -0.6469730734825134, -0.8206639885902405, 1.0195780992507935, -1.0815421342849731, -0.8723288774490356, -0.34397581219673157, -1.925599455833435, 0.8844987154006958, 0.5208379626274109, 1.1756534576416016, -0.1712675839662552, -0.751429557800293, -0.5991390943527222, 0.8129574060440063, 0.36697280406951904, 0.8742092251777649, 1.074175477027893, -0.8034271001815796, 0.09661232680082321, -1.69002366065979, -0.4344105124473572, -0.05072885751724243, 0.40158307552337646, 0.5678419470787048, -1.4790481328964233, 0.2828561067581177, 0.021297337487339973, -0.7806777954101562, -0.5144739151000977, -1.3540741205215454, 0.6754942536354065, 0.4868510067462921, -2.3492724895477295, 0.5340497493743896, -0.12332668155431747, 0.6731573939323425, 1.6698524951934814, 0.857586681842804, -0.5468665361404419, 0.4866846799850464, 0.00014711850963067263, 0.2719130516052246, -1.0565098524093628, -0.8529942631721497, 1.1526010036468506, -0.04655726999044418, 2.109917163848877, 0.4556778371334076, 0.49670082330703735, 1.5331758260726929, -1.1680102348327637, 0.5544835329055786, -1.3787705898284912, 0.9757505059242249, -1.7401586771011353, 0.7986098527908325, -0.909892737865448, 0.45625391602516174, -1.5176916122436523, -0.18365027010440826, -0.3773248493671417, 0.4244149625301361, 0.32260099053382874, 2.0206387042999268, -0.38424134254455566, -0.5387206077575684, -0.6788306832313538, -0.12234275043010712, -1.8472939729690552, -2.0308585166931152, 1.3706034421920776, -0.18113401532173157, -1.0697435140609741, -0.431270033121109, -1.6597670316696167, 0.08197832852602005, 1.3998132944107056, -0.21864621341228485, 0.0045160348527133465, 0.6952841281890869, 0.5464415550231934, 0.6999191641807556, 0.17490430176258087, 0.7414620518684387, -1.8896892070770264, -1.5591075420379639, -1.0657451152801514, -0.8074997067451477, -0.36167681217193604, -0.3593403995037079, 0.2769935429096222, -0.01611383631825447, -0.9155992269515991, 0.6275180578231812, 0.263513445854187, 0.09994900226593018, -0.34637004137039185, -0.5890353322029114, -0.6560580134391785, 2.6462934017181396, 0.7388167381286621, 0.2995735704898834, 0.024438541382551193, 0.15639980137348175, -1.094023585319519, -0.5380859375, -0.8731626868247986, 2.109699010848999, 1.9825154542922974, 0.8860426545143127, -0.8782669305801392, -0.3164410889148712, -0.15212349593639374, -0.38878607749938965, 0.18721233308315277, 1.556901454925537, -1.4734578132629395, 0.9381241798400879, -0.7352778911590576, -0.4158262312412262, -0.08686912059783936, -2.931133508682251, -0.8536374568939209, 0.8168794512748718, 0.8988110423088074, -0.44353434443473816, -0.5678110718727112, -0.046365950256586075, 0.6694868803024292, -1.9049299955368042, -0.6935964226722717, 1.212466835975647, 1.0641697645187378, 2.0564608573913574, -0.9841756820678711, -0.46724194288253784, 1.0322092771530151, -1.8811311721801758, 1.1396642923355103, -0.3073957562446594, 2.727267026901245, 1.2159736156463623, 2.0867393016815186, -1.4766894578933716, 1.4007166624069214, -0.20915640890598297, -0.26001620292663574, -0.5185415148735046, 0.5442595481872559, -0.5174069404602051, 0.8647102117538452, -0.9373543858528137, 1.7574429512023926, -0.015779802575707436, 1.7610975503921509, 0.2407299429178238, -0.002906594192609191, 0.5428099036216736, -1.0575995445251465, 0.18425503373146057, -1.177641749382019, -1.6001747846603394, 0.20000305771827698, -1.6345371007919312, -0.7048511505126953, 0.06381834298372269, -0.8093963265419006, 0.3397621810436249, 1.0286633968353271, -0.7605062127113342, 1.2919825315475464, -1.8201450109481812, 0.5490398406982422, -0.8941095471382141, 0.9235695004463196, -0.3105100393295288, -1.2937109470367432, 1.5256905555725098, 0.6655983328819275, 0.20014114677906036, -0.4495220184326172, 0.7199190855026245, 1.2921704053878784, -0.5020086169242859, -0.18156147003173828, 0.16221146285533905, -0.07935092598199844, 0.8212664723396301, 0.8057575225830078, -1.4514063596725464, -0.7965359687805176, -0.20400112867355347, -0.5777905583381653, 0.3474275469779968, 0.37239035964012146, 0.1721561700105667, -0.1632196307182312, -0.5057582259178162, 0.7820546627044678, 0.1994936168193817, 1.331580400466919, 0.08013531565666199, 1.822954773902893, -0.025256365537643433, -1.1643788814544678, 1.7291061878204346, 0.5388310551643372, -0.22000829875469208, -0.1680569350719452, -0.11118766665458679, -0.5418046116828918, 0.24958378076553345, 0.7157243490219116, -0.5782224535942078, -1.572266936302185, -0.551821768283844, -1.8417093753814697, -0.12602464854717255, -0.8910450339317322, 1.0962769985198975, -2.8087170124053955, 0.3037346303462982, -0.4451170861721039, 0.21369147300720215, 2.5273027420043945, -1.0286284685134888, -0.17048496007919312, 0.6936991214752197, 0.21829773485660553, -0.691300094127655, -0.6162018775939941, 1.5119497776031494, -0.3293887972831726, 1.3043930530548096, 1.80573308467865, 1.3686344623565674, 1.2875713109970093, -0.9265219569206238, 0.480010062456131, -1.8672938346862793, 0.27545538544654846, -0.7154293656349182, -0.24770328402519226, 0.6137721538543701, -0.8564212918281555, -0.9197810292243958, 0.009736130945384502, -0.706872284412384, 0.9721733331680298, 2.4683926105499268, 0.707801878452301, -0.6197855472564697, -0.2951693534851074, -0.37423384189605713, 0.5883585214614868, 0.050453681498765945, 0.4274648427963257, 0.8665466904640198, 1.6647382974624634, -0.728370189666748, -0.6024280786514282, -0.498090535402298, -1.8326926231384277, 0.25111711025238037, -0.8122819662094116, -1.339927077293396, -0.7348865270614624, -2.2014527320861816, 0.49722763895988464, 0.6321797370910645, 1.2606812715530396, 1.3702387809753418, 0.2651441991329193, 0.7761843800544739, -0.28343671560287476, 0.971897304058075, 0.6995351314544678, 0.12715063989162445, -0.277471661567688, -0.24592724442481995, -0.014568896032869816, -0.4445440173149109, -1.2251771688461304, 1.4113430976867676, -1.6407495737075806, 1.089362621307373, 0.47033408284187317, 0.10113731771707535, 0.05076458305120468, -2.8691132068634033, -1.2832789421081543, 0.7762129902839661, -0.8713890910148621, 0.9613738059997559, -0.4938099682331085, 0.7369964718818665, 0.4459758698940277, 0.10165993124246597, 1.0770108699798584, 1.2858725786209106, 1.3870720863342285, -0.38760748505592346, 1.982582449913025, 1.035927653312683, 1.0755326747894287, 0.6685170531272888, 0.748969554901123, -0.07083883881568909, 0.2360350340604782, 0.41759076714515686, -1.2019407749176025, 0.3494662046432495, -0.7013761401176453, 1.3096542358398438, 0.7398746013641357, 0.9783487915992737, 2.378195285797119, 0.03726096823811531, 0.16814927756786346, -0.7663987874984741, 0.6361956000328064, 0.9661579728126526, -0.6520741581916809, 0.45356664061546326, 0.10760162025690079, 0.8783052563667297, 0.20047496259212494, -2.1272132396698, 0.3601607382297516, 0.5910879969596863, 1.3446553945541382, -1.8329788446426392, 0.21474595367908478, 0.354678750038147, -1.2770016193389893, 0.43043336272239685, 0.3203308880329132, -0.4785045385360718, 2.0618362426757812, -1.030090093612671, 1.5139665603637695, -0.18385489284992218, 0.15413309633731842, 0.9683400392532349, 1.630165934562683, -1.7739818096160889, 0.6701565980911255, 1.747613549232483, 0.34258347749710083, -0.714775800704956, 1.1940655708312988, 0.12249141931533813, -0.6182563900947571, -1.7479054927825928, 0.8872619271278381, 0.7754055261611938, -0.8094313740730286, -0.10782051831483841, -0.5862298607826233, -0.04602089524269104, -0.6864772439002991, 0.5413038730621338, -0.4631672501564026, -0.36821430921554565, 0.21249578893184662, 0.7566601634025574, -0.029577672481536865, -1.0926743745803833, -0.5430036187171936, -1.1715236902236938, 0.6926686763763428, 0.69503253698349, 0.6469876766204834, 0.35002651810646057, 0.07626458257436752, 0.9148107171058655, -1.0532796382904053, -0.12680327892303467, -0.7464393377304077, -1.6353942155838013, -0.08274376392364502, -0.6947917938232422, -0.6508398056030273, -0.4138224422931671, -2.441429376602173, 0.09710897505283356, -1.8939095735549927, 0.3900659382343292, 1.6603678464889526, -1.5552778244018555, -3.998054027557373, 2.023125171661377, -0.5130648016929626, -0.17653533816337585, -0.8272227048873901, 1.451035737991333, -0.21874922513961792, -1.0809919834136963, 1.5550289154052734, -0.25855112075805664, -0.6372632384300232, 1.0887423753738403, 1.2913599014282227, -0.9482532739639282, 0.29304733872413635, -0.714370608329773, 1.2648520469665527, -0.058902814984321594, -0.22503049671649933, 0.1426437795162201, -0.6339381337165833, 1.2763491868972778, -1.1405303478240967, -0.7900812029838562, 1.9852488040924072, -0.34093111753463745, 1.085434079170227, -0.28366610407829285, 0.6737245321273804, -0.8192980289459229, -0.2942860424518585, -1.4600107669830322, 0.1787390261888504, -0.7526247501373291, -0.0828852578997612, -0.4655258357524872, 1.9762603044509888, 0.8498344421386719, -0.7445648908615112, -0.12001845240592957, -0.47648924589157104, 1.0660429000854492, -2.0142264366149902, -0.18791674077510834, -0.4165700376033783, 1.2636713981628418, 0.3502460718154907, -0.6062918901443481, 0.009230954572558403, 0.9100539088249207, -0.8087419271469116, -2.902350425720215, 1.5908713340759277, 0.263751357793808, -0.8622299432754517, -1.7842168807983398, -0.766037106513977, -0.26949623227119446, 0.5797804594039917, -0.9362694621086121, -0.11413083970546722, -0.06613673269748688, 1.1392501592636108, -1.3898167610168457, -0.47791218757629395, 0.837235689163208, -0.6166300773620605, 1.072928786277771, 0.8570981621742249, -0.7258101105690002, 0.4925771951675415, -2.0616376399993896, 1.1139341592788696, -2.2288506031036377, 0.527233362197876, 0.04626358300447464, 1.2502495050430298, -0.7554172873497009, -0.6101598143577576, 0.974663257598877, 1.486930012702942, 1.2990320920944214, 0.040358372032642365, -1.0391656160354614, -1.4332884550094604, -0.947075605392456, -0.036465272307395935, -0.5256494283676147, -1.1324069499969482, -0.32359936833381653, -1.5786864757537842, -3.0911824703216553, 1.2746281623840332, -1.8627254962921143, 0.63337641954422, 0.07023980468511581, -2.4392077922821045, 0.2339894026517868, 0.007178131490945816, -1.0942715406417847, 1.6717418432235718, 0.24318328499794006, -0.9710583686828613, 0.21072518825531006, -0.962588369846344, -0.5953028798103333, -0.4077383279800415, 0.1970832645893097, 0.25722265243530273, -0.10990449786186218, 1.5708112716674805, 1.1971161365509033, 1.4833401441574097, 0.26632216572761536, -0.7293677926063538, 0.6964896321296692, -0.3051535487174988, -0.6257216930389404, 1.1571403741836548, -1.784092664718628, -0.016743935644626617, 1.8829607963562012, -1.0777479410171509, -0.01741647906601429, 0.03002655878663063, 1.462139368057251, 0.9534266591072083, -0.08988336473703384, -0.31561365723609924, 0.5555655360221863, -0.19125472009181976, 0.8124786019325256, 0.9625385403633118, 1.5282152891159058, -0.4128662347793579, 0.046785108745098114, 0.6848540902137756, -0.19775347411632538, 0.11499357968568802, -1.3381017446517944, 0.4362533986568451, -0.4231959581375122, -1.6579768657684326, 0.06345265358686447, -1.1445856094360352, -1.4358961582183838, 0.4135274291038513, 1.04120671749115, -0.796722412109375, 0.04689735919237137, 0.38915207982063293, -2.9413349628448486, -0.7820103764533997, -1.1629738807678223, 1.6622471809387207, 0.8566768169403076, 0.30833616852760315, -0.9161991477012634, -0.3787177503108978, 0.9357376098632812, -0.7475185394287109, 0.7824693322181702, 0.003676710184663534, -3.471090793609619, 0.5243147611618042, 0.8867140412330627, -0.09403220564126968, 0.029454445466399193, 1.3845603466033936, -0.6705686450004578, -0.9307769536972046, -2.0063440799713135, -1.2921218872070312, 2.9328248500823975, 0.10152851045131683, -1.2452646493911743, -0.37744906544685364, -0.5471696853637695, 0.3068505525588989, -0.38757795095443726, 0.2894342541694641, 0.5191616415977478, 0.271595299243927, -0.7484486103057861, -0.5720158219337463, -1.2794253826141357, -1.4419597387313843, -0.16103707253932953, 0.614740252494812, 0.0941794142127037, -0.11613242328166962, -0.46774807572364807, 0.056562043726444244, -0.24389930069446564, -0.2653386890888214, 0.57817542552948, 1.5326802730560303, -0.41340112686157227, -0.09778989851474762, -0.38733088970184326, -2.0732831954956055, -0.060373540967702866, 0.6688396334648132, -0.999975323677063, 1.5973395109176636, 0.13255150616168976, 0.723700225353241, 0.32739537954330444, 0.05441658943891525, 0.8353530168533325, 2.1999850273132324, -0.34281671047210693, 0.39671987295150757, -1.074466347694397, 0.525077223777771, -2.3176286220550537, -1.184938907623291, -0.8023471832275391, -2.4268341064453125, 0.058102428913116455, -0.7275170087814331, 0.29153257608413696, -0.641418993473053, -1.1412608623504639, 0.12686188519001007, 1.162293553352356, 0.8372468948364258, 1.945101261138916, -1.6741358041763306, 0.897189199924469, 0.8113526105880737, 0.06730195134878159, -1.5446416139602661, 1.047647476196289, 0.2042987048625946, -1.2098443508148193, -1.3993148803710938, -0.3852578401565552, 0.4576152563095093, 0.3338770270347595, 0.49928057193756104, -0.3912898302078247, -0.5031735301017761, 0.14580929279327393, 0.6710518598556519, 0.7027654051780701, 1.4305002689361572, 0.40470102429389954, 0.8148404955863953, 1.777341365814209, 0.05844365805387497, 0.34945937991142273, 0.6478948593139648, -0.23784993588924408, 2.507223129272461, -1.6278084516525269, 0.7813572287559509, 0.5010554790496826, -0.6968939900398254, 0.37924250960350037, 0.28491172194480896, -0.49437546730041504, 0.9528293609619141, 0.7305256724357605, -0.5194083452224731, 0.07070906460285187, 0.39147230982780457, -0.6986151337623596, 0.5181744694709778, 0.43155303597450256, 0.6241584420204163, 0.08127716928720474, 0.46845969557762146, 1.3731201887130737, -1.1651962995529175, 1.9231210947036743, -1.0123865604400635, -0.048478737473487854, 0.16494745016098022, 1.289872646331787, 1.5655131340026855, -0.30129966139793396, 0.4831186830997467, -0.9037102460861206, 0.5622580647468567, 0.4129142165184021, 0.004854550119489431, -0.18934518098831177, 0.26142430305480957, -0.9469127655029297, -0.06076105311512947, 0.22887414693832397, -0.23197555541992188, -1.4894559383392334, 1.902294397354126, 0.07757832854986191, 0.26866909861564636, -1.7162322998046875, -0.8523396849632263, 0.47781726717948914, -0.8610950112342834, 0.701199471950531, 0.805077850818634, -0.40579020977020264, 0.14820493757724762, -1.1229990720748901, -0.9876353144645691, -0.7730194330215454, -0.2378755509853363, -0.2798811197280884, 1.5218738317489624, -0.040778934955596924, -0.3839319348335266, -0.12251555174589157, 0.13935260474681854, 0.17994898557662964, 1.0148634910583496, 0.1791084110736847, -1.8437541723251343, 0.5174273252487183, -1.5765458345413208, 0.4396111071109772, 0.7626886367797852, -1.3001595735549927, 1.5147892236709595, -0.07980863004922867, -0.27655068039894104, -1.7603082656860352, 0.3448992967605591, -0.09962600469589233, 0.03288005292415619, -1.4311087131500244, 0.05246606841683388, -0.2960844039916992, 0.4981054663658142, 0.6093829274177551, 0.4313366115093231, -0.9067281484603882, 0.3585943877696991, -0.7071452140808105, 0.276475191116333, -0.5704779624938965, 0.5037441849708557, -0.7836368083953857, -0.9835630655288696, 0.9161815047264099, -0.47798988223075867, 0.0592048205435276, 1.5667123794555664, -0.38457638025283813, 1.3375921249389648, -0.7408930063247681, -0.19731241464614868, -1.1960015296936035, -0.720990002155304, 0.33814358711242676, 0.204308420419693, 0.13755087554454803, -1.6405048370361328, 1.4759504795074463, 0.7505005598068237, -0.4617243707180023, -0.7219800353050232, -0.9008011221885681, -0.31660085916519165, 0.8879610300064087, 1.5157650709152222, -0.9314014911651611, 0.63405841588974, 0.6910656094551086, -0.06752120703458786, -0.2687106728553772, 0.23633253574371338, -0.4342567026615143, -2.288442850112915, 0.8416970372200012, -1.095505714416504, 0.1654529571533203, -0.7532713413238525, -0.7135399580001831, 0.04845932871103287, 0.4132402837276459, -2.463977336883545, 0.6711259484291077, 1.318495273590088, -0.881970226764679, 0.07392556220293045, -0.35695281624794006, 0.19195862114429474, -0.6512332558631897, -0.07651834934949875, 0.10010179877281189, 0.7864280939102173, -1.5341521501541138, 0.2944765090942383, -0.7794378399848938, 1.9408456087112427, 0.8897120356559753, 0.6778686046600342, -1.3969405889511108, -0.20565731823444366, -1.635765552520752, 1.106754183769226, -0.3951222896575928, -0.9029801487922668, -1.2786834239959717, 0.07468026131391525, 0.9923638701438904, -0.20604684948921204, 0.7552335858345032, -1.2754794359207153, 0.4049713909626007, 1.6793982982635498, -1.56851327419281, -0.6353299021720886, -0.7701172828674316, 0.03481011092662811, -0.30966466665267944, 0.12200456857681274, -0.12183453142642975, 0.9360938668251038, -0.28488489985466003, 0.49184247851371765, -0.055869098752737045, 0.055592212826013565, -0.9204084873199463, -0.7992153763771057, 0.8648690581321716, -0.16751627624034882, -0.6418649554252625, 0.4652084410190582, -0.7201831936836243, -0.9159481525421143, -2.164360761642456, 1.0849844217300415, 0.14486560225486755, -0.6467115879058838, -0.2609425485134125, -1.2663637399673462, 0.22252269089221954, 0.8565756678581238, 0.7062792778015137, 0.20438247919082642, 0.8293062448501587, 1.9937564134597778, 0.11981486529111862, -0.9498307704925537, 0.31708821654319763, -0.8381533622741699, 1.2762898206710815, -1.2353757619857788, 0.9173740148544312, 0.5752884745597839, 0.6949938535690308, -0.30989572405815125, -1.290257215499878, 2.0191612243652344, 0.2946039140224457, -0.35170868039131165, 1.0265260934829712, 0.27685999870300293, 0.9340248703956604, 0.15542013943195343, -2.582575559616089, -0.01109567005187273, -0.1745014190673828, -0.9684417843818665, 0.16206851601600647, -1.280907392501831, 1.9352785348892212, 1.2814692258834839, -0.5330049991607666, -0.016870787367224693, 1.0382022857666016, 0.19107796251773834, -0.7981200218200684, 0.9025207161903381, -0.22288425266742706, -0.04029054567217827, -0.6736413240432739, 0.4137524366378784, 0.6006852984428406, -0.8455685377120972, 0.8972930908203125, 0.2729991674423218, 0.2621544599533081, 1.1686339378356934, -0.08491386473178864, -1.0159764289855957, -0.7722033262252808, -1.312103271484375, -0.9530466794967651, -0.29641565680503845, 0.29024553298950195, -0.18599823117256165, 1.3990927934646606, 0.4404827356338501, -1.2364288568496704, -0.058605168014764786, 0.2756870687007904, -0.2794310450553894, 0.38196900486946106, -0.3055078387260437, -1.3894410133361816, -0.45998477935791016, -0.37165531516075134, -0.5930581092834473, 1.2106187343597412, -1.1746768951416016, 1.4031821489334106, 0.6243889331817627, 0.9359350800514221, 0.5523372292518616, -0.8497380614280701, 1.2344845533370972, 0.7553689479827881, 0.4761522114276886, 0.9142923951148987, -0.8294253349304199, -1.075396180152893, 1.033841848373413, -2.3138487339019775, -0.4259592890739441, -3.156113862991333, -1.3029263019561768, -0.08322697877883911, -0.3564068078994751, 0.2080879658460617, 0.773048996925354, 0.2366049885749817, -0.21861380338668823, -1.028066635131836, 0.5579478144645691, 0.47715848684310913, -0.41052761673927307, 0.6778514385223389, 0.4540995955467224, 0.33754855394363403, -0.5675963163375854, -0.4732793867588043, 0.08745620399713516, 0.3311269283294678, -1.2564632892608643, -0.13677990436553955, -0.6553648114204407, 1.6416919231414795, 0.1494726836681366, 0.4257732927799225, -0.4916135370731354, 0.7008883357048035, -0.04509367048740387, -0.6430181264877319, -1.344353199005127, 0.3011758327484131, 1.1283737421035767, 1.5180364847183228, 1.5994826555252075, -0.1881544589996338, 1.6732783317565918, -0.7025530934333801, 1.040703296661377, -0.3304201662540436, -0.7000874876976013, -0.07467641681432724, 0.4143388867378235, -1.235886812210083, 0.24992364645004272, 0.16909529268741608, -0.3564453721046448, -1.2731062173843384, 0.3968508839607239, 0.683853268623352, -0.15688155591487885, 0.16895300149917603, 0.14423541724681854, -0.21257565915584564, -2.492915630340576, -0.894170880317688, -1.3957103490829468, -0.28484222292900085, 1.0433104038238525, 1.9658666849136353, 0.12835432589054108, 0.22902359068393707, -0.7132292985916138, -0.9946264624595642, -1.406418800354004, 0.3574610650539398, -0.5949527025222778, -2.319809913635254, 0.004948955960571766, 0.035961151123046875, -0.6113625168800354, -0.772457480430603, 0.3429936468601227, 1.2367095947265625, 0.17353296279907227, -0.6777698397636414, 0.5839324593544006, -0.4831695556640625, -2.1868982315063477, 0.3268420696258545, 1.3016225099563599, 0.8534039258956909, -1.370783805847168, -0.30838337540626526, 0.07707633823156357, 0.08372320234775543, 0.7111889123916626, 1.1514387130737305, -0.8982006311416626, 1.4856114387512207, -0.057489749044179916, 0.6573148965835571, 0.6456133723258972, -0.22561204433441162, -0.631327748298645, -0.07398411631584167, -1.071518063545227, -0.1892244517803192, -0.2399311363697052, 1.1265747547149658, -1.557091474533081, 0.9568769335746765, 0.4872204065322876, 0.03030942752957344, -0.5477505922317505, -2.4377150535583496, 0.8837866187095642, 1.0023964643478394, 0.19672852754592896, 0.5407274961471558, -0.25880637764930725, -0.3163694143295288, 1.1272581815719604, 0.21706122159957886, 0.11549913138151169, 0.8547080159187317, 1.4606181383132935, -1.737932801246643, 0.5392752289772034, -1.1008182764053345, -1.1037598848342896, 0.565951943397522, -1.1270244121551514, 0.57087242603302, 0.25609683990478516, -1.8457388877868652, -1.2142490148544312, 0.41009843349456787, -1.524382472038269, 0.9435756206512451, 1.7287225723266602, 2.5883774757385254, 0.363118976354599, 1.068264126777649, 0.05575446039438248, -0.6753637790679932, 1.8103506565093994, -0.6400551795959473, 0.9026628136634827, 1.1282541751861572, 0.05005946382880211, -0.7265985608100891, 0.01396683044731617, -0.7662106156349182, -0.37083086371421814, -0.12467162311077118, -0.07268175482749939, 0.4430188834667206, 0.8138623237609863, 1.025251865386963, -0.815832257270813, 0.12052557617425919, -0.8962115049362183, 0.3119344711303711, 1.3270413875579834, -0.36807680130004883, -0.26297029852867126, 0.03326883539557457, 2.140266180038452, -0.2669850289821625, -0.49908149242401123, 0.09976989030838013, -0.22912274301052094, 0.02349935658276081, -0.34359946846961975, 0.6460663080215454, -0.5314115881919861, 0.32597920298576355, 0.39301565289497375, 0.7324246764183044, 1.7232409715652466, 0.20876912772655487, 0.06905889511108398, -0.5193957090377808, 0.5756301283836365, 0.40250667929649353, -0.26745906472206116, 0.15608380734920502, 0.14911898970603943, -0.8352922797203064, -0.3907231092453003, 1.3453987836837769, 0.444119930267334, 0.09839116036891937, 0.0665694922208786, -0.9332987666130066, -0.8738178610801697, 1.7452038526535034, 0.2254467010498047, 1.700562834739685, -1.9086933135986328, 0.6047287583351135, 0.7816503643989563, -0.49625736474990845, 0.2127920538187027, 0.6486033797264099, -0.1449652910232544, -1.3462854623794556, 2.1437063217163086, 0.6675548553466797, -0.03937323018908501, 0.3805524408817291, 0.03847084566950798, -1.4129009246826172, 1.0656813383102417, 0.3740655183792114, 0.10849422961473465, 1.4928977489471436, 0.5727428197860718, 0.6292255520820618, -0.8248661756515503, -0.19937555491924286, 1.341478943824768, 1.0742695331573486, -0.35646799206733704, -0.5568943023681641, -1.398620843887329, -0.1396031528711319, 0.005794581025838852, 1.143354058265686, 0.5001943111419678, 0.404729962348938, -0.40286409854888916, -0.1530342549085617, -0.6084135174751282, -0.7227716445922852, 0.38614901900291443, 0.47849592566490173, -1.009769320487976, -0.12171280384063721, -0.898140549659729, 0.15862195193767548, 1.6295812129974365, 1.4098445177078247, -0.19575533270835876, -0.32751184701919556, -0.29604288935661316, -0.09356331825256348, -2.421372413635254, 1.699105978012085, 1.9395681619644165, -0.24887752532958984, -0.30567196011543274, -1.4089380502700806, 0.40336304903030396, -0.2237953245639801, -0.03312608227133751, -0.2329561859369278, 0.520954430103302, 1.2448242902755737, 0.06660091876983643, 0.8562445044517517, 1.6146551370620728, 0.4508427679538727, -1.1635984182357788, 0.955100953578949, -0.8750120997428894, 1.596035122871399, 0.5829316973686218, 0.340108186006546, 1.1829795837402344, -1.0449689626693726, 0.9176048040390015, -0.9616485238075256, -1.4004367589950562, 1.764365315437317, -1.7664988040924072, 1.7379493713378906, -0.29172906279563904, -2.031428813934326, -1.6200438737869263, -1.4132646322250366, 0.8896070122718811, -0.7359488010406494, 0.3742523491382599, 0.3763996660709381, 0.7087901830673218, 0.1633767932653427, -1.609818696975708, 1.0416879653930664, -0.8853222131729126, 1.6485652923583984, 1.8642809391021729, -0.6273664236068726, -0.7046224474906921, -0.5896680355072021, 0.29133546352386475, 0.9632337093353271, 0.9911549091339111, -1.5730438232421875, 0.1792367398738861, -0.3050815165042877, -0.520516574382782, 0.9254773855209351, 0.8819019794464111, -0.6601197123527527, 0.2964232563972473, -0.0072369882836937904, -0.7690070867538452, -0.5555424690246582, -0.510775625705719, 0.5724525451660156, 0.1515364646911621, 0.3714618682861328, 0.07393547892570496, -0.3172909617424011, 1.520578384399414, 1.0092368125915527, -1.0199087858200073, 0.8688280582427979, 0.46342113614082336, 0.3743467628955841, 0.32715946435928345, 0.48555120825767517, 0.05827674642205238, -1.1422057151794434, -1.3010869026184082, 0.8243455290794373, 0.4112011194229126, -0.6027381420135498, 0.9340900182723999, 0.4136751890182495, 0.018548600375652313, -1.125657081604004, -1.1236919164657593, -0.12557882070541382, -0.7260885238647461, -0.6309914588928223, -1.6711879968643188, 1.7265112400054932, -0.17704232037067413, -0.1485455334186554, 1.07841956615448, -0.34292107820510864, -0.44269174337387085, 0.13200101256370544, 2.4772870540618896, -0.8549392819404602, -0.29493018984794617, -0.20573510229587555, 1.074533224105835, 0.6784349083900452, 0.4235535264015198, 0.2137279510498047, -0.5341789126396179, 0.12538385391235352, -0.6766306161880493, -1.126915693283081, -0.7096394896507263, -0.043296076357364655, 1.5706595182418823, 1.7135306596755981, -1.1514381170272827, 0.07035467773675919, 0.2599762976169586, -2.9272074699401855, -2.0555758476257324, -1.6970537900924683, 0.3028546869754791, -1.1067464351654053, 0.10211431980133057, 0.7427659034729004, -0.5417191386222839, -1.3884267807006836, 0.2923746407032013, -1.9919217824935913, 1.1907700300216675, 1.5445131063461304, -0.1087469682097435, -0.04928972199559212, -0.7452480792999268, 1.1027320623397827, -0.3832239806652069, 0.3053002953529358, -0.18099917471408844, -0.7663444876670837, -1.0171501636505127, -1.3864532709121704, -1.5563161373138428, 2.632498264312744, 1.279879093170166, -0.8789420127868652, 1.7886683940887451, 1.3555200099945068, 0.5554810762405396, 2.2841525077819824, -0.5292304754257202, -0.23091153800487518, -2.35090970993042, -1.0214730501174927, 0.34008538722991943, -0.5750671029090881, 1.5072275400161743, 0.44654667377471924, -1.1911898851394653, -0.9061200618743896, -0.29044678807258606, -0.2193550169467926, -1.6546058654785156, 0.40042611956596375, 0.37806159257888794, -2.3154351711273193, 0.8653251528739929, 0.7721788287162781, 2.1442339420318604, 0.37142693996429443, 0.4743138253688812, -0.12231887876987457, -1.3499677181243896, -0.5633811950683594, -1.4836158752441406, -1.7335668802261353, 0.02987426146864891, 0.6052398681640625, 0.9355973601341248, 0.05653614178299904, 0.9466585516929626, -1.1599072217941284, -0.3370160162448883, -2.999497652053833, 0.9912322163581848, 0.28246384859085083, 0.7999697923660278, 1.3495007753372192, 0.7142066359519958, 0.7585850954055786, 0.9778765439987183, -0.2592851221561432, 1.0751088857650757, 1.3401166200637817, 0.9068341851234436, 0.20108510553836823, -0.307180792093277, -0.44775688648223877, 0.3243775963783264, -0.20371830463409424, 2.3764145374298096, 1.4627320766448975, 0.5582623481750488, 0.30482029914855957, 0.07148563861846924, 0.2828209698200226, 0.2195020616054535, -1.080514669418335, 1.567628264427185, -1.1146091222763062, -0.13609346747398376, 1.1649373769760132, 2.553994655609131, -1.6005074977874756, 0.17278514802455902, 1.3297847509384155, -1.9216110706329346, -1.6094467639923096, 0.3158654272556305, 0.9812542796134949, -0.7258325219154358, -0.30060631036758423, 1.2699159383773804, -0.47490328550338745, 1.7040141820907593, 0.5323610305786133, -0.9013150930404663, -1.202078938484192, -0.3037419319152832, 0.6899484992027283, 0.6979171633720398, 0.6108406186103821, 0.929100513458252, -1.5111064910888672, -0.9269773364067078, -0.31609046459198, -0.6082794070243835, 1.6808185577392578, -0.6164183616638184, 0.3417963981628418, 0.05064019933342934, -1.0599197149276733, 0.8301148414611816, -0.7604886293411255, 0.9772552847862244, 1.057621717453003, -0.5288651585578918, 1.3030047416687012, 1.2806105613708496, -0.09392209351062775, -1.1356117725372314, -0.8538877964019775, 0.13696622848510742, 0.7703046798706055, -0.6258004903793335, -0.34848085045814514, 0.21510683000087738, -0.20996110141277313, 1.186909556388855, 0.38421493768692017, 0.6532696485519409, -1.4258407354354858, 0.180404931306839, 1.323346734046936, 1.4176493883132935, -1.1381398439407349, 0.5980101227760315, -0.14056886732578278, 0.9073736667633057, -0.022530728951096535, 1.6894994974136353, -1.4290990829467773, 1.3043893575668335, 0.5682852268218994, 0.2595924139022827, -1.5594737529754639, 0.7544563412666321, -0.27844470739364624, -0.7545061111450195, 0.5878472924232483, 0.8842568397521973, -0.5676981806755066, -0.005870757158845663, 0.5302826166152954, 0.33110591769218445, 1.5449533462524414, -1.0274391174316406, 0.10409041494131088, -0.3442842364311218, -2.127370595932007, -0.20612497627735138, -0.7300701141357422, 0.4085293412208557, -0.3217071294784546, 2.2402806282043457, 0.6217926740646362, 0.6003987789154053, -0.43789711594581604, 0.4294690191745758, 0.20106975734233856, 0.223713681101799, -0.6229336857795715, 0.19380009174346924, -0.3046821355819702, -0.3314218521118164, -0.3753143548965454, 1.7189605236053467, -0.9436120986938477, 0.5515018105506897, 1.1624071598052979, -0.0049962615594267845, -0.3489050567150116, 1.326980471611023, 2.3793890476226807, 1.3307422399520874, -1.4421361684799194, -2.0427005290985107, 1.0452556610107422, -0.5674296617507935, 0.8690115809440613, -0.052833620458841324, -1.146348237991333, 0.33483657240867615, 1.1180000305175781, 0.03796771541237831, -0.6680354475975037, -0.49713683128356934, 1.4182978868484497, -0.40856921672821045, -0.09484592825174332, -0.5659502744674683, -0.39931946992874146, 0.7110710144042969, 0.5847283601760864, 0.7724155783653259, 0.7260907888412476, 0.519797146320343, 0.27226296067237854, 0.28531938791275024, 0.030896354466676712, -1.1591544151306152, 0.3692969083786011, -0.3761252462863922, -0.17815087735652924, 0.05414319038391113, -0.5605198740959167, -1.24971342086792, -0.08799071609973907, 1.1736353635787964, 1.4036145210266113, -0.9100841283798218, 0.20392531156539917, -0.3900788426399231, -1.040031909942627, 1.793296217918396, -0.4968201220035553, 1.2133480310440063, -0.7249506115913391, 1.428924560546875, -0.8677463531494141, 0.6289736032485962, 0.5403394103050232, -0.18321096897125244, 0.3177703619003296, -0.31241536140441895, 0.9469932317733765, -0.47317883372306824, -0.7515965104103088, 0.050585102289915085, 0.5537548661231995, 1.2939358949661255, 0.14369091391563416, -1.5884510278701782, -2.278761625289917, -0.21611475944519043, -1.3361858129501343, 0.3002919852733612, -1.0012396574020386, 0.1654496192932129, -0.6117708086967468, -0.2963080108165741, -0.7682610154151917, 0.031824707984924316, 2.0486397743225098, 0.9918745160102844, 0.7257811427116394, 0.4334951341152191, 0.7255995273590088, 0.8267057538032532, -0.2805884778499603, -2.202744722366333, -0.40495431423187256, -1.3584905862808228, 0.455695241689682, 0.9635915160179138, -0.22077611088752747, -0.5389374494552612, 0.9449805617332458, -1.0271354913711548, 0.8353933691978455, 0.8161764740943909, 0.28902360796928406, 2.0918145179748535, -0.15743610262870789, -1.153382420539856, -0.8637852668762207, -0.06222081184387207, -0.38883301615715027, 0.0548880472779274, 1.7339541912078857, -0.09989669919013977, 1.0303404331207275, -0.03150368854403496, -1.287832260131836, 0.7037310004234314, -1.0867266654968262, -2.2035090923309326, -0.0553983598947525, -0.354233980178833, 0.5175957083702087, -0.6499145030975342, 1.389086127281189, 0.33646512031555176, -0.6188824772834778, -0.5145343542098999, -0.10696594417095184, -1.1011911630630493, -1.0683985948562622, 0.6412246823310852, -2.5719168186187744, -0.24761110544204712, 0.0949007198214531, 1.3145904541015625, -0.030386457219719887, 1.4157923460006714, -0.2198486477136612, 0.4616614282131195, -1.5313299894332886, 0.8869839310646057, 2.2907187938690186, -1.362478494644165, -0.1358109563589096, 1.4714304208755493, -1.000968337059021, -0.8489669561386108, 0.6209599375724792, 1.2641050815582275, 2.0910329818725586, 1.0359622240066528, -0.7659702301025391, -3.146968126296997, -0.11010034382343292, -0.4061264395713806, -0.11365551501512527, -0.16866876184940338, -0.29030948877334595, 0.32457172870635986, -0.45241105556488037, -1.9197760820388794, -2.3919742107391357, -0.0714210495352745, 0.8735888600349426, 0.1830553561449051, 0.7137080430984497, 0.6692495346069336, -0.3727685809135437, 1.0270695686340332, 0.13492292165756226, 0.362822026014328, -1.8162555694580078, 0.7701453566551208, -0.25478917360305786, 0.21179889142513275, -0.6283082962036133, 0.18514607846736908, -0.6951020956039429, -0.876880407333374, 0.8276094198226929, -0.5554007291793823, 0.7274584174156189, -0.14625860750675201, -0.6506984829902649, -0.6295846700668335, -0.1370062679052353, 1.076379418373108, -0.5984588861465454, -0.22602982819080353, -0.8615702986717224, -0.5284953713417053, -0.17165926098823547, -0.2606440782546997, -0.6219329237937927, -1.9045653343200684, -0.56513911485672, 1.7856415510177612, 1.7783666849136353, 0.32565921545028687, -0.2076062262058258, -0.8312292695045471, 0.5206255912780762, -1.3882203102111816, 1.2441877126693726, -1.4234447479248047, -0.21007320284843445, 1.0818349123001099, 0.19232425093650818, 0.1896989643573761, -1.0622572898864746, -0.3175298571586609, -0.31986474990844727, 0.4456314742565155, -0.2798035442829132, -0.6304230690002441, -0.48256024718284607, -0.48731186985969543, 1.2575442790985107, 0.4421702027320862, 0.3719751834869385, 0.30047690868377686, -0.353816419839859, 1.9874646663665771, -0.6612873673439026, -0.12505827844142914, -0.5354999899864197, -0.44779813289642334, -0.7387919425964355, -0.321063369512558, 0.11121055483818054, -0.3405435383319855, -2.1466574668884277, -0.3715527653694153, -1.1998873949050903, -2.1285171508789062, 0.5517445206642151, 1.327660322189331, -0.02082051709294319, 0.7650822997093201, 0.549383282661438, 0.3420928716659546, 1.5155948400497437, 0.875817596912384, -0.5912439823150635, 0.18955163657665253, 1.383750081062317, 0.19131599366664886, -1.6261752843856812, -0.3755827248096466, 1.4137531518936157, 0.23204746842384338, -0.6348072290420532, 0.6189244985580444, 0.03788076341152191, -0.4504098892211914, 1.6413369178771973, 0.23149637877941132, 1.2690017223358154, 0.6044208407402039, 0.6909181475639343, 0.9648349285125732, 0.07265444099903107, 0.9485762119293213, 0.3641236126422882, 1.3402752876281738, -0.04642432555556297, 0.2258729487657547, 0.33262935280799866, 1.144452452659607, -0.33960238099098206, 0.47867417335510254, -1.0416595935821533, 2.6894853115081787, 1.892002820968628, -2.138218641281128, 0.684510350227356, -0.3385114371776581, 1.3188129663467407, 1.397482991218567, 1.1113394498825073, 1.1005500555038452, -1.840046763420105, -1.479722261428833, -1.127943515777588, 0.12297747284173965, 0.4569695293903351, -0.7031753063201904, 0.07439291477203369, -0.4460746645927429, -0.6745057106018066, -0.5105838775634766, 0.2614111304283142, 0.7281267642974854, 1.0788023471832275, -0.5820669531822205, -0.21631741523742676, 0.3304058015346527, -2.414609670639038, 0.7872201204299927, 1.6982747316360474, 1.6590620279312134, 0.020264342427253723, 0.8252577185630798, 1.5857677459716797, 0.17004401981830597, -0.18706434965133667, -0.5528823733329773, -0.1407652199268341, 1.016749382019043, -0.35214802622795105, 0.23654374480247498, 1.2773067951202393, 1.4554834365844727, 1.1699339151382446, 0.2553104758262634, -0.5256568193435669, 0.26960289478302, -1.4684579372406006, -1.2048325538635254, 0.04781961441040039, -1.2265260219573975, 0.7592394948005676, -1.448310375213623, 0.5460448861122131, 1.5912017822265625, -0.679959237575531, 0.6266254782676697, 1.3898814916610718, 0.9850682616233826, -1.0662367343902588, 0.5514669418334961, 0.4527720510959625, 0.9185455441474915, -0.11093636602163315, 0.520811140537262, -0.4809909164905548, 0.35717228055000305, -0.9717248678207397, 0.12933941185474396, -0.8071879148483276, -1.342943549156189, -0.030580852180719376, -0.07295364886522293, -0.9935034513473511, 2.3083465099334717, 1.5115270614624023, -0.4852856993675232, 0.566486120223999, 1.5033971071243286, -1.3567607402801514, 1.242922067642212, 0.499788761138916, 1.4692494869232178, -1.0130358934402466, 1.0916335582733154, 0.5959411263465881, -1.6049705743789673, 0.4158494472503662, -1.506030559539795, -0.9021199941635132, 1.6673178672790527, 0.8179354667663574, -1.1876211166381836, -0.47864246368408203, -0.3625198304653168, -0.5894962549209595, 2.6145179271698, 0.28924834728240967, -1.9147082567214966, 1.1621441841125488, 1.2209571599960327, 1.7092307806015015, -0.5161237716674805, -0.643708348274231, 0.3022844195365906, -0.869703471660614, 0.6164374947547913, -0.1188177689909935, -0.6079846024513245, 0.5210007429122925, 1.1317760944366455, 0.23186424374580383, -1.2346110343933105, 0.7161638736724854, 1.0166326761245728, -0.8221805691719055, 0.4497777819633484, -0.33048713207244873, 0.08146976679563522, -1.2808659076690674, 1.6252719163894653, -0.4305987060070038, -0.2584664225578308, 0.4994138479232788, -0.4641507565975189, 0.19216971099376678, 0.45818784832954407, -0.4081117510795593, 0.015834592282772064, -1.0375356674194336, -1.3645164966583252, 1.4841474294662476, -1.5980843305587769, -2.1401286125183105, 0.5306950211524963, -0.24214763939380646, 1.016170859336853, -0.5717471241950989, -0.02156290039420128, -0.6620282530784607, -0.5541792511940002, -0.8486120104789734, 0.3095180094242096, -0.003983576316386461, 0.7292234897613525, 0.5726829767227173, -1.8483693599700928, -0.27344629168510437, 1.1270760297775269, 0.6555792093276978, -0.2283034473657608, -0.6895661950111389, -0.3272278904914856, -0.6448890566825867, -0.5458526015281677, 1.0745952129364014, 1.099128007888794, 1.3366882801055908, -1.8906060457229614, 1.2980618476867676, 0.0956711694598198, -0.9063877463340759, 1.8806086778640747, 1.2756251096725464, 0.41377848386764526, 1.1190346479415894, 0.6274622678756714, 0.11711331456899643, 0.7762994170188904, -0.6272255182266235, -0.08949674665927887, 1.1012110710144043, 1.5499686002731323, -2.203631639480591, 1.1826785802841187, -0.8012160062789917, 1.4100066423416138, -0.8988500833511353, -1.112284541130066, 1.0817608833312988, 0.37283146381378174, 1.5200921297073364, 0.7787330746650696, -0.534437894821167, 0.11781030148267746, 0.61129230260849, 2.020538091659546, -1.1122437715530396, 0.5594651103019714, 0.31144803762435913, 0.8732238411903381, -0.8516311645507812, 0.04170665889978409, 0.0007353340042755008, -1.1855781078338623, -0.07371266186237335, 1.3488045930862427, 0.8391597270965576, 2.4725539684295654, -0.1731770634651184, -0.20258492231369019, 0.2548491656780243, 0.19189497828483582, 0.2284976840019226, -0.09038357436656952, 0.6890167593955994, 0.3031340539455414, -0.8504211902618408, 0.19107656180858612, -0.6336938142776489, 1.1240897178649902, -0.3001275658607483, -0.9085395336151123, 0.8398007750511169, -0.2533584535121918, -0.23675817251205444, 0.25613585114479065, -0.2907804250717163, -0.699446439743042, -1.4628747701644897, 1.7579998970031738, -0.6700426340103149, -0.7859804034233093, -0.8457337617874146, -0.9251894354820251, -0.15801319479942322, -0.9414053559303284, -0.4196624457836151, -0.31062552332878113, 1.5069104433059692, 0.45725348591804504, 0.14524567127227783, 0.14787952601909637, 2.09035587310791, 0.5905818343162537, 2.0014994144439697, 1.4353729486465454, 1.1528164148330688, -1.679700493812561, -0.5859742164611816, 0.959129810333252, 0.19639690220355988, 0.777737557888031, 1.1766563653945923, -0.5089000463485718, 0.23785774409770966, 0.3452397286891937, -0.5203543305397034, -1.366464376449585, 1.4000362157821655, -1.146928310394287, 0.35318803787231445, -1.8881052732467651, -1.3403421640396118, -0.9959776997566223, 1.7337647676467896, -1.2821606397628784, 0.9049714803695679, -2.0727174282073975, 0.12795567512512207, 1.2074477672576904, -0.5009143948554993, 0.6996838450431824, -0.6321936845779419, 1.3513449430465698, -0.7260553240776062, -0.5651126503944397, 1.2327604293823242, 1.5203503370285034, -1.3340469598770142, 0.38898399472236633, -1.6127861738204956, 1.2763746976852417, 0.08681591600179672, -0.10081157088279724, -1.2654191255569458, 2.381112813949585, -0.28685447573661804, 0.8733746409416199, 0.8646400570869446, 0.03565717115998268, -0.3208296298980713, 0.8731513023376465, 1.034743070602417, -1.0455812215805054, -0.4293237626552582, -0.3755571246147156, 0.5813748240470886, 0.3528899848461151, -0.1139826625585556, -0.2806778848171234, 0.7083384990692139, -1.1540634632110596, -0.0009463636670261621, 0.12927863001823425, -0.8677639365196228, -0.7943181991577148, -1.0942268371582031, 0.37219393253326416, -1.4491989612579346, -0.21620111167430878, 0.12988360226154327, -0.07238801568746567, 0.9193471074104309, 1.2477517127990723, 0.3455127775669098, 0.5191921591758728, 0.07065993547439575, -0.28562653064727783, -0.3064766526222229, 0.14202946424484253, -1.6654636859893799, -0.4710024297237396, -0.8074659705162048, 0.8687295913696289, -1.119359016418457, -0.7704816460609436, -1.766688585281372, 1.2080926895141602, -0.5367185473442078, 1.184997797012329, 1.7109687328338623, 0.8095717430114746, 0.833816647529602, -0.44654032588005066, -1.2514866590499878, -0.9584818482398987, 2.727353572845459, 1.673003077507019, 0.2793853282928467, -0.6616628766059875, 0.6378042101860046, 1.544403314590454, 3.029741048812866, 0.05970419570803642, 0.1301439255475998, 0.32491007447242737, 0.17995890974998474, 0.7231228947639465, 0.48810988664627075, -1.4427456855773926, -0.08185803890228271, 0.6249904632568359, -1.7876393795013428, 0.40165644884109497, 0.5900740027427673, 0.2636870741844177, -1.0758097171783447, 1.2209948301315308, -0.2864321172237396, 1.1096656322479248, 1.0519676208496094, -1.3993555307388306, 1.2376600503921509, 0.2552854120731354, 0.018029291182756424, 1.629110336303711, -0.5509090423583984, 0.23597313463687897, -0.15257984399795532, -0.6868479251861572, 1.259951114654541, -0.4219570755958557, 1.0781902074813843, 0.42022719979286194, -0.21359087526798248, -1.2672009468078613, 0.8131350874900818, -1.1096892356872559, 0.35966283082962036, 1.433436632156372, 1.5564501285552979, -0.260280579328537, 0.09981096535921097, 1.3410383462905884, -0.3074662983417511, 1.3650227785110474, 0.5019757747650146, -0.8316367268562317, 0.6496087908744812, 0.016675079241394997, 0.9767147302627563, 0.848698079586029, 1.5787019729614258, -0.4776698648929596, 0.21794651448726654, 1.2254124879837036, -2.2004106044769287, 0.16803166270256042, -0.0651867687702179, 0.8563709855079651, -0.08354237675666809, -0.3566986918449402, -0.488839715719223, 1.4765127897262573, 0.9624696373939514, -0.6823764443397522, -1.4514496326446533, 0.030573135241866112, -0.2303534746170044, 0.007762017194181681, -0.9098928570747375, -1.223310947418213, -0.2529079020023346, -0.13513490557670593, 1.5767443180084229, -1.2211054563522339, -0.6301870346069336, 0.643730640411377, 1.301835298538208, 1.389333724975586, -0.3313741385936737, 0.7300616502761841, -0.1389826536178589, -0.5079913139343262, 0.700678288936615, 0.39405784010887146, -0.10843867063522339, 0.25164613127708435, 0.3624071478843689, -1.537325382232666, 0.19460828602313995, 1.7813777923583984, -0.6149979829788208, 0.47680479288101196, 0.18896351754665375, 0.4519369602203369, -0.040916558355093, -0.1325177699327469, 0.7187647223472595, -0.9107131361961365, -1.206024169921875, -0.4869290590286255, -0.286356121301651, 0.2689705491065979, 1.5032217502593994, 0.48865771293640137, 1.1512746810913086, -0.5254520773887634, 0.5507976412773132, 0.3217735290527344, -0.7832846641540527, 1.828341007232666, -0.7670162320137024, -1.5319793224334717, -1.0495561361312866, -1.569818139076233, -0.7489733099937439, -0.9210065007209778, 0.23507927358150482, -0.04664190858602524, 1.3613861799240112, 0.27287447452545166, -0.5386437773704529, 1.4989731311798096, -0.4090301990509033, -0.5814006924629211, 0.28677016496658325, 0.26321059465408325, -0.7170469760894775, -0.8778800964355469, 0.3274218440055847, 1.373245358467102, 2.3293285369873047, 1.6961102485656738, 0.8616948127746582, 0.35291776061058044, -0.7723076939582825, -0.9305091500282288, -0.30427682399749756, 0.651983380317688, -0.9847509860992432, 0.08246032148599625, -0.03519850969314575, -0.1722860187292099, 0.617239773273468, -1.9146513938903809, -0.9924284815788269, 1.4250383377075195, -0.6706786155700684, 0.36550697684288025, -2.1429617404937744, 0.3860916793346405, 0.7313840985298157, -0.1888868510723114, 0.09346159547567368, -0.17522680759429932, -0.4126574695110321, 0.9494252800941467, -0.2647416293621063, -0.2715027630329132, 0.9644917249679565, -0.8829264640808105, 0.3742744028568268, -1.2468020915985107, -0.8675701022148132, -0.5924285650253296, 1.196743130683899, 1.0149785280227661, 0.20018285512924194, 0.019332746043801308, 0.2152603417634964, 1.2962294816970825, -1.1864097118377686, 1.6337387561798096, -0.3011060655117035, -0.7531145811080933, -0.968429446220398, -0.4502239227294922, -0.4134889841079712, 2.3412179946899414, -0.2114247828722, 0.7047622799873352, 0.08048244565725327, 2.1614701747894287, -0.33635085821151733, -1.7306649684906006, 0.6951910257339478, -0.33693158626556396, 0.26714402437210083, -0.8856847286224365, -1.1742043495178223, -0.13747279345989227, -1.6447948217391968, 0.31041043996810913, 0.10410336405038834, 0.05797611549496651, -0.913089394569397, -1.843082070350647, 1.7380002737045288, -0.6116507649421692, -1.0312117338180542, -1.164237141609192, -0.18557608127593994, 0.6528753638267517, -1.0020185708999634, 0.8250850439071655, 1.8028807640075684, -0.4435095489025116, 1.4097992181777954, 0.3674382269382477, 1.2206790447235107, -0.6751130223274231, 1.2014089822769165, -0.4889996349811554, 0.12248944491147995, -1.7495460510253906, 0.9079000353813171, 1.28357994556427, -0.6703369617462158, -0.168792724609375, -0.957914412021637, 0.04783708229660988, -1.2182658910751343, -0.6299733519554138, -1.2653292417526245, -1.7634660005569458, 0.5670754313468933, 0.5413642525672913, 1.1650261878967285, 0.47512510418891907, -1.7869006395339966, 0.10479854792356491, -0.1616317629814148, -0.8410555720329285, -2.5556185245513916, 0.09360677748918533, 1.572411060333252, -1.090598702430725, 1.012551188468933, -1.3575583696365356, 0.6057603359222412, 1.0535147190093994, 0.33631566166877747, -2.1497223377227783, 0.6578114628791809, -0.672498345375061, -1.284934639930725, 1.445921540260315, 0.4772080183029175, -0.522803544998169, 0.15833178162574768, 0.08376762270927429, -0.44562262296676636, 0.5361801385879517, -0.4491329491138458, 0.14387738704681396, -0.17476733028888702, -0.487588495016098, 0.2912241518497467, 0.43989551067352295, 0.08569467067718506, -0.9725594520568848, 0.7026351094245911, -0.8632873892784119, -1.5713186264038086, 0.566351056098938, 0.08647678792476654, 0.8255103230476379, 0.3623337149620056, -1.1215295791625977, -0.09208498150110245, -0.10561761260032654, 0.9588532447814941, 0.8935558795928955, 0.8742579221725464, -1.70919930934906, -0.27419647574424744, -0.8631234765052795, -0.35551926493644714, -0.7726259231567383, 0.34694671630859375, -0.35705459117889404, -0.6094578504562378, 0.3604901432991028, -0.8189350366592407, 1.0897679328918457, 1.2362394332885742, 1.5625998973846436, -0.9732222557067871, 1.073033094406128, -2.0888078212738037, -1.776645302772522, 0.26934435963630676, 0.846649706363678, 0.7629689574241638, -0.8620204329490662, 0.35708707571029663, 2.049574136734009, 0.7242829203605652, -0.8240464329719543, 0.41192659735679626, 0.888694703578949, -0.02332393266260624, 0.6371617317199707, -1.6996170282363892, 1.3360755443572998, 1.637290120124817, 0.9134156107902527, 1.0053625106811523, 0.9126914143562317, -0.8799669146537781, 0.24198104441165924, -0.8614497780799866, -0.3905848264694214, 1.07422935962677, 1.6080670356750488, 1.0533933639526367, -0.7705222368240356, 0.7094416618347168, -1.5888625383377075, -1.4684405326843262, -0.40729209780693054, 0.9839588403701782, 0.5693156719207764, -0.8539152145385742, -0.15265436470508575, -0.37823012471199036, -0.15914839506149292, 0.5079148411750793, -0.2598266005516052, 1.5108228921890259, -1.1680594682693481, 0.8790904879570007, 0.11148877441883087, -1.2227469682693481, -0.128007709980011, 0.6001428365707397, -0.09158524125814438, -2.069615125656128, 0.5833582282066345, 1.267600417137146, 2.0658082962036133, -0.11145811527967453, -1.2408998012542725, 0.10076841711997986, 0.6087343692779541, 1.09490966796875, -0.673897922039032, 1.162103533744812, 1.1201660633087158, -0.12988951802253723, 1.417938470840454, 1.2473702430725098, -0.329673171043396, 0.6027786731719971, 0.6083667278289795, 0.3874492049217224, 1.4482362270355225, 0.3965644836425781, 1.1368763446807861, -0.12280632555484772, -0.5655546188354492, 0.5939374566078186, -0.5810553431510925, 0.13565942645072937, 0.2790122628211975, 0.9428159594535828, 0.7064530253410339, 0.32440972328186035, -0.9335923194885254, 0.40353885293006897, 1.8694450855255127, -0.6092559099197388, -0.2966637909412384, -0.5615630149841309, 1.9505434036254883, -1.4308140277862549, 0.704777181148529, -0.805021345615387, -0.2847177982330322, -1.333768367767334, -0.4886853098869324, 0.21181568503379822, -1.142264485359192, 1.654895544052124, -0.6788818836212158, -0.056120678782463074, -0.9519021511077881, -0.3056485950946808, -1.1685702800750732, 0.602799117565155, 1.1512500047683716, 0.640975832939148, 0.6010251045227051, 0.770562469959259, -1.3449543714523315, -0.14952203631401062, -0.6274268627166748, -1.2207450866699219, -1.0787698030471802, 0.23258234560489655, 1.5594797134399414, 0.20760878920555115, -0.44810751080513, 0.16858543455600739, 0.021370286121964455, -1.895845890045166, 0.37009167671203613, -1.0297030210494995, 0.013987215235829353, -0.20832201838493347, -1.1174812316894531, 0.06889024376869202, -0.2810751497745514, 0.5194681286811829, 1.3786721229553223, -0.17203770577907562, -1.3166433572769165, 0.3851548433303833, 1.748408317565918, 0.3513159155845642, -0.6817286014556885, 0.7365488409996033, 0.24380216002464294, -0.9862716197967529, 0.2487122118473053, -1.1114195585250854, 1.3589295148849487, 1.9481453895568848, 0.8826954960823059, -0.41410088539123535, 1.9963549375534058, -0.9570953249931335, -0.42006900906562805, -0.11259719729423523, -0.4845541715621948, 2.7983431816101074, 0.016620665788650513, -0.17249180376529694, 1.1391501426696777, -1.6210745573043823, 1.0163072347640991, 0.7766100764274597, 0.0791023001074791, -1.7153024673461914, -0.2933856248855591, 0.6503859758377075, -1.4389655590057373, 2.060023307800293, 0.6876176595687866, 0.801620602607727, 1.6606708765029907, 0.5986519455909729, 0.06454716622829437, 0.4068673253059387, 1.198309063911438, 0.8425881266593933, 2.489187479019165, -0.705376148223877, -0.5904154181480408, -0.845643162727356, 0.17975527048110962, 1.7207307815551758, -2.4562387466430664, 0.8740478157997131, -1.5552356243133545, 0.5296518802642822, 1.9209096431732178, 1.1728729009628296, 0.2526807487010956, -0.9018266201019287, 0.00654492387548089, 0.06732720881700516, 1.2659269571304321, -0.09894300997257233, 0.3834511339664459, -0.26922106742858887, 2.0387682914733887, -0.2597101926803589, 0.8990184664726257, 0.6749572157859802, -2.5332202911376953, 0.014078903943300247, 0.35047200322151184, 1.4390219449996948, 1.4096029996871948, -0.7166991829872131, -0.32402053475379944, -1.2245477437973022, 0.4962514042854309, 0.05150294303894043, 0.030159123241901398, -1.0215247869491577, 0.3972974717617035, 2.11041259765625, -0.6873834729194641, 0.188016876578331, 1.8497037887573242, 1.9564666748046875, 0.14332260191440582, 1.2913219928741455, -2.368044137954712, -0.21271774172782898, -0.22048501670360565, -0.4463672339916229, 0.6916132569313049, -0.786582350730896, 0.7336676120758057, -0.9864481687545776, -0.321627140045166, 1.1640173196792603, -1.751227617263794, -0.8454899787902832, -1.0561188459396362, -0.34796056151390076, 0.5309250950813293, -0.19031640887260437, -1.093779444694519, 0.42377254366874695, 0.6813453435897827, -0.3132498562335968, 0.38133832812309265, 0.017398791387677193, -0.18926583230495453, 0.8837577700614929, 0.31470242142677307, 0.07695857435464859, 1.0070838928222656, -1.796402931213379, 0.4703086018562317, 1.6279244422912598, -0.6284152269363403, 1.2524827718734741, -1.4721323251724243, -1.5557303428649902, -1.8423857688903809, -0.37688249349594116, 0.49845558404922485, 1.983006238937378, -1.518824577331543, -0.8592283129692078, -2.342580795288086, 0.15056145191192627, -2.1083407402038574, 0.5865169167518616, 1.3264585733413696, -0.713590681552887, -0.05216061696410179, 0.45491787791252136, 0.027164380997419357, 1.8309104442596436, -0.9262564778327942, 0.06738078594207764, -0.7121058702468872, 0.6065229773521423, 0.07830817997455597, -2.0813941955566406, -1.6946024894714355, -2.275239944458008, -1.342057228088379, -1.3838560581207275, 1.1488666534423828, 0.8545892834663391, -1.484869360923767, -0.9323726296424866, 0.18546609580516815, -1.1273759603500366, -1.0101439952850342, -0.8605561852455139, 0.8868582248687744, -1.6113309860229492, 0.7588376998901367, -0.8309174180030823, 0.20615164935588837, 1.7723965644836426, 1.1890195608139038, -0.7537585496902466, 1.338218331336975, -2.3625190258026123, 0.7342426776885986, -0.4507429599761963, 0.7600820064544678, 0.48231199383735657, -0.8042573928833008, 0.08191467821598053, 0.5383085012435913, -0.44108492136001587, 0.7126982808113098, -0.031444329768419266, -1.5129265785217285, 0.17063944041728973, 0.9212676882743835, -1.4670345783233643, 0.21006937325000763, -0.5719926953315735, 1.7619388103485107, 1.05191969871521, -1.0641577243804932, -0.7166780233383179, 0.6115356683731079, 0.4192769229412079, -0.9969730973243713, 0.40422534942626953, -0.33555272221565247, 0.9581996202468872, 0.020971976220607758, 0.5832300782203674, -0.415225088596344, 1.186097502708435, 0.33656421303749084, -0.39247778058052063, 0.9897266626358032, 0.8289650678634644, 0.782512903213501, 0.48329073190689087, -1.0121911764144897, -0.0057675763964653015, 1.0544906854629517, 1.6775274276733398, -0.7211561799049377, -1.5340851545333862, 1.7130175828933716, 0.7570656538009644, -1.6742795705795288, 0.7931667566299438, -1.0437464714050293, 0.30269724130630493, 0.6550590991973877, -0.4130929708480835, 1.4872623682022095, 0.7571020126342773, -0.8687357902526855, -0.26309528946876526, 0.07829493284225464, 0.7729811668395996, -0.3802672028541565, -1.4262596368789673, 2.0378270149230957, -0.8870314955711365, -1.4321249723434448, 0.29264307022094727, 1.1621016263961792, -2.3424088954925537, -0.19118525087833405, -2.9786102771759033, -0.6091228127479553, 0.36590781807899475, 0.7184649109840393, -0.03888370841741562, -0.339468389749527, 0.6520390510559082, 0.44288983941078186, 2.074463129043579, 0.26870521903038025, -1.0010695457458496, -0.8122458457946777, 0.37879613041877747, -0.05771910771727562, 0.2708364427089691, -0.47598037123680115, 0.10655930638313293, -0.7906923294067383, 0.2682773172855377, -0.5824294090270996, -0.3425712585449219, 0.4904223680496216, 0.8608396649360657, 1.9262688159942627, 2.175070285797119, -0.33343422412872314, -0.027208523824810982, 0.5761779546737671, -0.9383825659751892, 0.059306949377059937, 0.28959769010543823, 0.3259313404560089, -0.2546791434288025, -1.736990213394165, 0.8739844560623169, 0.35042521357536316, -1.2228503227233887, -1.0335654020309448, 1.3095381259918213, 1.5261269807815552, 1.2873287200927734, -0.4964136481285095, 0.4535132646560669, -0.8845956921577454, 0.5330414772033691, -1.028131127357483, 0.013734540902078152, 0.4757523238658905, 0.2560475766658783, -0.8012654781341553, 1.3635927438735962, -1.3162853717803955, -1.1026761531829834, 1.4986034631729126, 0.16425561904907227, 0.3945198357105255, 0.35554611682891846, -0.6127477884292603, 0.4545556306838989, -0.9209896922111511, 0.5361883640289307, 0.08232584595680237, 0.382882684469223, 2.327554941177368, -0.010405395179986954, -0.6846074461936951, -0.9958642721176147, 0.7031370401382446, 1.0047142505645752, 0.41802287101745605, -0.29768499732017517, 0.8439900875091553, -1.4260004758834839, -0.3592985272407532, -1.0083805322647095, -0.4395804703235626, -1.4977518320083618, 0.699643075466156, 1.4098457098007202, -1.2821251153945923, 2.523390769958496, 1.3808592557907104, 0.4819633364677429}; - - -static const float gemm_checksum[64] = {106.50713348388672, -43.41827392578125, 88.62898254394531, 206.1454620361328, -86.65469360351562, 47.85114288330078, -83.10395812988281, -0.6398353576660156, -18.263839721679688, -150.13345336914062, -22.446304321289062, 9.95019817352295, -100.16041564941406, -103.33052825927734, -33.98554611206055, 70.34858703613281, -39.1917724609375, -14.04034423828125, 79.12849426269531, -213.2205810546875, 8.674177169799805, 18.772485733032227, 48.36838912963867, -152.58534240722656, 58.96424865722656, -1.9184703826904297, -124.40823364257812, -66.2288589477539, -58.79644012451172, -35.820404052734375, -55.25178527832031, -14.93830680847168, -105.72493743896484, -0.10310649871826172, 71.98310089111328, 57.72700881958008, -80.43113708496094, 130.24502563476562, -35.593284606933594, 86.2574462890625, -61.68738555908203, 3.345569610595703, -144.9003143310547, 2.9203100204467773, -14.018852233886719, 33.92664337158203, 51.765052795410156, 48.26521682739258, -12.355224609375, -217.03515625, -21.477426528930664, 3.749959945678711, 46.99170684814453, 63.960899353027344, -16.157604217529297, 53.231231689453125, -44.57835388183594, 106.66551208496094, 119.85152435302734, -85.81074523925781, 77.12012481689453, -27.079853057861328, 64.79229736328125, 101.60380554199219}; - - diff --git a/software/apps/spatz_apps/sp-fmatmul/main.c b/software/apps/spatz_apps/sp-fmatmul/main.c index c71078615..04682a3b0 100644 --- a/software/apps/spatz_apps/sp-fmatmul/main.c +++ b/software/apps/spatz_apps/sp-fmatmul/main.c @@ -27,30 +27,26 @@ #include "runtime.h" #include "synchronization.h" #endif -#include "data/data_64_64_64.h" +// #include "data/data_64_64_64.h" +// #include "data/data_128_128_128.h" +// #include "data/data_128_256_128.h" +// #include "data/data_4096_32_64.h" +#include "data/data_1024_32_64.h" +// #include "data/data_512_32_64.h" +// #include "data/data_256_32_64.h" +// #include "data/data_256_256_256.h" #include "kernel/sp-fmatmul.c" - +// set the number of cores used to calculate +const unsigned int active_core = 128; // Initialize the matrices void init_matrix(float *matrix, const float *src, - const unsigned int size) { - for (unsigned int j = 0; j < size; ++j) + const unsigned int size, const unsigned int cid) { + for (unsigned int j = cid*size; j < (cid+1)*size; ++j) matrix[j] = src[j]; } -const unsigned int core_count = 16; - -// void init_matrix(float *matrix, const float *src, -// const unsigned int rows_start, const unsigned int rows_end, -// const unsigned int num_columns) { -// for (unsigned int i = rows_start; i < rows_end; ++i) { -// for (unsigned int j = 0; j < num_columns; ++j) { -// matrix[i * num_columns + j] = src[i * num_columns + j]; -// } -// } -// } - // Verify the matrices int verify_matrix(float *matrix, const float *checksum, const unsigned int num_rows, const unsigned int num_columns) { @@ -66,7 +62,6 @@ int verify_matrix(float *matrix, const float *checksum, diff = -diff; if (diff > 0.001f) { return i == 0 ? -1 : (int)i; - // error ++; } } return error; @@ -96,21 +91,25 @@ int main() { // Work over complete P dimension p_start = 0; p_end = gemm_l.N; - m_start = (gemm_l.M / core_count) * cid; - m_end = (gemm_l.M / core_count) * (cid + 1); + m_start = (gemm_l.M / active_core) * cid; + m_end = (gemm_l.M / active_core) * (cid + 1); // Wait for all cores to finish mempool_barrier(num_cores); - if (cid == 0) { - printf("init a\n"); - init_matrix(a, gemm_A_dram, gemm_l.M * gemm_l.K); - printf("init b\n"); - init_matrix(b, gemm_B_dram, gemm_l.K * gemm_l.N); - printf("init c\n"); - init_matrix(c, gemm_C_dram, gemm_l.M * gemm_l.N); - printf("init r\n"); - init_matrix(r, gemm_checksum, gemm_l.M); + if (cid < active_core) { + if (cid == 0) + printf("init a\n"); + init_matrix(a, gemm_A_dram, (gemm_l.M * gemm_l.K)/active_core, cid); + if (cid == 0) + printf("init b\n"); + init_matrix(b, gemm_B_dram, (gemm_l.K * gemm_l.N)/active_core, cid); + if (cid == 0) + printf("init c\n"); + init_matrix(c, gemm_C_dram, (gemm_l.M * gemm_l.N)/active_core, cid); + if (cid == 0) + printf("init r\n"); + init_matrix(r, gemm_checksum, (gemm_l.M)/active_core, cid); } if (cid == 0) { @@ -120,17 +119,27 @@ int main() { // Wait for all cores to finish mempool_barrier(num_cores); + if (cid == 0) { + printf("start calc\n"); + } + // Calculate matmul for (unsigned int i = 0; i < measure_iterations; ++i) { timer_start = mempool_get_timer(); - if (cid < core_count) { + if (cid < active_core) { if (kernel_size == 2) { + mempool_start_benchmark(); matmul_2xVL(c, a, b, m_start, m_end, gemm_l.K, gemm_l.N, p_start, p_end); + mempool_stop_benchmark(); } else if (kernel_size == 4) { + mempool_start_benchmark(); matmul_4xVL(c, a, b, m_start, m_end, gemm_l.K, gemm_l.N, p_start, p_end); + mempool_stop_benchmark(); } else if (kernel_size == 8) { + mempool_start_benchmark(); matmul_8xVL(c, a, b, m_start, m_end, gemm_l.K, gemm_l.N, p_start, p_end); + mempool_stop_benchmark(); } else { return -2; } @@ -152,7 +161,7 @@ int main() { if (cid == 0) { long unsigned int performance = 1000 * 2 * gemm_l.M * gemm_l.N * gemm_l.K / timer; - long unsigned int utilization = performance / (2 * num_cores * 8); + long unsigned int utilization = performance / (2 * active_core * N_FPU); printf("\n----- (%dx%d) sp fmatmul -----\n", gemm_l.M, gemm_l.N); printf("The execution took %u cycles.\n", timer); @@ -165,8 +174,7 @@ int main() { verify_matrix(c, (const float *)gemm_checksum, gemm_l.M, gemm_l.N); if (error != 0) { - // printf("Error core %d: c[%d]=%u\n", cid, error, (int)c[error]); - printf("Error count:%d", error); + printf("Error core %d: c[%d]=%u\n", cid, error, (int)c[error]); return error; } } diff --git a/software/apps/spatz_apps/sp-fmatmul/script/gen_data.py b/software/apps/spatz_apps/sp-fmatmul/script/gen_data.py index 70397b332..ee8654c61 100755 --- a/software/apps/spatz_apps/sp-fmatmul/script/gen_data.py +++ b/software/apps/spatz_apps/sp-fmatmul/script/gen_data.py @@ -151,6 +151,7 @@ def emit_GEMM_layer(name="gemm", **kwargs): layer_str += f'{dtype} a[{m}*{k}] __attribute__((section(".l1")));\n' layer_str += f'{dtype} b[{k}*{n}] __attribute__((section(".l1")));\n' layer_str += f'{dtype} c[{m}*{n}] __attribute__((section(".l1")));\n' + layer_str += f'{dtype} r[{m}] __attribute__((section(".l1")));\n' layer_str += ( f'static {dtype} {name}_A_dram [{m}*{k}] __attribute__((section(".data"))) = ' + array_to_cstr(mat_A) @@ -167,7 +168,7 @@ def emit_GEMM_layer(name="gemm", **kwargs): + ";\n\n\n" ) layer_str += ( - f"static const {dtype} {name}_checksum[{m}] = " + f'static {dtype} {name}_checksum[{m}] __attribute__((section(".data"))) = ' + array_to_cstr(torch.sum(result, dim=-1)) + ";\n\n\n" ) diff --git a/software/apps/spatz_apps/sp-fmatmul/script/matmul.json b/software/apps/spatz_apps/sp-fmatmul/script/matmul.json index 47521867f..c387689c9 100644 --- a/software/apps/spatz_apps/sp-fmatmul/script/matmul.json +++ b/software/apps/spatz_apps/sp-fmatmul/script/matmul.json @@ -6,8 +6,8 @@ { kernel: "GEMM" - M: 64, - N: 128, + M: 2048, + N: 32, K: 64, alpha: 0, transpose_A: false, diff --git a/software/runtime/arch.ld.c b/software/runtime/arch.ld.c index 8d003388b..812a30ba8 100644 --- a/software/runtime/arch.ld.c +++ b/software/runtime/arch.ld.c @@ -5,7 +5,7 @@ /* This file will get processed by the precompiler to expand all macros. */ MEMORY { - l1 (R) : ORIGIN = 0x00000000, LENGTH = (NUM_CORES * BANKING_FACTOR * L1_BANK_SIZE) + l1 (R) : ORIGIN = 0x00000000, LENGTH = (NUM_CORES * N_FU * BANKING_FACTOR * L1_BANK_SIZE) l2 : ORIGIN = L2_BASE , LENGTH = L2_SIZE rom (R): ORIGIN = BOOT_ADDR , LENGTH = 0x00001000 } diff --git a/software/runtime/runtime.h b/software/runtime/runtime.h index 4abdbd682..cc2fb4a1d 100644 --- a/software/runtime/runtime.h +++ b/software/runtime/runtime.h @@ -71,10 +71,12 @@ static inline uint32_t mempool_get_core_count_per_group() { /// Initialization static inline void mempool_init(const uint32_t core_id) { if (core_id == 0) { - // Initialize L1 Interleaved Heap Allocator - extern uint32_t __heap_start, __heap_end; - uint32_t heap_size = (uint32_t)&__heap_end - (uint32_t)&__heap_start; - alloc_init(get_alloc_l1(), &__heap_start, heap_size); + if (SEQ_MEM_SIZE != L1_BANK_SIZE * BANKING_FACTOR * N_FU) { + // Initialize L1 Interleaved Heap Allocator + extern uint32_t __heap_start, __heap_end; + uint32_t heap_size = (uint32_t)&__heap_end - (uint32_t)&__heap_start; + alloc_init(get_alloc_l1(), &__heap_start, heap_size); + } // Initialize L1 Sequential Heap Allocator per Tile extern uint32_t __seq_start;