From 13e1f0bebc63dab81c7d6926bb1bc3c7191afb94 Mon Sep 17 00:00:00 2001 From: phthinh Date: Wed, 8 Dec 2021 16:03:34 +0000 Subject: [PATCH 1/6] K_ext: rename K_unratified -> K for the V1.0.0 ratified spec. --- riscv-test-suite/rv32i_m/{K_unratified => K}/Makefile | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/Makefrag | 0 .../{K_unratified => K}/references/aes32dsi-01.reference_output | 0 .../{K_unratified => K}/references/aes32dsi-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes32dsmi-01.reference_output | 0 .../references/aes32dsmi-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes32esi-01.reference_output | 0 .../{K_unratified => K}/references/aes32esi-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes32esmi-01.reference_output | 0 .../references/aes32esmi-rwp1.reference_output | 0 .../{K_unratified => K}/references/andn-01.reference_output | 0 .../{K_unratified => K}/references/clmul-01.reference_output | 0 .../{K_unratified => K}/references/clmulh-01.reference_output | 0 .../{K_unratified => K}/references/orn-01.reference_output | 0 .../{K_unratified => K}/references/pack-01.reference_output | 0 .../{K_unratified => K}/references/packh-01.reference_output | 0 .../{K_unratified => K}/references/packu-01.reference_output | 0 .../{K_unratified => K}/references/rev.b-01.reference_output | 0 .../{K_unratified => K}/references/rev8-01.reference_output | 0 .../{K_unratified => K}/references/rol-01.reference_output | 0 .../{K_unratified => K}/references/ror-01.reference_output | 0 .../{K_unratified => K}/references/rori-01.reference_output | 0 .../{K_unratified => K}/references/sha256sig0-01.reference_output | 0 .../references/sha256sig0-rwp1.reference_output | 0 .../references/sha256sig0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha256sig1-01.reference_output | 0 .../references/sha256sig1-rwp1.reference_output | 0 .../references/sha256sig1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha256sum0-01.reference_output | 0 .../references/sha256sum0-rwp1.reference_output | 0 .../references/sha256sum0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha256sum1-01.reference_output | 0 .../references/sha256sum1-rwp1.reference_output | 0 .../references/sha256sum1-rwp2.reference_output | 0 .../references/sha512sig0h-01.reference_output | 0 .../references/sha512sig0h-rwp1.reference_output | 0 .../references/sha512sig0h-rwp2.reference_output | 0 .../references/sha512sig0l-01.reference_output | 0 .../references/sha512sig0l-rwp1.reference_output | 0 .../references/sha512sig0l-rwp2.reference_output | 0 .../references/sha512sig1h-01.reference_output | 0 .../references/sha512sig1h-rwp1.reference_output | 0 .../references/sha512sig1h-rwp2.reference_output | 0 .../references/sha512sig1l-01.reference_output | 0 .../references/sha512sig1l-rwp1.reference_output | 0 .../references/sha512sig1l-rwp2.reference_output | 0 .../references/sha512sum0r-01.reference_output | 0 .../references/sha512sum0r-rwp1.reference_output | 0 .../references/sha512sum0r-rwp2.reference_output | 0 .../references/sha512sum1r-01.reference_output | 0 .../references/sha512sum1r-rwp1.reference_output | 0 .../references/sha512sum1r-rwp2.reference_output | 0 .../{K_unratified => K}/references/sm3p0-01.reference_output | 0 .../{K_unratified => K}/references/sm3p0-rwp1.reference_output | 0 .../{K_unratified => K}/references/sm3p0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sm3p1-01.reference_output | 0 .../{K_unratified => K}/references/sm3p1-rwp1.reference_output | 0 .../{K_unratified => K}/references/sm3p1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sm4ed-01.reference_output | 0 .../{K_unratified => K}/references/sm4ed-rwp1.reference_output | 0 .../{K_unratified => K}/references/sm4ks-01.reference_output | 0 .../{K_unratified => K}/references/sm4ks-rwp1.reference_output | 0 .../{K_unratified => K}/references/unzip-01.reference_output | 0 .../{K_unratified => K}/references/xnor-01.reference_output | 0 .../{K_unratified => K}/references/xperm.b-01.reference_output | 0 .../{K_unratified => K}/references/xperm.n-01.reference_output | 0 .../{K_unratified => K}/references/zip-01.reference_output | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsi-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsi-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsmi-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsmi-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esi-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esi-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esmi-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esmi-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/andn-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/clmul-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/clmulh-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/orn-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/pack-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/packh-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/packu-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/rev.b-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/rev8-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/rol-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/ror-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/rori-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig0-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sig0-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sig0-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig1-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sig1-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sig1-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum0-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sum0-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sum0-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum1-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sum1-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha256sum1-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0h-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig0h-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig0h-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0l-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig0l-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig0l-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1h-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig1h-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig1h-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1l-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig1l-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sig1l-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum0r-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sum0r-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sum0r-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum1r-01.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sum1r-rwp1.S | 0 .../rv32i_m/{K_unratified => K}/src/sha512sum1r-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p0-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p0-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p0-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p1-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p1-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p1-rwp2.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ed-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ed-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ks-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ks-rwp1.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/unzip-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/xnor-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/xperm.b-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/xperm.n-01.S | 0 riscv-test-suite/rv32i_m/{K_unratified => K}/src/zip-01.S | 0 132 files changed, 0 insertions(+), 0 deletions(-) rename riscv-test-suite/rv32i_m/{K_unratified => K}/Makefile (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/Makefrag (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32dsi-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32dsi-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32dsmi-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32dsmi-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32esi-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32esi-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32esmi-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/aes32esmi-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/andn-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/clmul-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/clmulh-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/orn-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/pack-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/packh-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/packu-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/rev.b-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/rev8-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/rol-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/ror-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/rori-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sig0-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sig0-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sig0-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sig1-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sig1-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sig1-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sum0-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sum0-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sum0-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sum1-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sum1-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha256sum1-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig0h-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig0h-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig0h-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig0l-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig0l-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig0l-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig1h-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig1h-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig1h-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig1l-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig1l-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sig1l-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sum0r-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sum0r-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sum0r-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sum1r-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sum1r-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sha512sum1r-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm3p0-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm3p0-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm3p0-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm3p1-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm3p1-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm3p1-rwp2.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm4ed-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm4ed-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm4ks-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/sm4ks-rwp1.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/unzip-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/xnor-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/xperm.b-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/xperm.n-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/references/zip-01.reference_output (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsi-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsi-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsmi-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32dsmi-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esi-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esi-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esmi-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/aes32esmi-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/andn-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/clmul-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/clmulh-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/orn-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/pack-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/packh-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/packu-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/rev.b-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/rev8-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/rol-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/ror-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/rori-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig0-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig0-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig0-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig1-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig1-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sig1-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum0-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum0-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum0-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum1-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum1-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha256sum1-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0h-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0h-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0h-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0l-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0l-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig0l-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1h-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1h-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1h-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1l-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1l-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sig1l-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum0r-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum0r-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum0r-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum1r-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum1r-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sha512sum1r-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p0-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p0-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p0-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p1-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p1-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm3p1-rwp2.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ed-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ed-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ks-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/sm4ks-rwp1.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/unzip-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/xnor-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/xperm.b-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/xperm.n-01.S (100%) rename riscv-test-suite/rv32i_m/{K_unratified => K}/src/zip-01.S (100%) diff --git a/riscv-test-suite/rv32i_m/K_unratified/Makefile b/riscv-test-suite/rv32i_m/K/Makefile similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/Makefile rename to riscv-test-suite/rv32i_m/K/Makefile diff --git a/riscv-test-suite/rv32i_m/K_unratified/Makefrag b/riscv-test-suite/rv32i_m/K/Makefrag similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/Makefrag rename to riscv-test-suite/rv32i_m/K/Makefrag diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32dsi-01.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32dsi-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32dsi-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32dsi-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32dsi-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32dsi-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32dsi-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32dsi-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32dsmi-01.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32dsmi-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32dsmi-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32dsmi-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32dsmi-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32dsmi-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32dsmi-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32dsmi-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32esi-01.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32esi-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32esi-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32esi-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32esi-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32esi-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32esi-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32esi-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32esmi-01.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32esmi-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32esmi-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32esmi-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/aes32esmi-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/aes32esmi-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/aes32esmi-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/aes32esmi-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/andn-01.reference_output b/riscv-test-suite/rv32i_m/K/references/andn-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/andn-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/andn-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/clmul-01.reference_output b/riscv-test-suite/rv32i_m/K/references/clmul-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/clmul-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/clmul-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/clmulh-01.reference_output b/riscv-test-suite/rv32i_m/K/references/clmulh-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/clmulh-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/clmulh-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/orn-01.reference_output b/riscv-test-suite/rv32i_m/K/references/orn-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/orn-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/orn-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/pack-01.reference_output b/riscv-test-suite/rv32i_m/K/references/pack-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/pack-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/pack-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/packh-01.reference_output b/riscv-test-suite/rv32i_m/K/references/packh-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/packh-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/packh-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/packu-01.reference_output b/riscv-test-suite/rv32i_m/K/references/packu-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/packu-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/packu-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/rev.b-01.reference_output b/riscv-test-suite/rv32i_m/K/references/rev.b-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/rev.b-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/rev.b-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/rev8-01.reference_output b/riscv-test-suite/rv32i_m/K/references/rev8-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/rev8-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/rev8-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/rol-01.reference_output b/riscv-test-suite/rv32i_m/K/references/rol-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/rol-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/rol-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/ror-01.reference_output b/riscv-test-suite/rv32i_m/K/references/ror-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/ror-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/ror-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/rori-01.reference_output b/riscv-test-suite/rv32i_m/K/references/rori-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/rori-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/rori-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sig0-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sig0-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sig0-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sig0-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sig0-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sig0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sig0-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sig0-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sig0-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sig0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sig0-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sig0-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sig1-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sig1-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sig1-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sig1-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sig1-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sig1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sig1-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sig1-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sig1-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sig1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sig1-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sig1-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sum0-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sum0-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sum0-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sum0-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sum0-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sum0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sum0-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sum0-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sum0-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sum0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sum0-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sum0-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sum1-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sum1-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sum1-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sum1-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sum1-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sum1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sum1-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sum1-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha256sum1-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha256sum1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha256sum1-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha256sum1-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0h-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig0h-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0h-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig0h-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0h-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig0h-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0h-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig0h-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0h-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig0h-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0h-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig0h-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0l-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig0l-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0l-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig0l-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0l-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig0l-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0l-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig0l-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0l-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig0l-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig0l-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig0l-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1h-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig1h-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1h-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig1h-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1h-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig1h-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1h-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig1h-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1h-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig1h-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1h-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig1h-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1l-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig1l-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1l-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig1l-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1l-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig1l-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1l-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig1l-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1l-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sig1l-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sig1l-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sig1l-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sum0r-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sum0r-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sum0r-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sum0r-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sum0r-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sum0r-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sum0r-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sum0r-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sum0r-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sum0r-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sum0r-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sum0r-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sum1r-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sum1r-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sum1r-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sum1r-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sum1r-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sum1r-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sum1r-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sum1r-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sha512sum1r-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sha512sum1r-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sha512sum1r-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sha512sum1r-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm3p0-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sm3p0-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm3p0-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm3p0-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm3p0-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sm3p0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm3p0-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm3p0-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm3p0-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sm3p0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm3p0-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm3p0-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm3p1-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sm3p1-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm3p1-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm3p1-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm3p1-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sm3p1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm3p1-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm3p1-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm3p1-rwp2.reference_output b/riscv-test-suite/rv32i_m/K/references/sm3p1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm3p1-rwp2.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm3p1-rwp2.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm4ed-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sm4ed-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm4ed-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm4ed-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm4ed-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sm4ed-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm4ed-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm4ed-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm4ks-01.reference_output b/riscv-test-suite/rv32i_m/K/references/sm4ks-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm4ks-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm4ks-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/sm4ks-rwp1.reference_output b/riscv-test-suite/rv32i_m/K/references/sm4ks-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/sm4ks-rwp1.reference_output rename to riscv-test-suite/rv32i_m/K/references/sm4ks-rwp1.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/unzip-01.reference_output b/riscv-test-suite/rv32i_m/K/references/unzip-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/unzip-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/unzip-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/xnor-01.reference_output b/riscv-test-suite/rv32i_m/K/references/xnor-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/xnor-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/xnor-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/xperm.b-01.reference_output b/riscv-test-suite/rv32i_m/K/references/xperm.b-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/xperm.b-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/xperm.b-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/xperm.n-01.reference_output b/riscv-test-suite/rv32i_m/K/references/xperm.n-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/xperm.n-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/xperm.n-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/references/zip-01.reference_output b/riscv-test-suite/rv32i_m/K/references/zip-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/references/zip-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/zip-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32dsi-01.S b/riscv-test-suite/rv32i_m/K/src/aes32dsi-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32dsi-01.S rename to riscv-test-suite/rv32i_m/K/src/aes32dsi-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32dsi-rwp1.S b/riscv-test-suite/rv32i_m/K/src/aes32dsi-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32dsi-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/aes32dsi-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32dsmi-01.S b/riscv-test-suite/rv32i_m/K/src/aes32dsmi-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32dsmi-01.S rename to riscv-test-suite/rv32i_m/K/src/aes32dsmi-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32dsmi-rwp1.S b/riscv-test-suite/rv32i_m/K/src/aes32dsmi-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32dsmi-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/aes32dsmi-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32esi-01.S b/riscv-test-suite/rv32i_m/K/src/aes32esi-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32esi-01.S rename to riscv-test-suite/rv32i_m/K/src/aes32esi-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32esi-rwp1.S b/riscv-test-suite/rv32i_m/K/src/aes32esi-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32esi-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/aes32esi-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32esmi-01.S b/riscv-test-suite/rv32i_m/K/src/aes32esmi-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32esmi-01.S rename to riscv-test-suite/rv32i_m/K/src/aes32esmi-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/aes32esmi-rwp1.S b/riscv-test-suite/rv32i_m/K/src/aes32esmi-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/aes32esmi-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/aes32esmi-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/andn-01.S b/riscv-test-suite/rv32i_m/K/src/andn-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/andn-01.S rename to riscv-test-suite/rv32i_m/K/src/andn-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/clmul-01.S b/riscv-test-suite/rv32i_m/K/src/clmul-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/clmul-01.S rename to riscv-test-suite/rv32i_m/K/src/clmul-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/clmulh-01.S b/riscv-test-suite/rv32i_m/K/src/clmulh-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/clmulh-01.S rename to riscv-test-suite/rv32i_m/K/src/clmulh-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/orn-01.S b/riscv-test-suite/rv32i_m/K/src/orn-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/orn-01.S rename to riscv-test-suite/rv32i_m/K/src/orn-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/pack-01.S b/riscv-test-suite/rv32i_m/K/src/pack-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/pack-01.S rename to riscv-test-suite/rv32i_m/K/src/pack-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/packh-01.S b/riscv-test-suite/rv32i_m/K/src/packh-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/packh-01.S rename to riscv-test-suite/rv32i_m/K/src/packh-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/packu-01.S b/riscv-test-suite/rv32i_m/K/src/packu-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/packu-01.S rename to riscv-test-suite/rv32i_m/K/src/packu-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/rev.b-01.S b/riscv-test-suite/rv32i_m/K/src/rev.b-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/rev.b-01.S rename to riscv-test-suite/rv32i_m/K/src/rev.b-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/rev8-01.S b/riscv-test-suite/rv32i_m/K/src/rev8-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/rev8-01.S rename to riscv-test-suite/rv32i_m/K/src/rev8-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/rol-01.S b/riscv-test-suite/rv32i_m/K/src/rol-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/rol-01.S rename to riscv-test-suite/rv32i_m/K/src/rol-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/ror-01.S b/riscv-test-suite/rv32i_m/K/src/ror-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/ror-01.S rename to riscv-test-suite/rv32i_m/K/src/ror-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/rori-01.S b/riscv-test-suite/rv32i_m/K/src/rori-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/rori-01.S rename to riscv-test-suite/rv32i_m/K/src/rori-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sig0-01.S b/riscv-test-suite/rv32i_m/K/src/sha256sig0-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sig0-01.S rename to riscv-test-suite/rv32i_m/K/src/sha256sig0-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sig0-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha256sig0-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sig0-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha256sig0-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sig0-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha256sig0-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sig0-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha256sig0-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sig1-01.S b/riscv-test-suite/rv32i_m/K/src/sha256sig1-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sig1-01.S rename to riscv-test-suite/rv32i_m/K/src/sha256sig1-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sig1-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha256sig1-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sig1-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha256sig1-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sig1-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha256sig1-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sig1-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha256sig1-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sum0-01.S b/riscv-test-suite/rv32i_m/K/src/sha256sum0-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sum0-01.S rename to riscv-test-suite/rv32i_m/K/src/sha256sum0-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sum0-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha256sum0-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sum0-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha256sum0-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sum0-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha256sum0-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sum0-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha256sum0-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sum1-01.S b/riscv-test-suite/rv32i_m/K/src/sha256sum1-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sum1-01.S rename to riscv-test-suite/rv32i_m/K/src/sha256sum1-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sum1-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha256sum1-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sum1-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha256sum1-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha256sum1-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha256sum1-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha256sum1-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha256sum1-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0h-01.S b/riscv-test-suite/rv32i_m/K/src/sha512sig0h-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0h-01.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig0h-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0h-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha512sig0h-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0h-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig0h-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0h-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha512sig0h-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0h-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig0h-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0l-01.S b/riscv-test-suite/rv32i_m/K/src/sha512sig0l-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0l-01.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig0l-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0l-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha512sig0l-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0l-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig0l-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0l-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha512sig0l-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig0l-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig0l-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1h-01.S b/riscv-test-suite/rv32i_m/K/src/sha512sig1h-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1h-01.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig1h-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1h-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha512sig1h-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1h-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig1h-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1h-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha512sig1h-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1h-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig1h-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1l-01.S b/riscv-test-suite/rv32i_m/K/src/sha512sig1l-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1l-01.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig1l-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1l-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha512sig1l-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1l-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig1l-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1l-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha512sig1l-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sig1l-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha512sig1l-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sum0r-01.S b/riscv-test-suite/rv32i_m/K/src/sha512sum0r-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sum0r-01.S rename to riscv-test-suite/rv32i_m/K/src/sha512sum0r-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sum0r-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha512sum0r-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sum0r-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha512sum0r-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sum0r-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha512sum0r-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sum0r-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha512sum0r-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sum1r-01.S b/riscv-test-suite/rv32i_m/K/src/sha512sum1r-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sum1r-01.S rename to riscv-test-suite/rv32i_m/K/src/sha512sum1r-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sum1r-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sha512sum1r-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sum1r-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sha512sum1r-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sha512sum1r-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sha512sum1r-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sha512sum1r-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sha512sum1r-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm3p0-01.S b/riscv-test-suite/rv32i_m/K/src/sm3p0-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm3p0-01.S rename to riscv-test-suite/rv32i_m/K/src/sm3p0-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm3p0-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sm3p0-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm3p0-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sm3p0-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm3p0-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sm3p0-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm3p0-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sm3p0-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm3p1-01.S b/riscv-test-suite/rv32i_m/K/src/sm3p1-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm3p1-01.S rename to riscv-test-suite/rv32i_m/K/src/sm3p1-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm3p1-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sm3p1-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm3p1-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sm3p1-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm3p1-rwp2.S b/riscv-test-suite/rv32i_m/K/src/sm3p1-rwp2.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm3p1-rwp2.S rename to riscv-test-suite/rv32i_m/K/src/sm3p1-rwp2.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm4ed-01.S b/riscv-test-suite/rv32i_m/K/src/sm4ed-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm4ed-01.S rename to riscv-test-suite/rv32i_m/K/src/sm4ed-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm4ed-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sm4ed-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm4ed-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sm4ed-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm4ks-01.S b/riscv-test-suite/rv32i_m/K/src/sm4ks-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm4ks-01.S rename to riscv-test-suite/rv32i_m/K/src/sm4ks-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/sm4ks-rwp1.S b/riscv-test-suite/rv32i_m/K/src/sm4ks-rwp1.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/sm4ks-rwp1.S rename to riscv-test-suite/rv32i_m/K/src/sm4ks-rwp1.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/unzip-01.S b/riscv-test-suite/rv32i_m/K/src/unzip-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/unzip-01.S rename to riscv-test-suite/rv32i_m/K/src/unzip-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/xnor-01.S b/riscv-test-suite/rv32i_m/K/src/xnor-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/xnor-01.S rename to riscv-test-suite/rv32i_m/K/src/xnor-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/xperm.b-01.S b/riscv-test-suite/rv32i_m/K/src/xperm.b-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/xperm.b-01.S rename to riscv-test-suite/rv32i_m/K/src/xperm.b-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/xperm.n-01.S b/riscv-test-suite/rv32i_m/K/src/xperm.n-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/xperm.n-01.S rename to riscv-test-suite/rv32i_m/K/src/xperm.n-01.S diff --git a/riscv-test-suite/rv32i_m/K_unratified/src/zip-01.S b/riscv-test-suite/rv32i_m/K/src/zip-01.S similarity index 100% rename from riscv-test-suite/rv32i_m/K_unratified/src/zip-01.S rename to riscv-test-suite/rv32i_m/K/src/zip-01.S From ecbface5563358dccfe2b5382f64d3776a858715 Mon Sep 17 00:00:00 2001 From: phthinh Date: Wed, 8 Dec 2021 16:08:15 +0000 Subject: [PATCH 2/6] K_ext: update for the V.1.0.0 ratified Zk extension spec. --- riscv-test-suite/rv32i_m/K/Makefrag | 5 +- .../K/references/packu-01.reference_output | 272 --- ...ence_output => xperm4-01.reference_output} | 0 ...ence_output => xperm8-01.reference_output} | 0 riscv-test-suite/rv32i_m/K/src/packu-01.S | 1426 --------------- riscv-test-suite/rv32i_m/K/src/xperm.b-01.S | 1516 ---------------- riscv-test-suite/rv32i_m/K/src/xperm.n-01.S | 1531 ----------------- riscv-test-suite/rv32i_m/K/src/xperm4-01.S | 1531 +++++++++++++++++ riscv-test-suite/rv32i_m/K/src/xperm8-01.S | 1516 ++++++++++++++++ 9 files changed, 3049 insertions(+), 4748 deletions(-) delete mode 100644 riscv-test-suite/rv32i_m/K/references/packu-01.reference_output rename riscv-test-suite/rv32i_m/K/references/{xperm.n-01.reference_output => xperm4-01.reference_output} (100%) rename riscv-test-suite/rv32i_m/K/references/{xperm.b-01.reference_output => xperm8-01.reference_output} (100%) delete mode 100644 riscv-test-suite/rv32i_m/K/src/packu-01.S delete mode 100644 riscv-test-suite/rv32i_m/K/src/xperm.b-01.S delete mode 100644 riscv-test-suite/rv32i_m/K/src/xperm.n-01.S create mode 100644 riscv-test-suite/rv32i_m/K/src/xperm4-01.S create mode 100644 riscv-test-suite/rv32i_m/K/src/xperm8-01.S diff --git a/riscv-test-suite/rv32i_m/K/Makefrag b/riscv-test-suite/rv32i_m/K/Makefrag index 11788461a..3ac587bf0 100644 --- a/riscv-test-suite/rv32i_m/K/Makefrag +++ b/riscv-test-suite/rv32i_m/K/Makefrag @@ -38,7 +38,6 @@ rv32k_sc_tests = \ orn-01 \ pack-01 \ packh-01 \ - packu-01 \ rev.b-01 \ rev8-01 \ rol-01 \ @@ -86,8 +85,8 @@ rv32k_sc_tests = \ sm4ks-rwp1 \ unzip-01 \ xnor-01 \ - xperm.b-01 \ - xperm.n-01 \ + xperm4-01 \ + xperm8-01 \ zip-01 \ rv32k_tests = $(addsuffix .elf, $(rv32k_sc_tests)) diff --git a/riscv-test-suite/rv32i_m/K/references/packu-01.reference_output b/riscv-test-suite/rv32i_m/K/references/packu-01.reference_output deleted file mode 100644 index a194e6e2a..000000000 --- a/riscv-test-suite/rv32i_m/K/references/packu-01.reference_output +++ /dev/null @@ -1,272 +0,0 @@ -0857ffff -00004ffe -afc0afc0 -4000af6e -5b135b13 -00000000 -b8009c73 -b4005a69 -3e00ed52 -fb00b5cb -68802932 -b7400000 -5ce08e92 -49f096a3 -53d80a09 -2ec46f6e -8e86236c -6fbfe2ed -354e06fa -00004143 -dffacac7 -45d1df88 -9069bd23 -f5b1f259 -06b65a8e -bfb07a36 -d8381e3c -5c46d4fa -cf7a27a1 -05c20a3e -eec5cb81 -ca71577f -60e39b5e -76f85d3b -fd100000 -7b248000 -56f34000 -7592a000 -b9d31000 -46cba800 -4616e400 -8cca8e00 -9b771300 -6d5f8b80 -06967ec0 -6e1e3da0 -2ded2010 -3c279838 -4f5580f4 -b0ab4356 -42f562a9 -b9f06034 -9bfa5ef6 -989179df -9b81864c -d0d1735c -71992955 -8248a9a5 -eb3dc340 -d7a7394d -d1bac667 -d19e7059 -35d398a5 -70a7d306 -9fcd18a0 -5fefc366 -598b797d -0c04b7e7 -cd41d24f -12035850 -7a9aee8f -2aa82655 -211d0c96 -59dd88f9 -711e6f2b -19835c6c -088b58fc -9a6d636a -37e04ed6 -5e59d2d1 -dd120d77 -872e2311 -55360fb1 -fdd28dfc -30bd7312 -a743c61b -9987ebda -118fc215 -65df75ee -6cbf09c1 -347fa405 -c4ff4990 -41ff3c5b -6bffd95f -87ff2578 -cfff0820 -9fff350c -3fff7966 -7fff51d6 -ffffd5a2 -4f82ff77 -00c2f89a -b1f511b3 -39bec993 -31604b9a -57619541 -09e494b4 -9e03dc8f -7f10b903 -9a7eb494 -59c0e2dd -de45bbaf -40f2ce5c -24493993 -de14eed7 -b808008e -76b112c2 -5dcfe3a5 -47b79b03 -759f5f07 -5b3333cf -2d37709f -fcb6d1bf -1e0bab7f -fb3e7cff -d99559ff -e084dbff -258ef7ff -ff7d6fff -4b6e9fff -d8853fff -bbe87fff -e3d6ffff -97020494 -5cb5f265 -27ef21af -1d1e482e -0fc20f7a -04e96953 -025f043e -017812fa -00a3119b -00497db2 -0025b45f -00184153 -000a1a95 -00071418 -0003f33c -00018dce -00003096 -00009c46 -00002775 -000062d7 -00009317 -00009657 -00007405 -00005e61 -00003e36 -00001304 -00004bdb -00009c3e -0000421e -00002577 -000019af -00002ff3 -0000e286 -97bdc511 -367e6515 -623d24ca -21871c3b -82450a8a -8f2d069c -7ca00355 -19de0174 -ec3f00a4 -164f007e -acc6002c -a1230017 -b57a0009 -e9070004 -af550002 -d8b90001 -1ba10000 -49fe0000 -41050000 -d7180000 -a7a10000 -a9960000 -4b4d0000 -76c40000 -09200000 -87430000 -a66b0000 -fb710000 -a26b0000 -4dab0000 -2fa90000 -965e0000 -c7fd0000 -6d3fffec -946a976a -dc615990 -e42ac96e -f1a2ab85 -fb37d114 -fce5f65e -fede16cb -ff69dbdd -ff9c4bd9 -ffc0cebe -ffeea0e0 -fff03cc2 -fff9754f -fffc7274 -fffedcae -ffff7c2c -ffff9bb4 -ffff17be -ffff109f -ffff00b9 -fffff956 -ffff70fc -ffff6348 -ffff66b0 -ffff7ff8 -ffffe918 -ffffe4ba -ffffde9a -ffff2881 -ffff1475 -ffffe59c -ffffb66b -39426f49 -58fa85d9 -2d14c70a -d230e911 -4d75f4ab -1e96f8bd -ae48fcd7 -6a01fe71 -5943ff1c -ceb5ff89 -c5ecffc8 -99efffe9 -14b9fff2 -a86bfff9 -0820fffd -69b1fffe -807dffff -95a4ffff -735cffff -e5f0ffff -e8daffff -0109ffff -600fffff -fb7fffff -5cd2ffff -accaffff -5ae6ffff -ff1effff -137affff -854affff -cf84ffff -93fdffff -8000afc0 -e0005b13 -90003eea -b740bc5f -fb074143 -00000000 -00000000 -00000000 diff --git a/riscv-test-suite/rv32i_m/K/references/xperm.n-01.reference_output b/riscv-test-suite/rv32i_m/K/references/xperm4-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K/references/xperm.n-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/xperm4-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K/references/xperm.b-01.reference_output b/riscv-test-suite/rv32i_m/K/references/xperm8-01.reference_output similarity index 100% rename from riscv-test-suite/rv32i_m/K/references/xperm.b-01.reference_output rename to riscv-test-suite/rv32i_m/K/references/xperm8-01.reference_output diff --git a/riscv-test-suite/rv32i_m/K/src/packu-01.S b/riscv-test-suite/rv32i_m/K/src/packu-01.S deleted file mode 100644 index c64c0f156..000000000 --- a/riscv-test-suite/rv32i_m/K/src/packu-01.S +++ /dev/null @@ -1,1426 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:54:26 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv32i_k.cgf \ -// --base-isa rv32i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packu instruction of the RISC-V extension for the packu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(1,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(2,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(3,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",packu) - -RVTEST_SIGBASE( x17,signature_x17_1) - -inst_0: -// rs2 == rd != rs1, rs1==x9, rs2==x14, rd==x14, rs1_val == 0xFFFFFFFF and rs2_val == 0x08577EB1 -// opcode: packu ; op1:x9; op2:x14; dest:x14; op1val:0xffffffff; op2val:0x8577eb1 -TEST_RR_OP(packu, x14, x9, x14, 0x00000000, 0xffffffff, 0x8577eb1, x17, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x20, rs2==x23, rd==x20, rs2_val == 0x00000000 and rs1_val == 0x4FFE831A -// opcode: packu ; op1:x20; op2:x23; dest:x20; op1val:0x4ffe831a; op2val:0x0 -TEST_RR_OP(packu, x20, x20, x23, 0x00000000, 0x4ffe831a, 0x0, x17, 4, x7) - -inst_2: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x28, rs2_val == 0x80000000 and rs1_val == 0xAFC08ACE -// opcode: packu ; op1:x8; op2:x8; dest:x28; op1val:0xafc08ace; op2val:0xafc08ace -TEST_RR_OP(packu, x28, x8, x8, 0x00000000, 0xafc08ace, 0xafc08ace, x17, 8, x7) - -inst_3: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x11, rd==x22, rs2_val == 0x40000000 and rs1_val == 0xAF6E9055 -// opcode: packu ; op1:x10; op2:x11; dest:x22; op1val:0xaf6e9055; op2val:0x40000000 -TEST_RR_OP(packu, x22, x10, x11, 0x00000000, 0xaf6e9055, 0x40000000, x17, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x1, rs2==x1, rd==x1, rs2_val == 0xE0000000 and rs1_val == 0x5B130474 -// opcode: packu ; op1:x1; op2:x1; dest:x1; op1val:0x5b130474; op2val:0x5b130474 -TEST_RR_OP(packu, x1, x1, x1, 0x00000000, 0x5b130474, 0x5b130474, x17, 16, x7) - -inst_5: -// rs1==x5, rs2==x16, rd==x0, rs2_val == 0x90000000 and rs1_val == 0x3EEA126E -// opcode: packu ; op1:x5; op2:x16; dest:x0; op1val:0x3eea126e; op2val:0x90000000 -TEST_RR_OP(packu, x0, x5, x16, 0x00000000, 0x3eea126e, 0x90000000, x17, 20, x7) - -inst_6: -// rs1==x21, rs2==x18, rd==x6, rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 -// opcode: packu ; op1:x21; op2:x18; dest:x6; op1val:0x9c734d77; op2val:0xb8000000 -TEST_RR_OP(packu, x6, x21, x18, 0x00000000, 0x9c734d77, 0xb8000000, x17, 24, x7) - -inst_7: -// rs1==x19, rs2==x12, rd==x23, rs2_val == 0xB4000000 and rs1_val == 0x5A694BCA -// opcode: packu ; op1:x19; op2:x12; dest:x23; op1val:0x5a694bca; op2val:0xb4000000 -TEST_RR_OP(packu, x23, x19, x12, 0x00000000, 0x5a694bca, 0xb4000000, x17, 28, x7) - -inst_8: -// rs1==x31, rs2==x13, rd==x11, rs2_val == 0x3E000000 and rs1_val == 0xED52E4CA -// opcode: packu ; op1:x31; op2:x13; dest:x11; op1val:0xed52e4ca; op2val:0x3e000000 -TEST_RR_OP(packu, x11, x31, x13, 0x00000000, 0xed52e4ca, 0x3e000000, x17, 32, x7) - -inst_9: -// rs1==x12, rs2==x25, rd==x4, rs2_val == 0xFB000000 and rs1_val == 0xB5CB2A93 -// opcode: packu ; op1:x12; op2:x25; dest:x4; op1val:0xb5cb2a93; op2val:0xfb000000 -TEST_RR_OP(packu, x4, x12, x25, 0x00000000, 0xb5cb2a93, 0xfb000000, x17, 36, x7) - -inst_10: -// rs1==x15, rs2==x6, rd==x16, rs2_val == 0x68800000 and rs1_val == 0x29324E16 -// opcode: packu ; op1:x15; op2:x6; dest:x16; op1val:0x29324e16; op2val:0x68800000 -TEST_RR_OP(packu, x16, x15, x6, 0x00000000, 0x29324e16, 0x68800000, x17, 40, x7) - -inst_11: -// rs1==x0, rs2==x15, rd==x12, rs2_val == 0xB7400000 and rs1_val == 0xBC5FB419 -// opcode: packu ; op1:x0; op2:x15; dest:x12; op1val:0x0; op2val:0xb7400000 -TEST_RR_OP(packu, x12, x0, x15, 0x00000000, 0x0, 0xb7400000, x17, 44, x7) - -inst_12: -// rs1==x16, rs2==x3, rd==x13, rs2_val == 0x5CE00000 and rs1_val == 0x8E92E1B8 -// opcode: packu ; op1:x16; op2:x3; dest:x13; op1val:0x8e92e1b8; op2val:0x5ce00000 -TEST_RR_OP(packu, x13, x16, x3, 0x00000000, 0x8e92e1b8, 0x5ce00000, x17, 48, x7) - -inst_13: -// rs1==x18, rs2==x2, rd==x29, rs2_val == 0x49F00000 and rs1_val == 0x96A3B48B -// opcode: packu ; op1:x18; op2:x2; dest:x29; op1val:0x96a3b48b; op2val:0x49f00000 -TEST_RR_OP(packu, x29, x18, x2, 0x00000000, 0x96a3b48b, 0x49f00000, x17, 52, x7) - -inst_14: -// rs1==x4, rs2==x30, rd==x18, rs2_val == 0x53D80000 and rs1_val == 0x0A095049 -// opcode: packu ; op1:x4; op2:x30; dest:x18; op1val:0xa095049; op2val:0x53d80000 -TEST_RR_OP(packu, x18, x4, x30, 0x00000000, 0xa095049, 0x53d80000, x17, 56, x7) - -inst_15: -// rs1==x30, rs2==x22, rd==x2, rs2_val == 0x2EC40000 and rs1_val == 0x6F6E71B7 -// opcode: packu ; op1:x30; op2:x22; dest:x2; op1val:0x6f6e71b7; op2val:0x2ec40000 -TEST_RR_OP(packu, x2, x30, x22, 0x00000000, 0x6f6e71b7, 0x2ec40000, x17, 60, x7) - -inst_16: -// rs1==x23, rs2==x26, rd==x31, rs2_val == 0x8E860000 and rs1_val == 0x236CC43D -// opcode: packu ; op1:x23; op2:x26; dest:x31; op1val:0x236cc43d; op2val:0x8e860000 -TEST_RR_OP(packu, x31, x23, x26, 0x00000000, 0x236cc43d, 0x8e860000, x17, 64, x7) - -inst_17: -// rs1==x11, rs2==x4, rd==x15, rs2_val == 0x6FBF0000 and rs1_val == 0xE2ED8971 -// opcode: packu ; op1:x11; op2:x4; dest:x15; op1val:0xe2ed8971; op2val:0x6fbf0000 -TEST_RR_OP(packu, x15, x11, x4, 0x00000000, 0xe2ed8971, 0x6fbf0000, x17, 68, x16) - -inst_18: -// rs1==x29, rs2==x7, rd==x24, rs2_val == 0x354E8000 and rs1_val == 0x06FA7B3E -// opcode: packu ; op1:x29; op2:x7; dest:x24; op1val:0x6fa7b3e; op2val:0x354e8000 -TEST_RR_OP(packu, x24, x29, x7, 0x00000000, 0x6fa7b3e, 0x354e8000, x17, 72, x16) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_19: -// rs1==x17, rs2==x0, rd==x7, rs2_val == 0xFB07C000 and rs1_val == 0x4143DA51 -// opcode: packu ; op1:x17; op2:x0; dest:x7; op1val:0x4143da51; op2val:0x0 -TEST_RR_OP(packu, x7, x17, x0, 0x00000000, 0x4143da51, 0x0, x1, 0, x16) - -inst_20: -// rs1==x7, rs2==x17, rd==x10, rs2_val == 0xDFFA2000 and rs1_val == 0xCAC78511 -// opcode: packu ; op1:x7; op2:x17; dest:x10; op1val:0xcac78511; op2val:0xdffa2000 -TEST_RR_OP(packu, x10, x7, x17, 0x00000000, 0xcac78511, 0xdffa2000, x1, 4, x16) - -inst_21: -// rs1==x27, rs2==x24, rd==x5, rs2_val == 0x45D1F000 and rs1_val == 0xDF880B11 -// opcode: packu ; op1:x27; op2:x24; dest:x5; op1val:0xdf880b11; op2val:0x45d1f000 -TEST_RR_OP(packu, x5, x27, x24, 0x00000000, 0xdf880b11, 0x45d1f000, x1, 8, x16) - -inst_22: -// rs1==x26, rs2==x28, rd==x3, rs2_val == 0x9069A800 and rs1_val == 0xBD230058 -// opcode: packu ; op1:x26; op2:x28; dest:x3; op1val:0xbd230058; op2val:0x9069a800 -TEST_RR_OP(packu, x3, x26, x28, 0x00000000, 0xbd230058, 0x9069a800, x1, 12, x16) - -inst_23: -// rs1==x6, rs2==x9, rd==x27, rs2_val == 0xF5B1B400 and rs1_val == 0xF2597377 -// opcode: packu ; op1:x6; op2:x9; dest:x27; op1val:0xf2597377; op2val:0xf5b1b400 -TEST_RR_OP(packu, x27, x6, x9, 0x00000000, 0xf2597377, 0xf5b1b400, x1, 16, x16) - -inst_24: -// rs1==x22, rs2==x31, rd==x8, rs2_val == 0x06B6DA00 and rs1_val == 0x5A8E7F31 -// opcode: packu ; op1:x22; op2:x31; dest:x8; op1val:0x5a8e7f31; op2val:0x6b6da00 -TEST_RR_OP(packu, x8, x22, x31, 0x00000000, 0x5a8e7f31, 0x6b6da00, x1, 20, x16) - -inst_25: -// rs1==x25, rs2==x19, rd==x9, rs2_val == 0xBFB0F100 and rs1_val == 0x7A3621F5 -// opcode: packu ; op1:x25; op2:x19; dest:x9; op1val:0x7a3621f5; op2val:0xbfb0f100 -TEST_RR_OP(packu, x9, x25, x19, 0x00000000, 0x7a3621f5, 0xbfb0f100, x1, 24, x16) - -inst_26: -// rs1==x28, rs2==x27, rd==x17, rs2_val == 0xD838C880 and rs1_val == 0x1E3C492C -// opcode: packu ; op1:x28; op2:x27; dest:x17; op1val:0x1e3c492c; op2val:0xd838c880 -TEST_RR_OP(packu, x17, x28, x27, 0x00000000, 0x1e3c492c, 0xd838c880, x1, 28, x16) - -inst_27: -// rs1==x2, rs2==x29, rd==x19, rs2_val == 0x5C46AEC0 and rs1_val == 0xD4FAF4B1 -// opcode: packu ; op1:x2; op2:x29; dest:x19; op1val:0xd4faf4b1; op2val:0x5c46aec0 -TEST_RR_OP(packu, x19, x2, x29, 0x00000000, 0xd4faf4b1, 0x5c46aec0, x1, 32, x16) - -inst_28: -// rs1==x13, rs2==x5, rd==x30, rs2_val == 0xCF7AC620 and rs1_val == 0x27A16894 -// opcode: packu ; op1:x13; op2:x5; dest:x30; op1val:0x27a16894; op2val:0xcf7ac620 -TEST_RR_OP(packu, x30, x13, x5, 0x00000000, 0x27a16894, 0xcf7ac620, x1, 36, x16) - -inst_29: -// rs1==x14, rs2==x10, rd==x26, rs2_val == 0x05C2F650 and rs1_val == 0x0A3EF19E -// opcode: packu ; op1:x14; op2:x10; dest:x26; op1val:0xa3ef19e; op2val:0x5c2f650 -TEST_RR_OP(packu, x26, x14, x10, 0x00000000, 0xa3ef19e, 0x5c2f650, x1, 40, x16) - -inst_30: -// rs1==x24, rs2==x21, rd==x25, rs2_val == 0xEEC50588 and rs1_val == 0xCB8193EF -// opcode: packu ; op1:x24; op2:x21; dest:x25; op1val:0xcb8193ef; op2val:0xeec50588 -TEST_RR_OP(packu, x25, x24, x21, 0x00000000, 0xcb8193ef, 0xeec50588, x1, 44, x16) - -inst_31: -// rs1==x3, rs2==x20, rd==x21, rs2_val == 0xCA7160CC and rs1_val == 0x577F8847 -// opcode: packu ; op1:x3; op2:x20; dest:x21; op1val:0x577f8847; op2val:0xca7160cc -TEST_RR_OP(packu, x21, x3, x20, 0x00000000, 0x577f8847, 0xca7160cc, x1, 48, x16) - -inst_32: -// rs2_val == 0x60E30DA2 and rs1_val == 0x9B5EAF0A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9b5eaf0a; op2val:0x60e30da2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9b5eaf0a, 0x60e30da2, x1, 52, x16) - -inst_33: -// rs2_val == 0x76F86039 and rs1_val == 0x5D3BBCE0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5d3bbce0; op2val:0x76f86039 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5d3bbce0, 0x76f86039, x1, 56, x2) - -inst_34: -// rs1_val == 0x00000000 and rs2_val == 0xFD1032E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfd1032e8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x0, 0xfd1032e8, x1, 60, x2) - -inst_35: -// rs1_val == 0x80000000 and rs2_val == 0x7B246C17 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x7b246c17 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x80000000, 0x7b246c17, x1, 64, x2) - -inst_36: -// rs1_val == 0x40000000 and rs2_val == 0x56F3EEF1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x56f3eef1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x40000000, 0x56f3eef1, x1, 68, x2) - -inst_37: -// rs1_val == 0xA0000000 and rs2_val == 0x75923260 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa0000000; op2val:0x75923260 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa0000000, 0x75923260, x1, 72, x2) - -inst_38: -// rs1_val == 0x10000000 and rs2_val == 0xB9D3087C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb9d3087c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x10000000, 0xb9d3087c, x1, 76, x2) - -inst_39: -// rs1_val == 0xA8000000 and rs2_val == 0x46CBD355 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa8000000; op2val:0x46cbd355 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa8000000, 0x46cbd355, x1, 80, x2) - -inst_40: -// rs1_val == 0xE4000000 and rs2_val == 0x4616E73D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe4000000; op2val:0x4616e73d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe4000000, 0x4616e73d, x1, 84, x2) - -inst_41: -// rs1_val == 0x8E000000 and rs2_val == 0x8CCAEC71 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8e000000; op2val:0x8ccaec71 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x8e000000, 0x8ccaec71, x1, 88, x2) - -inst_42: -// rs1_val == 0x13000000 and rs2_val == 0x9B774054 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x13000000; op2val:0x9b774054 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x13000000, 0x9b774054, x1, 92, x2) - -inst_43: -// rs1_val == 0x8B800000 and rs2_val == 0x6D5FCD18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8b800000; op2val:0x6d5fcd18 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x8b800000, 0x6d5fcd18, x1, 96, x2) - -inst_44: -// rs1_val == 0x7EC00000 and rs2_val == 0x0696F561 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7ec00000; op2val:0x696f561 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7ec00000, 0x696f561, x1, 100, x2) - -inst_45: -// rs1_val == 0x3DA00000 and rs2_val == 0x6E1E98E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3da00000; op2val:0x6e1e98e2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3da00000, 0x6e1e98e2, x1, 104, x2) - -inst_46: -// rs1_val == 0x20100000 and rs2_val == 0x2DEDB6A7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x20100000; op2val:0x2dedb6a7 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x20100000, 0x2dedb6a7, x1, 108, x2) - -inst_47: -// rs1_val == 0x98380000 and rs2_val == 0x3C272728 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x98380000; op2val:0x3c272728 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x98380000, 0x3c272728, x1, 112, x2) - -inst_48: -// rs1_val == 0x80F40000 and rs2_val == 0x4F55C73D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x80f40000; op2val:0x4f55c73d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x80f40000, 0x4f55c73d, x1, 116, x2) - -inst_49: -// rs1_val == 0x43560000 and rs2_val == 0xB0AB577A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x43560000; op2val:0xb0ab577a -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x43560000, 0xb0ab577a, x1, 120, x2) - -inst_50: -// rs1_val == 0x62A90000 and rs2_val == 0x42F5D75E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x62a90000; op2val:0x42f5d75e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x62a90000, 0x42f5d75e, x1, 124, x2) - -inst_51: -// rs1_val == 0x60348000 and rs2_val == 0xB9F09825 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x60348000; op2val:0xb9f09825 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x60348000, 0xb9f09825, x1, 128, x2) - -inst_52: -// rs1_val == 0x5EF6C000 and rs2_val == 0x9BFAD94F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5ef6c000; op2val:0x9bfad94f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5ef6c000, 0x9bfad94f, x1, 132, x2) - -inst_53: -// rs1_val == 0x79DF6000 and rs2_val == 0x98918DD8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x79df6000; op2val:0x98918dd8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x79df6000, 0x98918dd8, x1, 136, x2) - -inst_54: -// rs1_val == 0x864C1000 and rs2_val == 0x9B811F47 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x864c1000; op2val:0x9b811f47 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x864c1000, 0x9b811f47, x1, 140, x2) - -inst_55: -// rs1_val == 0x735CB800 and rs2_val == 0xD0D18FB0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x735cb800; op2val:0xd0d18fb0 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x735cb800, 0xd0d18fb0, x1, 144, x2) - -inst_56: -// rs1_val == 0x29554400 and rs2_val == 0x71992790 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x29554400; op2val:0x71992790 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x29554400, 0x71992790, x1, 148, x2) - -inst_57: -// rs1_val == 0xA9A56A00 and rs2_val == 0x8248F803 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa9a56a00; op2val:0x8248f803 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa9a56a00, 0x8248f803, x1, 152, x2) - -inst_58: -// rs1_val == 0xC3405D00 and rs2_val == 0xEB3D7873 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc3405d00; op2val:0xeb3d7873 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc3405d00, 0xeb3d7873, x1, 156, x2) - -inst_59: -// rs1_val == 0x394D8080 and rs2_val == 0xD7A7BF5E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x394d8080; op2val:0xd7a7bf5e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x394d8080, 0xd7a7bf5e, x1, 160, x2) - -inst_60: -// rs1_val == 0xC6677840 and rs2_val == 0xD1BA5C0F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc6677840; op2val:0xd1ba5c0f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc6677840, 0xd1ba5c0f, x1, 164, x2) - -inst_61: -// rs1_val == 0x70598E60 and rs2_val == 0xD19E3224 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x70598e60; op2val:0xd19e3224 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x70598e60, 0xd19e3224, x1, 168, x2) - -inst_62: -// rs1_val == 0x98A59F90 and rs2_val == 0x35D30D74 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x98a59f90; op2val:0x35d30d74 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x98a59f90, 0x35d30d74, x1, 172, x2) - -inst_63: -// rs1_val == 0xD306DEB8 and rs2_val == 0x70A76E49 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd306deb8; op2val:0x70a76e49 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd306deb8, 0x70a76e49, x1, 176, x2) - -inst_64: -// rs1_val == 0x18A01374 and rs2_val == 0x9FCDB9E1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x18a01374; op2val:0x9fcdb9e1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x18a01374, 0x9fcdb9e1, x1, 180, x2) - -inst_65: -// rs1_val == 0xC3667402 and rs2_val == 0x5FEFE911 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc3667402; op2val:0x5fefe911 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc3667402, 0x5fefe911, x1, 184, x2) - -inst_66: -// rs1_val == 0x797D76DF and rs2_val == 0x598B88DB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x797d76df; op2val:0x598b88db -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x797d76df, 0x598b88db, x1, 188, x2) - -inst_67: -// rs2_val == 0x0C04F662 and rs1_val == 0xB7E7669E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e; op2val:0xc04f662 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xb7e7669e, 0xc04f662, x1, 192, x2) - -inst_68: -// rs2_val == 0xCD41CAD1 and rs1_val == 0xD24F0724 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd24f0724; op2val:0xcd41cad1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd24f0724, 0xcd41cad1, x1, 196, x2) - -inst_69: -// rs2_val == 0x1203965B and rs1_val == 0x585022A3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x585022a3; op2val:0x1203965b -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x585022a3, 0x1203965b, x1, 200, x2) - -inst_70: -// rs2_val == 0x7A9AC0A7 and rs1_val == 0xEE8F948A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xee8f948a; op2val:0x7a9ac0a7 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xee8f948a, 0x7a9ac0a7, x1, 204, x2) - -inst_71: -// rs2_val == 0x2AA8E42F and rs1_val == 0x2655FA99 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2655fa99; op2val:0x2aa8e42f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x2655fa99, 0x2aa8e42f, x1, 208, x2) - -inst_72: -// rs2_val == 0x211D785F and rs1_val == 0x0C96A183 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc96a183; op2val:0x211d785f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc96a183, 0x211d785f, x1, 212, x2) - -inst_73: -// rs2_val == 0x59DDE33F and rs1_val == 0x88F931F4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x88f931f4; op2val:0x59dde33f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x88f931f4, 0x59dde33f, x1, 216, x2) - -inst_74: -// rs2_val == 0x711E627F and rs1_val == 0x6F2BF862 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6f2bf862; op2val:0x711e627f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x6f2bf862, 0x711e627f, x1, 220, x2) - -inst_75: -// rs2_val == 0x19835AFF and rs1_val == 0x5C6C32A5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5c6c32a5; op2val:0x19835aff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5c6c32a5, 0x19835aff, x1, 224, x2) - -inst_76: -// rs2_val == 0x088B3DFF and rs1_val == 0x58FC0342 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x58fc0342; op2val:0x88b3dff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x58fc0342, 0x88b3dff, x1, 228, x2) - -inst_77: -// rs2_val == 0x9A6DA3FF and rs1_val == 0x636A75E3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e3; op2val:0x9a6da3ff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x636a75e3, 0x9a6da3ff, x1, 232, x2) - -inst_78: -// rs2_val == 0x37E0D7FF and rs1_val == 0x4ED62428 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4ed62428; op2val:0x37e0d7ff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x4ed62428, 0x37e0d7ff, x1, 236, x2) - -inst_79: -// rs2_val == 0x5E59CFFF and rs1_val == 0xD2D12745 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd2d12745; op2val:0x5e59cfff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd2d12745, 0x5e59cfff, x1, 240, x2) - -inst_80: -// rs2_val == 0xDD129FFF and rs1_val == 0x0D770F3C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd770f3c; op2val:0xdd129fff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd770f3c, 0xdd129fff, x1, 244, x2) - -inst_81: -// rs2_val == 0x872EBFFF and rs1_val == 0x2311ACFB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2311acfb; op2val:0x872ebfff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x2311acfb, 0x872ebfff, x1, 248, x2) - -inst_82: -// rs2_val == 0x55367FFF and rs1_val == 0x0FB13BBC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfb13bbc; op2val:0x55367fff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfb13bbc, 0x55367fff, x1, 252, x2) - -inst_83: -// rs2_val == 0xFDD2FFFF and rs1_val == 0x8DFC2307 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8dfc2307; op2val:0xfdd2ffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x8dfc2307, 0xfdd2ffff, x1, 256, x2) - -inst_84: -// rs2_val == 0x30BDFFFF and rs1_val == 0x7312BE6D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7312be6d; op2val:0x30bdffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7312be6d, 0x30bdffff, x1, 260, x2) - -inst_85: -// rs2_val == 0xA743FFFF and rs1_val == 0xC61B1FBF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf; op2val:0xa743ffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc61b1fbf, 0xa743ffff, x1, 264, x2) - -inst_86: -// rs2_val == 0x9987FFFF and rs1_val == 0xEBDA5A4F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xebda5a4f; op2val:0x9987ffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xebda5a4f, 0x9987ffff, x1, 268, x2) - -inst_87: -// rs2_val == 0x118FFFFF and rs1_val == 0xC215E193 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc215e193; op2val:0x118fffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc215e193, 0x118fffff, x1, 272, x2) - -inst_88: -// rs2_val == 0x65DFFFFF and rs1_val == 0x75EE935F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x75ee935f; op2val:0x65dfffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x75ee935f, 0x65dfffff, x1, 276, x2) - -inst_89: -// rs2_val == 0x6CBFFFFF and rs1_val == 0x09C16162 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c16162; op2val:0x6cbfffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9c16162, 0x6cbfffff, x1, 280, x2) - -inst_90: -// rs2_val == 0x347FFFFF and rs1_val == 0xA4053175 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa4053175; op2val:0x347fffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa4053175, 0x347fffff, x1, 284, x2) - -inst_91: -// rs2_val == 0xC4FFFFFF and rs1_val == 0x499006C8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x499006c8; op2val:0xc4ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x499006c8, 0xc4ffffff, x1, 288, x2) - -inst_92: -// rs2_val == 0x41FFFFFF and rs1_val == 0x3C5B3EEE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3c5b3eee; op2val:0x41ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3c5b3eee, 0x41ffffff, x1, 292, x2) - -inst_93: -// rs2_val == 0x6BFFFFFF and rs1_val == 0xD95FD86A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd95fd86a; op2val:0x6bffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd95fd86a, 0x6bffffff, x1, 296, x2) - -inst_94: -// rs2_val == 0x87FFFFFF and rs1_val == 0x25784F4F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x25784f4f; op2val:0x87ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x25784f4f, 0x87ffffff, x1, 300, x2) - -inst_95: -// rs2_val == 0xCFFFFFFF and rs1_val == 0x082018FA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x82018fa; op2val:0xcfffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x82018fa, 0xcfffffff, x1, 304, x2) - -inst_96: -// rs2_val == 0x9FFFFFFF and rs1_val == 0x350CC530 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x350cc530; op2val:0x9fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x350cc530, 0x9fffffff, x1, 308, x2) - -inst_97: -// rs2_val == 0x3FFFFFFF and rs1_val == 0x7966A24E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7966a24e; op2val:0x3fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7966a24e, 0x3fffffff, x1, 312, x2) - -inst_98: -// rs2_val == 0x7FFFFFFF and rs1_val == 0x51D6D6DA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x51d6d6da; op2val:0x7fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x51d6d6da, 0x7fffffff, x1, 316, x2) - -inst_99: -// rs2_val == 0xFFFFFFFF and rs1_val == 0xD5A2038F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2038f; op2val:0xffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd5a2038f, 0xffffffff, x1, 320, x2) - -inst_100: -// rs1_val == 0xFF7746E6 and rs2_val == 0x4F829B65 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff7746e6; op2val:0x4f829b65 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xff7746e6, 0x4f829b65, x1, 324, x2) - -inst_101: -// rs1_val == 0xF89A7241 and rs2_val == 0x00C2F091 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf89a7241; op2val:0xc2f091 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf89a7241, 0xc2f091, x1, 328, x2) - -inst_102: -// rs1_val == 0x11B36A93 and rs2_val == 0xB1F5D853 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x11b36a93; op2val:0xb1f5d853 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x11b36a93, 0xb1f5d853, x1, 332, x2) - -inst_103: -// rs1_val == 0xC9932457 and rs2_val == 0x39BE2172 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc9932457; op2val:0x39be2172 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc9932457, 0x39be2172, x1, 336, x2) - -inst_104: -// rs1_val == 0x4B9A6C8F and rs2_val == 0x316039EE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4b9a6c8f; op2val:0x316039ee -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x4b9a6c8f, 0x316039ee, x1, 340, x2) - -inst_105: -// rs1_val == 0x9541241F and rs2_val == 0x5761A866 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9541241f; op2val:0x5761a866 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9541241f, 0x5761a866, x1, 344, x2) - -inst_106: -// rs1_val == 0x94B431BF and rs2_val == 0x09E4D1F4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x94b431bf; op2val:0x9e4d1f4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x94b431bf, 0x9e4d1f4, x1, 348, x2) - -inst_107: -// rs1_val == 0xDC8FE97F and rs2_val == 0x9E03793F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdc8fe97f; op2val:0x9e03793f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xdc8fe97f, 0x9e03793f, x1, 352, x2) - -inst_108: -// rs1_val == 0xB903CEFF and rs2_val == 0x7F1071EC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb903ceff; op2val:0x7f1071ec -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xb903ceff, 0x7f1071ec, x1, 356, x2) - -inst_109: -// rs1_val == 0xB494A5FF and rs2_val == 0x9A7EF9E4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb494a5ff; op2val:0x9a7ef9e4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xb494a5ff, 0x9a7ef9e4, x1, 360, x2) - -inst_110: -// rs1_val == 0xE2DD83FF and rs2_val == 0x59C05BB9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe2dd83ff; op2val:0x59c05bb9 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe2dd83ff, 0x59c05bb9, x1, 364, x2) - -inst_111: -// rs1_val == 0xBBAFD7FF and rs2_val == 0xDE451397 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbbafd7ff; op2val:0xde451397 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xbbafd7ff, 0xde451397, x1, 368, x2) - -inst_112: -// rs1_val == 0xCE5C4FFF and rs2_val == 0x40F27005 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xce5c4fff; op2val:0x40f27005 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xce5c4fff, 0x40f27005, x1, 372, x2) - -inst_113: -// rs1_val == 0x39935FFF and rs2_val == 0x24496FE3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x39935fff; op2val:0x24496fe3 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x39935fff, 0x24496fe3, x1, 376, x2) - -inst_114: -// rs1_val == 0xEED7BFFF and rs2_val == 0xDE14BFF2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeed7bfff; op2val:0xde14bff2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xeed7bfff, 0xde14bff2, x1, 380, x2) - -inst_115: -// rs1_val == 0x008E7FFF and rs2_val == 0xB808A677 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8e7fff; op2val:0xb808a677 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x8e7fff, 0xb808a677, x1, 384, x2) - -inst_116: -// rs1_val == 0x12C2FFFF and rs2_val == 0x76B1FD3D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x12c2ffff; op2val:0x76b1fd3d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x12c2ffff, 0x76b1fd3d, x1, 388, x2) - -inst_117: -// rs1_val == 0xE3A5FFFF and rs2_val == 0x5DCF019D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe3a5ffff; op2val:0x5dcf019d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe3a5ffff, 0x5dcf019d, x1, 392, x2) - -inst_118: -// rs1_val == 0x9B03FFFF and rs2_val == 0x47B7097B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9b03ffff; op2val:0x47b7097b -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9b03ffff, 0x47b7097b, x1, 396, x2) - -inst_119: -// rs1_val == 0x5F07FFFF and rs2_val == 0x759F1B43 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5f07ffff; op2val:0x759f1b43 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5f07ffff, 0x759f1b43, x1, 400, x2) - -inst_120: -// rs1_val == 0x33CFFFFF and rs2_val == 0x5B331999 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x33cfffff; op2val:0x5b331999 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x33cfffff, 0x5b331999, x1, 404, x2) - -inst_121: -// rs1_val == 0x709FFFFF and rs2_val == 0x2D37DE81 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x709fffff; op2val:0x2d37de81 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x709fffff, 0x2d37de81, x1, 408, x2) - -inst_122: -// rs1_val == 0xD1BFFFFF and rs2_val == 0xFCB627AF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd1bfffff; op2val:0xfcb627af -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd1bfffff, 0xfcb627af, x1, 412, x2) - -inst_123: -// rs1_val == 0xAB7FFFFF and rs2_val == 0x1E0B4EE5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xab7fffff; op2val:0x1e0b4ee5 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xab7fffff, 0x1e0b4ee5, x1, 416, x2) - -inst_124: -// rs1_val == 0x7CFFFFFF and rs2_val == 0xFB3E7196 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7cffffff; op2val:0xfb3e7196 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7cffffff, 0xfb3e7196, x1, 420, x2) - -inst_125: -// rs1_val == 0x59FFFFFF and rs2_val == 0xD9959A62 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x59ffffff; op2val:0xd9959a62 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x59ffffff, 0xd9959a62, x1, 424, x2) - -inst_126: -// rs1_val == 0xDBFFFFFF and rs2_val == 0xE08409F0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdbffffff; op2val:0xe08409f0 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xdbffffff, 0xe08409f0, x1, 428, x2) - -inst_127: -// rs1_val == 0xF7FFFFFF and rs2_val == 0x258ECECB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0x258ececb -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf7ffffff, 0x258ececb, x1, 432, x2) - -inst_128: -// rs1_val == 0x6FFFFFFF and rs2_val == 0xFF7D5EC0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6fffffff; op2val:0xff7d5ec0 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x6fffffff, 0xff7d5ec0, x1, 436, x2) - -inst_129: -// rs1_val == 0x9FFFFFFF and rs2_val == 0x4B6EA010 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9fffffff; op2val:0x4b6ea010 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9fffffff, 0x4b6ea010, x1, 440, x2) - -inst_130: -// rs1_val == 0x3FFFFFFF and rs2_val == 0xD885BBAC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0xd885bbac -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3fffffff, 0xd885bbac, x1, 444, x2) - -inst_131: -// rs1_val == 0x7FFFFFFF and rs2_val == 0xBBE8F88D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xbbe8f88d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7fffffff, 0xbbe8f88d, x1, 448, x2) - -inst_132: -// rs1_val == 0xFFFFFFFF and rs2_val == 0xE3D6E4B9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xe3d6e4b9 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffffff, 0xe3d6e4b9, x1, 452, x2) - -inst_133: -// rs2_val == 0x970216FD and rs1_val == 0x0494B6D2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x494b6d2; op2val:0x970216fd -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x494b6d2, 0x970216fd, x1, 456, x2) - -inst_134: -// rs2_val == 0x5CB58B8F and rs1_val == 0xF2650B71 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf2650b71; op2val:0x5cb58b8f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf2650b71, 0x5cb58b8f, x1, 460, x2) - -inst_135: -// rs2_val == 0x27EFDA6C and rs1_val == 0x21AF214A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x21af214a; op2val:0x27efda6c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x21af214a, 0x27efda6c, x1, 464, x2) - -inst_136: -// rs2_val == 0x1D1EF7C0 and rs1_val == 0x482EA760 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x482ea760; op2val:0x1d1ef7c0 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x482ea760, 0x1d1ef7c0, x1, 468, x2) - -inst_137: -// rs2_val == 0x0FC2A909 and rs1_val == 0x0F7A0443 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf7a0443; op2val:0xfc2a909 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf7a0443, 0xfc2a909, x1, 472, x2) - -inst_138: -// rs2_val == 0x04E9E4A6 and rs1_val == 0x69534048 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x69534048; op2val:0x4e9e4a6 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x69534048, 0x4e9e4a6, x1, 476, x2) - -inst_139: -// rs2_val == 0x025FDCD7 and rs1_val == 0x043E3EF5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x43e3ef5; op2val:0x25fdcd7 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x43e3ef5, 0x25fdcd7, x1, 480, x2) - -inst_140: -// rs2_val == 0x01782EBC and rs1_val == 0x12FAD802 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x12fad802; op2val:0x1782ebc -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x12fad802, 0x1782ebc, x1, 484, x2) - -inst_141: -// rs2_val == 0x00A39575 and rs1_val == 0x119B4FE5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x119b4fe5; op2val:0xa39575 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x119b4fe5, 0xa39575, x1, 488, x2) - -inst_142: -// rs2_val == 0x0049886F and rs1_val == 0x7DB224CB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7db224cb; op2val:0x49886f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7db224cb, 0x49886f, x1, 492, x2) - -inst_143: -// rs2_val == 0x0025693C and rs1_val == 0xB45F51C3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb45f51c3; op2val:0x25693c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xb45f51c3, 0x25693c, x1, 496, x2) - -inst_144: -// rs2_val == 0x0018031A and rs1_val == 0x41536363 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x41536363; op2val:0x18031a -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x41536363, 0x18031a, x1, 500, x2) - -inst_145: -// rs2_val == 0x000A8267 and rs1_val == 0x1A953CCA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1a953cca; op2val:0xa8267 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x1a953cca, 0xa8267, x1, 504, x2) - -inst_146: -// rs2_val == 0x00073010 and rs1_val == 0x14186EBF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x14186ebf; op2val:0x73010 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x14186ebf, 0x73010, x1, 508, x2) - -inst_147: -// rs2_val == 0x00038734 and rs1_val == 0xF33C1A7F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf33c1a7f; op2val:0x38734 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf33c1a7f, 0x38734, x1, 512, x2) - -inst_148: -// rs2_val == 0x0001EAB1 and rs1_val == 0x8DCE6F52 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8dce6f52; op2val:0x1eab1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x8dce6f52, 0x1eab1, x1, 516, x2) - -inst_149: -// rs2_val == 0x0000B8EC and rs1_val == 0x3096C6C8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3096c6c8; op2val:0xb8ec -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3096c6c8, 0xb8ec, x1, 520, x2) - -inst_150: -// rs2_val == 0x00007530 and rs1_val == 0x9C461CB5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c461cb5; op2val:0x7530 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9c461cb5, 0x7530, x1, 524, x2) - -inst_151: -// rs2_val == 0x00003ED5 and rs1_val == 0x27756991 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x27756991; op2val:0x3ed5 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x27756991, 0x3ed5, x1, 528, x2) - -inst_152: -// rs2_val == 0x00001055 and rs1_val == 0x62D74145 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x62d74145; op2val:0x1055 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x62d74145, 0x1055, x1, 532, x2) - -inst_153: -// rs2_val == 0x00000E9E and rs1_val == 0x931719FD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x931719fd; op2val:0xe9e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x931719fd, 0xe9e, x1, 536, x2) - -inst_154: -// rs2_val == 0x0000059B and rs1_val == 0x965768E0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x965768e0; op2val:0x59b -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x965768e0, 0x59b, x1, 540, x2) - -inst_155: -// rs2_val == 0x00000208 and rs1_val == 0x74057241 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x74057241; op2val:0x208 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x74057241, 0x208, x1, 544, x2) - -inst_156: -// rs2_val == 0x000001E8 and rs1_val == 0x5E617F8E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5e617f8e; op2val:0x1e8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5e617f8e, 0x1e8, x1, 548, x2) - -inst_157: -// rs2_val == 0x000000D2 and rs1_val == 0x3E361858 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3e361858; op2val:0xd2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3e361858, 0xd2, x1, 552, x2) - -inst_158: -// rs2_val == 0x00000071 and rs1_val == 0x13041452 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x13041452; op2val:0x71 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x13041452, 0x71, x1, 556, x2) - -inst_159: -// rs2_val == 0x00000034 and rs1_val == 0x4BDBF090 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4bdbf090; op2val:0x34 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x4bdbf090, 0x34, x1, 560, x2) - -inst_160: -// rs2_val == 0x00000019 and rs1_val == 0x9C3ECB54 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c3ecb54; op2val:0x19 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9c3ecb54, 0x19, x1, 564, x2) - -inst_161: -// rs2_val == 0x0000000B and rs1_val == 0x421E7A60 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x421e7a60; op2val:0xb -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x421e7a60, 0xb, x1, 568, x2) - -inst_162: -// rs2_val == 0x00000005 and rs1_val == 0x2577C1EC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2577c1ec; op2val:0x5 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x2577c1ec, 0x5, x1, 572, x2) - -inst_163: -// rs2_val == 0x00000002 and rs1_val == 0x19AF685D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19af685d; op2val:0x2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x19af685d, 0x2, x1, 576, x2) - -inst_164: -// rs2_val == 0x00000001 and rs1_val == 0x2FF36007 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2ff36007; op2val:0x1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x2ff36007, 0x1, x1, 580, x2) - -inst_165: -// rs2_val == 0x00000000 and rs1_val == 0xE286852C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe286852c; op2val:0x0 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe286852c, 0x0, x1, 584, x2) - -inst_166: -// rs1_val == 0xC511488A and rs2_val == 0x97BDD982 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc511488a; op2val:0x97bdd982 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc511488a, 0x97bdd982, x1, 588, x2) - -inst_167: -// rs1_val == 0x65151C41 and rs2_val == 0x367E5D6D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x65151c41; op2val:0x367e5d6d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x65151c41, 0x367e5d6d, x1, 592, x2) - -inst_168: -// rs1_val == 0x24CA83B3 and rs2_val == 0x623D8EB7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x24ca83b3; op2val:0x623d8eb7 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x24ca83b3, 0x623d8eb7, x1, 596, x2) - -inst_169: -// rs1_val == 0x1C3B66FB and rs2_val == 0x21870F0B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1c3b66fb; op2val:0x21870f0b -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x1c3b66fb, 0x21870f0b, x1, 600, x2) - -inst_170: -// rs1_val == 0x0A8A6FD0 and rs2_val == 0x82450164 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa8a6fd0; op2val:0x82450164 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa8a6fd0, 0x82450164, x1, 604, x2) - -inst_171: -// rs1_val == 0x069CA08C and rs2_val == 0x8F2DF760 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x69ca08c; op2val:0x8f2df760 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x69ca08c, 0x8f2df760, x1, 608, x2) - -inst_172: -// rs1_val == 0x03552C95 and rs2_val == 0x7CA07386 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3552c95; op2val:0x7ca07386 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3552c95, 0x7ca07386, x1, 612, x2) - -inst_173: -// rs1_val == 0x0174EA19 and rs2_val == 0x19DE2BC1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x174ea19; op2val:0x19de2bc1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x174ea19, 0x19de2bc1, x1, 616, x2) - -inst_174: -// rs1_val == 0x00A454F2 and rs2_val == 0xEC3FBF4D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa454f2; op2val:0xec3fbf4d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa454f2, 0xec3fbf4d, x1, 620, x2) - -inst_175: -// rs1_val == 0x007E9BEE and rs2_val == 0x164F1513 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7e9bee; op2val:0x164f1513 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7e9bee, 0x164f1513, x1, 624, x2) - -inst_176: -// rs1_val == 0x002C7CD0 and rs2_val == 0xACC6D8F2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2c7cd0; op2val:0xacc6d8f2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x2c7cd0, 0xacc6d8f2, x1, 628, x2) - -inst_177: -// rs1_val == 0x00177310 and rs2_val == 0xA123F501 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x177310; op2val:0xa123f501 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x177310, 0xa123f501, x1, 632, x2) - -inst_178: -// rs1_val == 0x00091609 and rs2_val == 0xB57A6A1D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x91609; op2val:0xb57a6a1d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x91609, 0xb57a6a1d, x1, 636, x2) - -inst_179: -// rs1_val == 0x00040BE0 and rs2_val == 0xE90794DF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x40be0; op2val:0xe90794df -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x40be0, 0xe90794df, x1, 640, x2) - -inst_180: -// rs1_val == 0x00028D1B and rs2_val == 0xAF5570EE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x28d1b; op2val:0xaf5570ee -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x28d1b, 0xaf5570ee, x1, 644, x2) - -inst_181: -// rs1_val == 0x0001FBE5 and rs2_val == 0xD8B9B45C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1fbe5; op2val:0xd8b9b45c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x1fbe5, 0xd8b9b45c, x1, 648, x2) - -inst_182: -// rs1_val == 0x0000AAC1 and rs2_val == 0x1BA1192E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaac1; op2val:0x1ba1192e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xaac1, 0x1ba1192e, x1, 652, x2) - -inst_183: -// rs1_val == 0x000062C3 and rs2_val == 0x49FE85B0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x62c3; op2val:0x49fe85b0 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x62c3, 0x49fe85b0, x1, 656, x2) - -inst_184: -// rs1_val == 0x000022FD and rs2_val == 0x4105CCA7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x22fd; op2val:0x4105cca7 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x22fd, 0x4105cca7, x1, 660, x2) - -inst_185: -// rs1_val == 0x000016B3 and rs2_val == 0xD7185DDA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x16b3; op2val:0xd7185dda -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x16b3, 0xd7185dda, x1, 664, x2) - -inst_186: -// rs1_val == 0x00000A38 and rs2_val == 0xA7A11490 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa38; op2val:0xa7a11490 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa38, 0xa7a11490, x1, 668, x2) - -inst_187: -// rs1_val == 0x000006A7 and rs2_val == 0xA9964AEF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6a7; op2val:0xa9964aef -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x6a7, 0xa9964aef, x1, 672, x2) - -inst_188: -// rs1_val == 0x000003B9 and rs2_val == 0x4B4D8474 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3b9; op2val:0x4b4d8474 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3b9, 0x4b4d8474, x1, 676, x2) - -inst_189: -// rs1_val == 0x00000190 and rs2_val == 0x76C468AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x190; op2val:0x76c468ae -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x190, 0x76c468ae, x1, 680, x2) - -inst_190: -// rs1_val == 0x000000D4 and rs2_val == 0x09208A65 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd4; op2val:0x9208a65 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd4, 0x9208a65, x1, 684, x2) - -inst_191: -// rs1_val == 0x00000067 and rs2_val == 0x8743FEB6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x67; op2val:0x8743feb6 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x67, 0x8743feb6, x1, 688, x2) - -inst_192: -// rs1_val == 0x00000039 and rs2_val == 0xA66B0D38 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x39; op2val:0xa66b0d38 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x39, 0xa66b0d38, x1, 692, x2) - -inst_193: -// rs1_val == 0x0000001C and rs2_val == 0xFB710734 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1c; op2val:0xfb710734 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x1c, 0xfb710734, x1, 696, x2) - -inst_194: -// rs1_val == 0x0000000E and rs2_val == 0xA26B7F62 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe; op2val:0xa26b7f62 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe, 0xa26b7f62, x1, 700, x2) - -inst_195: -// rs1_val == 0x00000007 and rs2_val == 0x4DABB481 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x4dabb481 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7, 0x4dabb481, x1, 704, x2) - -inst_196: -// rs1_val == 0x00000003 and rs2_val == 0x2FA91425 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2fa91425 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3, 0x2fa91425, x1, 708, x2) - -inst_197: -// rs1_val == 0x00000001 and rs2_val == 0x965EDA32 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x965eda32 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x1, 0x965eda32, x1, 712, x2) - -inst_198: -// rs1_val == 0x00000000 and rs2_val == 0xC7FDE805 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc7fde805 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x0, 0xc7fde805, x1, 716, x2) - -inst_199: -// rs2_val == 0x6D3F408C and rs1_val == 0xFFEC35FE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffec35fe; op2val:0x6d3f408c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffec35fe, 0x6d3f408c, x1, 720, x2) - -inst_200: -// rs2_val == 0x946A3674 and rs1_val == 0x976AD220 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x976ad220; op2val:0x946a3674 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x976ad220, 0x946a3674, x1, 724, x2) - -inst_201: -// rs2_val == 0xDC6113A4 and rs1_val == 0x5990FE96 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5990fe96; op2val:0xdc6113a4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5990fe96, 0xdc6113a4, x1, 728, x2) - -inst_202: -// rs2_val == 0xE42A809C and rs1_val == 0xC96EFDC4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc96efdc4; op2val:0xe42a809c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc96efdc4, 0xe42a809c, x1, 732, x2) - -inst_203: -// rs2_val == 0xF1A25760 and rs1_val == 0xAB8534C1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xab8534c1; op2val:0xf1a25760 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xab8534c1, 0xf1a25760, x1, 736, x2) - -inst_204: -// rs2_val == 0xFB37BEC9 and rs1_val == 0xD1142724 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd1142724; op2val:0xfb37bec9 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xd1142724, 0xfb37bec9, x1, 740, x2) - -inst_205: -// rs2_val == 0xFCE51A66 and rs1_val == 0xF65E7737 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf65e7737; op2val:0xfce51a66 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf65e7737, 0xfce51a66, x1, 744, x2) - -inst_206: -// rs2_val == 0xFEDEBB9C and rs1_val == 0x16CBC21C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x16cbc21c; op2val:0xfedebb9c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x16cbc21c, 0xfedebb9c, x1, 748, x2) - -inst_207: -// rs2_val == 0xFF69340A and rs1_val == 0xDBDD4DD9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdbdd4dd9; op2val:0xff69340a -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xdbdd4dd9, 0xff69340a, x1, 752, x2) - -inst_208: -// rs2_val == 0xFF9CF3F4 and rs1_val == 0x4BD90A77 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4bd90a77; op2val:0xff9cf3f4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x4bd90a77, 0xff9cf3f4, x1, 756, x2) - -inst_209: -// rs2_val == 0xFFC00793 and rs1_val == 0xCEBE24D9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcebe24d9; op2val:0xffc00793 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xcebe24d9, 0xffc00793, x1, 760, x2) - -inst_210: -// rs2_val == 0xFFEE1FC4 and rs1_val == 0xA0E0BD86 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa0e0bd86; op2val:0xffee1fc4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xa0e0bd86, 0xffee1fc4, x1, 764, x2) - -inst_211: -// rs2_val == 0xFFF06038 and rs1_val == 0x3CC279B3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3cc279b3; op2val:0xfff06038 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3cc279b3, 0xfff06038, x1, 768, x2) - -inst_212: -// rs2_val == 0xFFF93D53 and rs1_val == 0x754F9B96 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x754f9b96; op2val:0xfff93d53 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x754f9b96, 0xfff93d53, x1, 772, x2) - -inst_213: -// rs2_val == 0xFFFC47E8 and rs1_val == 0x72745307 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x72745307; op2val:0xfffc47e8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x72745307, 0xfffc47e8, x1, 776, x2) - -inst_214: -// rs2_val == 0xFFFE7302 and rs1_val == 0xDCAE6D62 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdcae6d62; op2val:0xfffe7302 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xdcae6d62, 0xfffe7302, x1, 780, x2) - -inst_215: -// rs2_val == 0xFFFF1CE8 and rs1_val == 0x7C2C966D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7c2c966d; op2val:0xffff1ce8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7c2c966d, 0xffff1ce8, x1, 784, x2) - -inst_216: -// rs2_val == 0xFFFFB5C6 and rs1_val == 0x9BB4752D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9bb4752d; op2val:0xffffb5c6 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x9bb4752d, 0xffffb5c6, x1, 788, x2) - -inst_217: -// rs2_val == 0xFFFFDFA4 and rs1_val == 0x17BE082F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x17be082f; op2val:0xffffdfa4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x17be082f, 0xffffdfa4, x1, 792, x2) - -inst_218: -// rs2_val == 0xFFFFEF0B and rs1_val == 0x109FF475 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x109ff475; op2val:0xffffef0b -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x109ff475, 0xffffef0b, x1, 796, x2) - -inst_219: -// rs2_val == 0xFFFFF43F and rs1_val == 0x00B97EA6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb97ea6; op2val:0xfffff43f -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xb97ea6, 0xfffff43f, x1, 800, x2) - -inst_220: -// rs2_val == 0xFFFFFB4A and rs1_val == 0xF956EC0B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf956ec0b; op2val:0xfffffb4a -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf956ec0b, 0xfffffb4a, x1, 804, x2) - -inst_221: -// rs2_val == 0xFFFFFDA4 and rs1_val == 0x70FC1AFC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x70fc1afc; op2val:0xfffffda4 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x70fc1afc, 0xfffffda4, x1, 808, x2) - -inst_222: -// rs2_val == 0xFFFFFECB and rs1_val == 0x6348306E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6348306e; op2val:0xfffffecb -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x6348306e, 0xfffffecb, x1, 812, x2) - -inst_223: -// rs2_val == 0xFFFFFF54 and rs1_val == 0x66B072B9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x66b072b9; op2val:0xffffff54 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x66b072b9, 0xffffff54, x1, 816, x2) - -inst_224: -// rs2_val == 0xFFFFFFA9 and rs1_val == 0x7FF822ED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7ff822ed; op2val:0xffffffa9 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x7ff822ed, 0xffffffa9, x1, 820, x2) - -inst_225: -// rs2_val == 0xFFFFFFC3 and rs1_val == 0xE918BE9F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe918be9f; op2val:0xffffffc3 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe918be9f, 0xffffffc3, x1, 824, x2) - -inst_226: -// rs2_val == 0xFFFFFFE7 and rs1_val == 0xE4BAE7F6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe4bae7f6; op2val:0xffffffe7 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe4bae7f6, 0xffffffe7, x1, 828, x2) - -inst_227: -// rs2_val == 0xFFFFFFF1 and rs1_val == 0xDE9A896F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xde9a896f; op2val:0xfffffff1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xde9a896f, 0xfffffff1, x1, 832, x2) - -inst_228: -// rs2_val == 0xFFFFFFF8 and rs1_val == 0x2881E531 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2881e531; op2val:0xfffffff8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x2881e531, 0xfffffff8, x1, 836, x2) - -inst_229: -// rs2_val == 0xFFFFFFFC and rs1_val == 0x1475F78D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1475f78d; op2val:0xfffffffc -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x1475f78d, 0xfffffffc, x1, 840, x2) - -inst_230: -// rs2_val == 0xFFFFFFFE and rs1_val == 0xE59CF78F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe59cf78f; op2val:0xfffffffe -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe59cf78f, 0xfffffffe, x1, 844, x2) - -inst_231: -// rs2_val == 0xFFFFFFFF and rs1_val == 0xB66B3284 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb66b3284; op2val:0xffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xb66b3284, 0xffffffff, x1, 848, x2) - -inst_232: -// rs1_val == 0x6F4930C9 and rs2_val == 0x39422745 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6f4930c9; op2val:0x39422745 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x6f4930c9, 0x39422745, x1, 852, x2) - -inst_233: -// rs1_val == 0x85D97467 and rs2_val == 0x58FA6E1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x85d97467; op2val:0x58fa6e1c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x85d97467, 0x58fa6e1c, x1, 856, x2) - -inst_234: -// rs1_val == 0xC70AFC93 and rs2_val == 0x2D143295 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc70afc93; op2val:0x2d143295 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xc70afc93, 0x2d143295, x1, 860, x2) - -inst_235: -// rs1_val == 0xE911655F and rs2_val == 0xD230B46C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe911655f; op2val:0xd230b46c -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xe911655f, 0xd230b46c, x1, 864, x2) - -inst_236: -// rs1_val == 0xF4AB0A39 and rs2_val == 0x4D753AC1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf4ab0a39; op2val:0x4d753ac1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf4ab0a39, 0x4d753ac1, x1, 868, x2) - -inst_237: -// rs1_val == 0xF8BD4821 and rs2_val == 0x1E9667C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf8bd4821; op2val:0x1e9667c2 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xf8bd4821, 0x1e9667c2, x1, 872, x2) - -inst_238: -// rs1_val == 0xFCD7E667 and rs2_val == 0xAE4839A1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfcd7e667; op2val:0xae4839a1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfcd7e667, 0xae4839a1, x1, 876, x2) - -inst_239: -// rs1_val == 0xFE71CFDF and rs2_val == 0x6A013380 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe71cfdf; op2val:0x6a013380 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfe71cfdf, 0x6a013380, x1, 880, x2) - -inst_240: -// rs1_val == 0xFF1C11AE and rs2_val == 0x59432A19 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff1c11ae; op2val:0x59432a19 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xff1c11ae, 0x59432a19, x1, 884, x2) - -inst_241: -// rs1_val == 0xFF89799A and rs2_val == 0xCEB506F6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff89799a; op2val:0xceb506f6 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xff89799a, 0xceb506f6, x1, 888, x2) - -inst_242: -// rs1_val == 0xFFC80B13 and rs2_val == 0xC5EC6148 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffc80b13; op2val:0xc5ec6148 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffc80b13, 0xc5ec6148, x1, 892, x2) - -inst_243: -// rs1_val == 0xFFE94647 and rs2_val == 0x99EF1857 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffe94647; op2val:0x99ef1857 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffe94647, 0x99ef1857, x1, 896, x2) - -inst_244: -// rs1_val == 0xFFF263CF and rs2_val == 0x14B91C79 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfff263cf; op2val:0x14b91c79 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfff263cf, 0x14b91c79, x1, 900, x2) - -inst_245: -// rs1_val == 0xFFF919A1 and rs2_val == 0xA86B8A6E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfff919a1; op2val:0xa86b8a6e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfff919a1, 0xa86b8a6e, x1, 904, x2) - -inst_246: -// rs1_val == 0xFFFDE89D and rs2_val == 0x08208D09 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffde89d; op2val:0x8208d09 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffde89d, 0x8208d09, x1, 908, x2) - -inst_247: -// rs1_val == 0xFFFEC9D0 and rs2_val == 0x69B1DCBF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffec9d0; op2val:0x69b1dcbf -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffec9d0, 0x69b1dcbf, x1, 912, x2) - -inst_248: -// rs1_val == 0xFFFF5576 and rs2_val == 0x807DA245 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffff5576; op2val:0x807da245 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffff5576, 0x807da245, x1, 916, x2) - -inst_249: -// rs1_val == 0xFFFFB6DF and rs2_val == 0x95A4D257 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffb6df; op2val:0x95a4d257 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffb6df, 0x95a4d257, x1, 920, x2) - -inst_250: -// rs1_val == 0xFFFFC561 and rs2_val == 0x735C076B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffc561; op2val:0x735c076b -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffc561, 0x735c076b, x1, 924, x2) - -inst_251: -// rs1_val == 0xFFFFEAB5 and rs2_val == 0xE5F0307E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffeab5; op2val:0xe5f0307e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffeab5, 0xe5f0307e, x1, 928, x2) - -inst_252: -// rs1_val == 0xFFFFF602 and rs2_val == 0xE8DAC663 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff602; op2val:0xe8dac663 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffff602, 0xe8dac663, x1, 932, x2) - -inst_253: -// rs1_val == 0xFFFFF8B1 and rs2_val == 0x0109C207 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff8b1; op2val:0x109c207 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffff8b1, 0x109c207, x1, 936, x2) - -inst_254: -// rs1_val == 0xFFFFFCA0 and rs2_val == 0x600FECC1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffca0; op2val:0x600fecc1 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffffca0, 0x600fecc1, x1, 940, x2) - -inst_255: -// rs1_val == 0xFFFFFECC and rs2_val == 0xFB7F6F5D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffecc; op2val:0xfb7f6f5d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffffecc, 0xfb7f6f5d, x1, 944, x2) - -inst_256: -// rs1_val == 0xFFFFFF6E and rs2_val == 0x5CD2875E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff6e; op2val:0x5cd2875e -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffff6e, 0x5cd2875e, x1, 948, x2) - -inst_257: -// rs1_val == 0xFFFFFF84 and rs2_val == 0xACCA7F0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff84; op2val:0xacca7f0d -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffff84, 0xacca7f0d, x1, 952, x2) - -inst_258: -// rs1_val == 0xFFFFFFDD and rs2_val == 0x5AE6A228 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdd; op2val:0x5ae6a228 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffffdd, 0x5ae6a228, x1, 956, x2) - -inst_259: -// rs1_val == 0xFFFFFFE7 and rs2_val == 0xFF1E5BEF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe7; op2val:0xff1e5bef -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xffffffe7, 0xff1e5bef, x1, 960, x2) - -inst_260: -// rs1_val == 0xFFFFFFF4 and rs2_val == 0x137A9777 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff4; op2val:0x137a9777 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffffff4, 0x137a9777, x1, 964, x2) - -inst_261: -// rs1_val == 0xFFFFFFFA and rs2_val == 0x854A9657 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffa; op2val:0x854a9657 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffffffa, 0x854a9657, x1, 968, x2) - -inst_262: -// rs1_val == 0xFFFFFFFD and rs2_val == 0xCF84B683 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0xcf84b683 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffffffd, 0xcf84b683, x1, 972, x2) - -inst_263: -// rs1_val == 0xFFFFFFFE and rs2_val == 0x93FDCAB8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x93fdcab8 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xfffffffe, 0x93fdcab8, x1, 976, x2) - -inst_264: -// rs2_val == 0x80000000 and rs1_val == 0xAFC08ACE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xafc08ace; op2val:0x80000000 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xafc08ace, 0x80000000, x1, 980, x2) - -inst_265: -// rs2_val == 0xE0000000 and rs1_val == 0x5B130474 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5b130474; op2val:0xe0000000 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x5b130474, 0xe0000000, x1, 984, x2) - -inst_266: -// rs2_val == 0x90000000 and rs1_val == 0x3EEA126E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3eea126e; op2val:0x90000000 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x3eea126e, 0x90000000, x1, 988, x2) - -inst_267: -// rs2_val == 0xB7400000 and rs1_val == 0xBC5FB419 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbc5fb419; op2val:0xb7400000 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0xbc5fb419, 0xb7400000, x1, 992, x2) - -inst_268: -// rs2_val == 0xFB07C000 and rs1_val == 0x4143DA51 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4143da51; op2val:0xfb07c000 -TEST_RR_OP(packu, x12, x10, x11, 0x00000000, 0x4143da51, 0xfb07c000, x1, 996, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x17_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x17_1: - .fill 19*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 250*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/K/src/xperm.b-01.S b/riscv-test-suite/rv32i_m/K/src/xperm.b-01.S deleted file mode 100644 index d0d5beac3..000000000 --- a/riscv-test-suite/rv32i_m/K/src/xperm.b-01.S +++ /dev/null @@ -1,1516 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 27 16:46:51 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv32i_k.cgf \ -// --base-isa rv32i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xperm.b instruction of the RISC-V extension for the xperm.b covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(1,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(2,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(3,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 == rd != rs2, rs1==x18, rs2==x19, rd==x18, rs1_val == 0xFFFFFFFF and rs2_val == 0x08577EB1 -// opcode: xperm.b ; op1:x18; op2:x19; dest:x18; op1val:0xffffffff; op2val:0x8577eb1 -TEST_RR_OP(xperm.b, x18, x18, x19, 0x00000000, 0xffffffff, 0x8577eb1, x8, 0, x1) - -inst_1: -// rs1 == rs2 == rd, rs1==x24, rs2==x24, rd==x24, rs1_val == 0x91766f62 and rs2_val == 0x5570084b -// opcode: xperm.b ; op1:x24; op2:x24; dest:x24; op1val:0x91766f62; op2val:0x91766f62 -TEST_RR_OP(xperm.b, x24, x24, x24, 0x00000000, 0x91766f62, 0x91766f62, x8, 4, x1) - -inst_2: -// rs1 == rs2 != rd, rs1==x0, rs2==x0, rd==x29, rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 -// opcode: xperm.b ; op1:x0; op2:x0; dest:x29; op1val:0x0; op2val:0x0 -TEST_RR_OP(xperm.b, x29, x0, x0, 0x00000000, 0x0, 0x0, x8, 8, x1) - -inst_3: -// rs2 == rd != rs1, rs1==x14, rs2==x23, rd==x23, rs1_val == 0xdc80d916 and rs2_val == 0x2a2a146d -// opcode: xperm.b ; op1:x14; op2:x23; dest:x23; op1val:0xdc80d916; op2val:0x2a2a146d -TEST_RR_OP(xperm.b, x23, x14, x23, 0x00000000, 0xdc80d916, 0x2a2a146d, x8, 12, x1) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x13, rs2==x22, rd==x3, rs1_val == 0x952acffe and rs2_val == 0x25ae27ee -// opcode: xperm.b ; op1:x13; op2:x22; dest:x3; op1val:0x952acffe; op2val:0x25ae27ee -TEST_RR_OP(xperm.b, x3, x13, x22, 0x00000000, 0x952acffe, 0x25ae27ee, x8, 16, x1) - -inst_5: -// rs1==x26, rs2==x2, rd==x30, rs1_val == 0x40a5ff52 and rs2_val == 0xb6f9706f -// opcode: xperm.b ; op1:x26; op2:x2; dest:x30; op1val:0x40a5ff52; op2val:0xb6f9706f -TEST_RR_OP(xperm.b, x30, x26, x2, 0x00000000, 0x40a5ff52, 0xb6f9706f, x8, 20, x1) - -inst_6: -// rs1==x23, rs2==x18, rd==x7, rs1_val == 0xe3f4fca3 and rs2_val == 0xa6c9253a -// opcode: xperm.b ; op1:x23; op2:x18; dest:x7; op1val:0xe3f4fca3; op2val:0xa6c9253a -TEST_RR_OP(xperm.b, x7, x23, x18, 0x00000000, 0xe3f4fca3, 0xa6c9253a, x8, 24, x1) - -inst_7: -// rs1==x19, rs2==x6, rd==x12, rs1_val == 0xc2f1c53e and rs2_val == 0xd05668ae -// opcode: xperm.b ; op1:x19; op2:x6; dest:x12; op1val:0xc2f1c53e; op2val:0xd05668ae -TEST_RR_OP(xperm.b, x12, x19, x6, 0x00000000, 0xc2f1c53e, 0xd05668ae, x8, 28, x1) - -inst_8: -// rs1==x25, rs2==x3, rd==x14, rs1_val == 0x9722c9a6 and rs2_val == 0x7bcad7c4 -// opcode: xperm.b ; op1:x25; op2:x3; dest:x14; op1val:0x9722c9a6; op2val:0x7bcad7c4 -TEST_RR_OP(xperm.b, x14, x25, x3, 0x00000000, 0x9722c9a6, 0x7bcad7c4, x8, 32, x1) - -inst_9: -// rs1==x22, rs2==x5, rd==x19, rs1_val == 0xf7f1305a and rs2_val == 0x9bedfe39 -// opcode: xperm.b ; op1:x22; op2:x5; dest:x19; op1val:0xf7f1305a; op2val:0x9bedfe39 -TEST_RR_OP(xperm.b, x19, x22, x5, 0x00000000, 0xf7f1305a, 0x9bedfe39, x8, 36, x1) - -inst_10: -// rs1==x20, rs2==x12, rd==x15, rs1_val == 0xd75739f8 and rs2_val == 0xe6fff3d9 -// opcode: xperm.b ; op1:x20; op2:x12; dest:x15; op1val:0xd75739f8; op2val:0xe6fff3d9 -TEST_RR_OP(xperm.b, x15, x20, x12, 0x00000000, 0xd75739f8, 0xe6fff3d9, x8, 40, x1) - -inst_11: -// rs1==x9, rs2==x10, rd==x27, rs1_val == 0x90efb625 and rs2_val == 0x3150e5fa -// opcode: xperm.b ; op1:x9; op2:x10; dest:x27; op1val:0x90efb625; op2val:0x3150e5fa -TEST_RR_OP(xperm.b, x27, x9, x10, 0x00000000, 0x90efb625, 0x3150e5fa, x8, 44, x1) - -inst_12: -// rs1==x6, rs2==x26, rd==x22, rs1_val == 0x1fc493ca and rs2_val == 0x65408c73 -// opcode: xperm.b ; op1:x6; op2:x26; dest:x22; op1val:0x1fc493ca; op2val:0x65408c73 -TEST_RR_OP(xperm.b, x22, x6, x26, 0x00000000, 0x1fc493ca, 0x65408c73, x8, 48, x1) - -inst_13: -// rs1==x27, rs2==x21, rd==x17, rs1_val == 0x8e2eac2a and rs2_val == 0xd169a3f8 -// opcode: xperm.b ; op1:x27; op2:x21; dest:x17; op1val:0x8e2eac2a; op2val:0xd169a3f8 -TEST_RR_OP(xperm.b, x17, x27, x21, 0x00000000, 0x8e2eac2a, 0xd169a3f8, x8, 52, x1) - -inst_14: -// rs1==x11, rs2==x14, rd==x13, rs1_val == 0x35f9377f and rs2_val == 0xf4c30307 -// opcode: xperm.b ; op1:x11; op2:x14; dest:x13; op1val:0x35f9377f; op2val:0xf4c30307 -TEST_RR_OP(xperm.b, x13, x11, x14, 0x00000000, 0x35f9377f, 0xf4c30307, x8, 56, x1) - -inst_15: -// rs1==x30, rs2==x16, rd==x2, rs1_val == 0x58d548aa and rs2_val == 0xa0569d76 -// opcode: xperm.b ; op1:x30; op2:x16; dest:x2; op1val:0x58d548aa; op2val:0xa0569d76 -TEST_RR_OP(xperm.b, x2, x30, x16, 0x00000000, 0x58d548aa, 0xa0569d76, x8, 60, x1) - -inst_16: -// rs1==x2, rs2==x4, rd==x16, rs1_val == 0x55d98c6e and rs2_val == 0x2daf9ac7 -// opcode: xperm.b ; op1:x2; op2:x4; dest:x16; op1val:0x55d98c6e; op2val:0x2daf9ac7 -TEST_RR_OP(xperm.b, x16, x2, x4, 0x00000000, 0x55d98c6e, 0x2daf9ac7, x8, 64, x1) - -inst_17: -// rs1==x3, rs2==x29, rd==x4, rs1_val == 0x74b8de87 and rs2_val == 0xf273b44c -// opcode: xperm.b ; op1:x3; op2:x29; dest:x4; op1val:0x74b8de87; op2val:0xf273b44c -TEST_RR_OP(xperm.b, x4, x3, x29, 0x00000000, 0x74b8de87, 0xf273b44c, x8, 68, x14) - -inst_18: -// rs1==x4, rs2==x25, rd==x20, rs1_val == 0xccce240c and rs2_val == 0x886c3a30 -// opcode: xperm.b ; op1:x4; op2:x25; dest:x20; op1val:0xccce240c; op2val:0x886c3a30 -TEST_RR_OP(xperm.b, x20, x4, x25, 0x00000000, 0xccce240c, 0x886c3a30, x8, 72, x14) - -inst_19: -// rs1==x29, rs2==x13, rd==x0, rs1_val == 0xb49c83dc and rs2_val == 0xbb61a9cd -// opcode: xperm.b ; op1:x29; op2:x13; dest:x0; op1val:0xb49c83dc; op2val:0xbb61a9cd -TEST_RR_OP(xperm.b, x0, x29, x13, 0x00000000, 0xb49c83dc, 0xbb61a9cd, x8, 76, x14) - -inst_20: -// rs1==x17, rs2==x1, rd==x25, rs1_val == 0x254a9493 and rs2_val == 0xc5521660 -// opcode: xperm.b ; op1:x17; op2:x1; dest:x25; op1val:0x254a9493; op2val:0xc5521660 -TEST_RR_OP(xperm.b, x25, x17, x1, 0x00000000, 0x254a9493, 0xc5521660, x8, 80, x14) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_21: -// rs1==x12, rs2==x8, rd==x31, rs2_val == 0x00000000 and rs1_val == 0x4FFE831A -// opcode: xperm.b ; op1:x12; op2:x8; dest:x31; op1val:0x4ffe831a; op2val:0x0 -TEST_RR_OP(xperm.b, x31, x12, x8, 0x00000000, 0x4ffe831a, 0x0, x2, 0, x14) - -inst_22: -// rs1==x5, rs2==x7, rd==x21, rs2_val == 0x80000000 and rs1_val == 0xAFC08ACE -// opcode: xperm.b ; op1:x5; op2:x7; dest:x21; op1val:0xafc08ace; op2val:0x80000000 -TEST_RR_OP(xperm.b, x21, x5, x7, 0x00000000, 0xafc08ace, 0x80000000, x2, 4, x14) - -inst_23: -// rs1==x31, rs2==x15, rd==x11, rs2_val == 0x40000000 and rs1_val == 0xAF6E9055 -// opcode: xperm.b ; op1:x31; op2:x15; dest:x11; op1val:0xaf6e9055; op2val:0x40000000 -TEST_RR_OP(xperm.b, x11, x31, x15, 0x00000000, 0xaf6e9055, 0x40000000, x2, 8, x14) - -inst_24: -// rs1==x8, rs2==x20, rd==x28, rs2_val == 0xE0000000 and rs1_val == 0x5B130474 -// opcode: xperm.b ; op1:x8; op2:x20; dest:x28; op1val:0x5b130474; op2val:0xe0000000 -TEST_RR_OP(xperm.b, x28, x8, x20, 0x00000000, 0x5b130474, 0xe0000000, x2, 12, x14) - -inst_25: -// rs1==x7, rs2==x28, rd==x10, rs2_val == 0x90000000 and rs1_val == 0x3EEA126E -// opcode: xperm.b ; op1:x7; op2:x28; dest:x10; op1val:0x3eea126e; op2val:0x90000000 -TEST_RR_OP(xperm.b, x10, x7, x28, 0x00000000, 0x3eea126e, 0x90000000, x2, 16, x14) - -inst_26: -// rs1==x16, rs2==x17, rd==x6, rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 -// opcode: xperm.b ; op1:x16; op2:x17; dest:x6; op1val:0x9c734d77; op2val:0xb8000000 -TEST_RR_OP(xperm.b, x6, x16, x17, 0x00000000, 0x9c734d77, 0xb8000000, x2, 20, x14) - -inst_27: -// rs1==x21, rs2==x9, rd==x8, rs2_val == 0xB4000000 and rs1_val == 0x5A694BCA -// opcode: xperm.b ; op1:x21; op2:x9; dest:x8; op1val:0x5a694bca; op2val:0xb4000000 -TEST_RR_OP(xperm.b, x8, x21, x9, 0x00000000, 0x5a694bca, 0xb4000000, x2, 24, x14) - -inst_28: -// rs1==x15, rs2==x27, rd==x9, rs2_val == 0x3E000000 and rs1_val == 0xED52E4CA -// opcode: xperm.b ; op1:x15; op2:x27; dest:x9; op1val:0xed52e4ca; op2val:0x3e000000 -TEST_RR_OP(xperm.b, x9, x15, x27, 0x00000000, 0xed52e4ca, 0x3e000000, x2, 28, x14) - -inst_29: -// rs1==x10, rs2==x31, rd==x5, rs2_val == 0xFB000000 and rs1_val == 0xB5CB2A93 -// opcode: xperm.b ; op1:x10; op2:x31; dest:x5; op1val:0xb5cb2a93; op2val:0xfb000000 -TEST_RR_OP(xperm.b, x5, x10, x31, 0x00000000, 0xb5cb2a93, 0xfb000000, x2, 32, x14) - -inst_30: -// rs1==x28, rs2==x30, rd==x26, rs2_val == 0x68800000 and rs1_val == 0x29324E16 -// opcode: xperm.b ; op1:x28; op2:x30; dest:x26; op1val:0x29324e16; op2val:0x68800000 -TEST_RR_OP(xperm.b, x26, x28, x30, 0x00000000, 0x29324e16, 0x68800000, x2, 36, x14) - -inst_31: -// rs1==x1, rs2_val == 0xB7400000 and rs1_val == 0xBC5FB419 -// opcode: xperm.b ; op1:x1; op2:x7; dest:x28; op1val:0xbc5fb419; op2val:0xb7400000 -TEST_RR_OP(xperm.b, x28, x1, x7, 0x00000000, 0xbc5fb419, 0xb7400000, x2, 40, x14) - -inst_32: -// rs2==x11, rs2_val == 0x5CE00000 and rs1_val == 0x8E92E1B8 -// opcode: xperm.b ; op1:x25; op2:x11; dest:x16; op1val:0x8e92e1b8; op2val:0x5ce00000 -TEST_RR_OP(xperm.b, x16, x25, x11, 0x00000000, 0x8e92e1b8, 0x5ce00000, x2, 44, x14) - -inst_33: -// rd==x1, rs2_val == 0x49F00000 and rs1_val == 0x96A3B48B -// opcode: xperm.b ; op1:x31; op2:x15; dest:x1; op1val:0x96a3b48b; op2val:0x49f00000 -TEST_RR_OP(xperm.b, x1, x31, x15, 0x00000000, 0x96a3b48b, 0x49f00000, x2, 48, x14) - -inst_34: -// rs2_val == 0x53D80000 and rs1_val == 0x0A095049 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa095049; op2val:0x53d80000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa095049, 0x53d80000, x2, 52, x14) - -inst_35: -// rs2_val == 0x2EC40000 and rs1_val == 0x6F6E71B7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e71b7; op2val:0x2ec40000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6f6e71b7, 0x2ec40000, x2, 56, x14) - -inst_36: -// rs2_val == 0x8E860000 and rs1_val == 0x236CC43D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x236cc43d; op2val:0x8e860000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x236cc43d, 0x8e860000, x2, 60, x14) - -inst_37: -// rs2_val == 0x6FBF0000 and rs1_val == 0xE2ED8971 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe2ed8971; op2val:0x6fbf0000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe2ed8971, 0x6fbf0000, x2, 64, x14) - -inst_38: -// rs2_val == 0x354E8000 and rs1_val == 0x06FA7B3E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6fa7b3e; op2val:0x354e8000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6fa7b3e, 0x354e8000, x2, 68, x14) - -inst_39: -// rs2_val == 0xFB07C000 and rs1_val == 0x4143DA51 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4143da51; op2val:0xfb07c000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x4143da51, 0xfb07c000, x2, 72, x14) - -inst_40: -// rs2_val == 0xDFFA2000 and rs1_val == 0xCAC78511 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcac78511; op2val:0xdffa2000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xcac78511, 0xdffa2000, x2, 76, x14) - -inst_41: -// rs2_val == 0x45D1F000 and rs1_val == 0xDF880B11 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdf880b11; op2val:0x45d1f000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xdf880b11, 0x45d1f000, x2, 80, x14) - -inst_42: -// rs2_val == 0x9069A800 and rs1_val == 0xBD230058 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbd230058; op2val:0x9069a800 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xbd230058, 0x9069a800, x2, 84, x14) - -inst_43: -// rs2_val == 0xF5B1B400 and rs1_val == 0xF2597377 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf2597377; op2val:0xf5b1b400 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf2597377, 0xf5b1b400, x2, 88, x14) - -inst_44: -// rs2_val == 0x06B6DA00 and rs1_val == 0x5A8E7F31 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5a8e7f31; op2val:0x6b6da00 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5a8e7f31, 0x6b6da00, x2, 92, x14) - -inst_45: -// rs2_val == 0xBFB0F100 and rs1_val == 0x7A3621F5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7a3621f5; op2val:0xbfb0f100 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7a3621f5, 0xbfb0f100, x2, 96, x14) - -inst_46: -// rs2_val == 0xD838C880 and rs1_val == 0x1E3C492C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1e3c492c; op2val:0xd838c880 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1e3c492c, 0xd838c880, x2, 100, x14) - -inst_47: -// rs2_val == 0x5C46AEC0 and rs1_val == 0xD4FAF4B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd4faf4b1; op2val:0x5c46aec0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd4faf4b1, 0x5c46aec0, x2, 104, x14) - -inst_48: -// rs2_val == 0xCF7AC620 and rs1_val == 0x27A16894 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x27a16894; op2val:0xcf7ac620 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x27a16894, 0xcf7ac620, x2, 108, x14) - -inst_49: -// rs2_val == 0x05C2F650 and rs1_val == 0x0A3EF19E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa3ef19e; op2val:0x5c2f650 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa3ef19e, 0x5c2f650, x2, 112, x14) - -inst_50: -// rs2_val == 0xEEC50588 and rs1_val == 0xCB8193EF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcb8193ef; op2val:0xeec50588 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xcb8193ef, 0xeec50588, x2, 116, x14) - -inst_51: -// rs2_val == 0xCA7160CC and rs1_val == 0x577F8847 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x577f8847; op2val:0xca7160cc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x577f8847, 0xca7160cc, x2, 120, x14) - -inst_52: -// rs2_val == 0x60E30DA2 and rs1_val == 0x9B5EAF0A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9b5eaf0a; op2val:0x60e30da2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9b5eaf0a, 0x60e30da2, x2, 124, x14) - -inst_53: -// rs2_val == 0x76F86039 and rs1_val == 0x5D3BBCE0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5d3bbce0; op2val:0x76f86039 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5d3bbce0, 0x76f86039, x2, 128, x14) - -inst_54: -// rs1_val == 0x00000000 and rs2_val == 0xFD1032E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfd1032e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x0, 0xfd1032e8, x2, 132, x14) - -inst_55: -// rs1_val == 0x80000000 and rs2_val == 0x7B246C17 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x7b246c17 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x80000000, 0x7b246c17, x2, 136, x14) - -inst_56: -// rs1_val == 0x40000000 and rs2_val == 0x56F3EEF1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x56f3eef1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x40000000, 0x56f3eef1, x2, 140, x14) - -inst_57: -// rs1_val == 0xA0000000 and rs2_val == 0x75923260 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa0000000; op2val:0x75923260 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa0000000, 0x75923260, x2, 144, x14) - -inst_58: -// rs1_val == 0x10000000 and rs2_val == 0xB9D3087C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb9d3087c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x10000000, 0xb9d3087c, x2, 148, x14) - -inst_59: -// rs1_val == 0xA8000000 and rs2_val == 0x46CBD355 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa8000000; op2val:0x46cbd355 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa8000000, 0x46cbd355, x2, 152, x14) - -inst_60: -// rs1_val == 0xE4000000 and rs2_val == 0x4616E73D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe4000000; op2val:0x4616e73d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe4000000, 0x4616e73d, x2, 156, x14) - -inst_61: -// rs1_val == 0x8E000000 and rs2_val == 0x8CCAEC71 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8e000000; op2val:0x8ccaec71 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x8e000000, 0x8ccaec71, x2, 160, x14) - -inst_62: -// rs1_val == 0x13000000 and rs2_val == 0x9B774054 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x13000000; op2val:0x9b774054 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x13000000, 0x9b774054, x2, 164, x14) - -inst_63: -// rs1_val == 0x8B800000 and rs2_val == 0x6D5FCD18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8b800000; op2val:0x6d5fcd18 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x8b800000, 0x6d5fcd18, x2, 168, x14) - -inst_64: -// rs1_val == 0x7EC00000 and rs2_val == 0x0696F561 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7ec00000; op2val:0x696f561 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7ec00000, 0x696f561, x2, 172, x14) - -inst_65: -// rs1_val == 0x3DA00000 and rs2_val == 0x6E1E98E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3da00000; op2val:0x6e1e98e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3da00000, 0x6e1e98e2, x2, 176, x14) - -inst_66: -// rs1_val == 0x20100000 and rs2_val == 0x2DEDB6A7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x20100000; op2val:0x2dedb6a7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x20100000, 0x2dedb6a7, x2, 180, x14) - -inst_67: -// rs1_val == 0x98380000 and rs2_val == 0x3C272728 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x98380000; op2val:0x3c272728 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x98380000, 0x3c272728, x2, 184, x14) - -inst_68: -// rs1_val == 0x80F40000 and rs2_val == 0x4F55C73D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x80f40000; op2val:0x4f55c73d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x80f40000, 0x4f55c73d, x2, 188, x14) - -inst_69: -// rs1_val == 0x43560000 and rs2_val == 0xB0AB577A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x43560000; op2val:0xb0ab577a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x43560000, 0xb0ab577a, x2, 192, x14) - -inst_70: -// rs1_val == 0x62A90000 and rs2_val == 0x42F5D75E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x62a90000; op2val:0x42f5d75e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x62a90000, 0x42f5d75e, x2, 196, x14) - -inst_71: -// rs1_val == 0x60348000 and rs2_val == 0xB9F09825 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x60348000; op2val:0xb9f09825 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x60348000, 0xb9f09825, x2, 200, x14) - -inst_72: -// rs1_val == 0x5EF6C000 and rs2_val == 0x9BFAD94F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5ef6c000; op2val:0x9bfad94f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5ef6c000, 0x9bfad94f, x2, 204, x14) - -inst_73: -// rs1_val == 0x79DF6000 and rs2_val == 0x98918DD8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x79df6000; op2val:0x98918dd8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x79df6000, 0x98918dd8, x2, 208, x14) - -inst_74: -// rs1_val == 0x864C1000 and rs2_val == 0x9B811F47 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x864c1000; op2val:0x9b811f47 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x864c1000, 0x9b811f47, x2, 212, x14) - -inst_75: -// rs1_val == 0x735CB800 and rs2_val == 0xD0D18FB0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x735cb800; op2val:0xd0d18fb0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x735cb800, 0xd0d18fb0, x2, 216, x14) - -inst_76: -// rs1_val == 0x29554400 and rs2_val == 0x71992790 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x29554400; op2val:0x71992790 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x29554400, 0x71992790, x2, 220, x14) - -inst_77: -// rs1_val == 0xA9A56A00 and rs2_val == 0x8248F803 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa9a56a00; op2val:0x8248f803 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa9a56a00, 0x8248f803, x2, 224, x14) - -inst_78: -// rs1_val == 0xC3405D00 and rs2_val == 0xEB3D7873 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc3405d00; op2val:0xeb3d7873 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc3405d00, 0xeb3d7873, x2, 228, x14) - -inst_79: -// rs1_val == 0x394D8080 and rs2_val == 0xD7A7BF5E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x394d8080; op2val:0xd7a7bf5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x394d8080, 0xd7a7bf5e, x2, 232, x14) - -inst_80: -// rs1_val == 0xC6677840 and rs2_val == 0xD1BA5C0F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc6677840; op2val:0xd1ba5c0f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc6677840, 0xd1ba5c0f, x2, 236, x14) - -inst_81: -// rs1_val == 0x70598E60 and rs2_val == 0xD19E3224 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x70598e60; op2val:0xd19e3224 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x70598e60, 0xd19e3224, x2, 240, x14) - -inst_82: -// rs1_val == 0x98A59F90 and rs2_val == 0x35D30D74 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x98a59f90; op2val:0x35d30d74 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x98a59f90, 0x35d30d74, x2, 244, x14) - -inst_83: -// rs1_val == 0xD306DEB8 and rs2_val == 0x70A76E49 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd306deb8; op2val:0x70a76e49 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd306deb8, 0x70a76e49, x2, 248, x14) - -inst_84: -// rs1_val == 0x18A01374 and rs2_val == 0x9FCDB9E1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x18a01374; op2val:0x9fcdb9e1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x18a01374, 0x9fcdb9e1, x2, 252, x14) - -inst_85: -// rs1_val == 0xC3667402 and rs2_val == 0x5FEFE911 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc3667402; op2val:0x5fefe911 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc3667402, 0x5fefe911, x2, 256, x14) - -inst_86: -// rs1_val == 0x797D76DF and rs2_val == 0x598B88DB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x797d76df; op2val:0x598b88db -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x797d76df, 0x598b88db, x2, 260, x14) - -inst_87: -// rs2_val == 0x0C04F662 and rs1_val == 0xB7E7669E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e; op2val:0xc04f662 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb7e7669e, 0xc04f662, x2, 264, x14) - -inst_88: -// rs2_val == 0xCD41CAD1 and rs1_val == 0xD24F0724 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd24f0724; op2val:0xcd41cad1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd24f0724, 0xcd41cad1, x2, 268, x14) - -inst_89: -// rs2_val == 0x1203965B and rs1_val == 0x585022A3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x585022a3; op2val:0x1203965b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x585022a3, 0x1203965b, x2, 272, x14) - -inst_90: -// rs2_val == 0x7A9AC0A7 and rs1_val == 0xEE8F948A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xee8f948a; op2val:0x7a9ac0a7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xee8f948a, 0x7a9ac0a7, x2, 276, x14) - -inst_91: -// rs2_val == 0x2AA8E42F and rs1_val == 0x2655FA99 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2655fa99; op2val:0x2aa8e42f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x2655fa99, 0x2aa8e42f, x2, 280, x14) - -inst_92: -// rs2_val == 0x211D785F and rs1_val == 0x0C96A183 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc96a183; op2val:0x211d785f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc96a183, 0x211d785f, x2, 284, x14) - -inst_93: -// rs2_val == 0x59DDE33F and rs1_val == 0x88F931F4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x88f931f4; op2val:0x59dde33f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x88f931f4, 0x59dde33f, x2, 288, x14) - -inst_94: -// rs2_val == 0x711E627F and rs1_val == 0x6F2BF862 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6f2bf862; op2val:0x711e627f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6f2bf862, 0x711e627f, x2, 292, x14) - -inst_95: -// rs2_val == 0x19835AFF and rs1_val == 0x5C6C32A5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5c6c32a5; op2val:0x19835aff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5c6c32a5, 0x19835aff, x2, 296, x14) - -inst_96: -// rs2_val == 0x088B3DFF and rs1_val == 0x58FC0342 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x58fc0342; op2val:0x88b3dff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x58fc0342, 0x88b3dff, x2, 300, x14) - -inst_97: -// rs2_val == 0x9A6DA3FF and rs1_val == 0x636A75E3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e3; op2val:0x9a6da3ff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x636a75e3, 0x9a6da3ff, x2, 304, x14) - -inst_98: -// rs2_val == 0x37E0D7FF and rs1_val == 0x4ED62428 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4ed62428; op2val:0x37e0d7ff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x4ed62428, 0x37e0d7ff, x2, 308, x14) - -inst_99: -// rs2_val == 0x5E59CFFF and rs1_val == 0xD2D12745 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd2d12745; op2val:0x5e59cfff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd2d12745, 0x5e59cfff, x2, 312, x14) - -inst_100: -// rs2_val == 0xDD129FFF and rs1_val == 0x0D770F3C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd770f3c; op2val:0xdd129fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd770f3c, 0xdd129fff, x2, 316, x14) - -inst_101: -// rs2_val == 0x872EBFFF and rs1_val == 0x2311ACFB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2311acfb; op2val:0x872ebfff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x2311acfb, 0x872ebfff, x2, 320, x14) - -inst_102: -// rs2_val == 0x55367FFF and rs1_val == 0x0FB13BBC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfb13bbc; op2val:0x55367fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfb13bbc, 0x55367fff, x2, 324, x14) - -inst_103: -// rs2_val == 0xFDD2FFFF and rs1_val == 0x8DFC2307 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8dfc2307; op2val:0xfdd2ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x8dfc2307, 0xfdd2ffff, x2, 328, x14) - -inst_104: -// rs2_val == 0x30BDFFFF and rs1_val == 0x7312BE6D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7312be6d; op2val:0x30bdffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7312be6d, 0x30bdffff, x2, 332, x14) - -inst_105: -// rs2_val == 0xA743FFFF and rs1_val == 0xC61B1FBF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf; op2val:0xa743ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc61b1fbf, 0xa743ffff, x2, 336, x14) - -inst_106: -// rs2_val == 0x9987FFFF and rs1_val == 0xEBDA5A4F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xebda5a4f; op2val:0x9987ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xebda5a4f, 0x9987ffff, x2, 340, x14) - -inst_107: -// rs2_val == 0x118FFFFF and rs1_val == 0xC215E193 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc215e193; op2val:0x118fffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc215e193, 0x118fffff, x2, 344, x14) - -inst_108: -// rs2_val == 0x65DFFFFF and rs1_val == 0x75EE935F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x75ee935f; op2val:0x65dfffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x75ee935f, 0x65dfffff, x2, 348, x14) - -inst_109: -// rs2_val == 0x6CBFFFFF and rs1_val == 0x09C16162 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c16162; op2val:0x6cbfffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9c16162, 0x6cbfffff, x2, 352, x14) - -inst_110: -// rs2_val == 0x347FFFFF and rs1_val == 0xA4053175 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4053175; op2val:0x347fffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa4053175, 0x347fffff, x2, 356, x14) - -inst_111: -// rs2_val == 0xC4FFFFFF and rs1_val == 0x499006C8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x499006c8; op2val:0xc4ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x499006c8, 0xc4ffffff, x2, 360, x14) - -inst_112: -// rs2_val == 0x41FFFFFF and rs1_val == 0x3C5B3EEE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3c5b3eee; op2val:0x41ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3c5b3eee, 0x41ffffff, x2, 364, x14) - -inst_113: -// rs2_val == 0x6BFFFFFF and rs1_val == 0xD95FD86A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd95fd86a; op2val:0x6bffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd95fd86a, 0x6bffffff, x2, 368, x14) - -inst_114: -// rs2_val == 0x87FFFFFF and rs1_val == 0x25784F4F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x25784f4f; op2val:0x87ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x25784f4f, 0x87ffffff, x2, 372, x14) - -inst_115: -// rs2_val == 0xCFFFFFFF and rs1_val == 0x082018FA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x82018fa; op2val:0xcfffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x82018fa, 0xcfffffff, x2, 376, x14) - -inst_116: -// rs2_val == 0x9FFFFFFF and rs1_val == 0x350CC530 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x350cc530; op2val:0x9fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x350cc530, 0x9fffffff, x2, 380, x14) - -inst_117: -// rs2_val == 0x3FFFFFFF and rs1_val == 0x7966A24E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7966a24e; op2val:0x3fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7966a24e, 0x3fffffff, x2, 384, x14) - -inst_118: -// rs2_val == 0x7FFFFFFF and rs1_val == 0x51D6D6DA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x51d6d6da; op2val:0x7fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x51d6d6da, 0x7fffffff, x2, 388, x14) - -inst_119: -// rs2_val == 0xFFFFFFFF and rs1_val == 0xD5A2038F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2038f; op2val:0xffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd5a2038f, 0xffffffff, x2, 392, x14) - -inst_120: -// rs1_val == 0xFF7746E6 and rs2_val == 0x4F829B65 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff7746e6; op2val:0x4f829b65 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xff7746e6, 0x4f829b65, x2, 396, x14) - -inst_121: -// rs1_val == 0xF89A7241 and rs2_val == 0x00C2F091 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf89a7241; op2val:0xc2f091 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf89a7241, 0xc2f091, x2, 400, x14) - -inst_122: -// rs1_val == 0x11B36A93 and rs2_val == 0xB1F5D853 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x11b36a93; op2val:0xb1f5d853 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x11b36a93, 0xb1f5d853, x2, 404, x14) - -inst_123: -// rs1_val == 0xC9932457 and rs2_val == 0x39BE2172 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc9932457; op2val:0x39be2172 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc9932457, 0x39be2172, x2, 408, x14) - -inst_124: -// rs1_val == 0x4B9A6C8F and rs2_val == 0x316039EE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4b9a6c8f; op2val:0x316039ee -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x4b9a6c8f, 0x316039ee, x2, 412, x14) - -inst_125: -// rs1_val == 0x9541241F and rs2_val == 0x5761A866 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9541241f; op2val:0x5761a866 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9541241f, 0x5761a866, x2, 416, x14) - -inst_126: -// rs1_val == 0x94B431BF and rs2_val == 0x09E4D1F4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x94b431bf; op2val:0x9e4d1f4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x94b431bf, 0x9e4d1f4, x2, 420, x14) - -inst_127: -// rs1_val == 0xDC8FE97F and rs2_val == 0x9E03793F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdc8fe97f; op2val:0x9e03793f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xdc8fe97f, 0x9e03793f, x2, 424, x14) - -inst_128: -// rs1_val == 0xB903CEFF and rs2_val == 0x7F1071EC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb903ceff; op2val:0x7f1071ec -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb903ceff, 0x7f1071ec, x2, 428, x14) - -inst_129: -// rs1_val == 0xB494A5FF and rs2_val == 0x9A7EF9E4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb494a5ff; op2val:0x9a7ef9e4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb494a5ff, 0x9a7ef9e4, x2, 432, x14) - -inst_130: -// rs1_val == 0xE2DD83FF and rs2_val == 0x59C05BB9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe2dd83ff; op2val:0x59c05bb9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe2dd83ff, 0x59c05bb9, x2, 436, x14) - -inst_131: -// rs1_val == 0xBBAFD7FF and rs2_val == 0xDE451397 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbbafd7ff; op2val:0xde451397 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xbbafd7ff, 0xde451397, x2, 440, x14) - -inst_132: -// rs1_val == 0xCE5C4FFF and rs2_val == 0x40F27005 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xce5c4fff; op2val:0x40f27005 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xce5c4fff, 0x40f27005, x2, 444, x14) - -inst_133: -// rs1_val == 0x39935FFF and rs2_val == 0x24496FE3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x39935fff; op2val:0x24496fe3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x39935fff, 0x24496fe3, x2, 448, x14) - -inst_134: -// rs1_val == 0xEED7BFFF and rs2_val == 0xDE14BFF2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeed7bfff; op2val:0xde14bff2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xeed7bfff, 0xde14bff2, x2, 452, x14) - -inst_135: -// rs1_val == 0x008E7FFF and rs2_val == 0xB808A677 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8e7fff; op2val:0xb808a677 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x8e7fff, 0xb808a677, x2, 456, x14) - -inst_136: -// rs1_val == 0x12C2FFFF and rs2_val == 0x76B1FD3D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x12c2ffff; op2val:0x76b1fd3d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x12c2ffff, 0x76b1fd3d, x2, 460, x14) - -inst_137: -// rs1_val == 0xE3A5FFFF and rs2_val == 0x5DCF019D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe3a5ffff; op2val:0x5dcf019d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe3a5ffff, 0x5dcf019d, x2, 464, x14) - -inst_138: -// rs1_val == 0x9B03FFFF and rs2_val == 0x47B7097B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9b03ffff; op2val:0x47b7097b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9b03ffff, 0x47b7097b, x2, 468, x14) - -inst_139: -// rs1_val == 0x5F07FFFF and rs2_val == 0x759F1B43 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5f07ffff; op2val:0x759f1b43 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5f07ffff, 0x759f1b43, x2, 472, x14) - -inst_140: -// rs1_val == 0x33CFFFFF and rs2_val == 0x5B331999 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x33cfffff; op2val:0x5b331999 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x33cfffff, 0x5b331999, x2, 476, x14) - -inst_141: -// rs1_val == 0x709FFFFF and rs2_val == 0x2D37DE81 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x709fffff; op2val:0x2d37de81 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x709fffff, 0x2d37de81, x2, 480, x14) - -inst_142: -// rs1_val == 0xD1BFFFFF and rs2_val == 0xFCB627AF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd1bfffff; op2val:0xfcb627af -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd1bfffff, 0xfcb627af, x2, 484, x14) - -inst_143: -// rs1_val == 0xAB7FFFFF and rs2_val == 0x1E0B4EE5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xab7fffff; op2val:0x1e0b4ee5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xab7fffff, 0x1e0b4ee5, x2, 488, x14) - -inst_144: -// rs1_val == 0x7CFFFFFF and rs2_val == 0xFB3E7196 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7cffffff; op2val:0xfb3e7196 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7cffffff, 0xfb3e7196, x2, 492, x14) - -inst_145: -// rs1_val == 0x59FFFFFF and rs2_val == 0xD9959A62 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x59ffffff; op2val:0xd9959a62 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x59ffffff, 0xd9959a62, x2, 496, x14) - -inst_146: -// rs1_val == 0xDBFFFFFF and rs2_val == 0xE08409F0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdbffffff; op2val:0xe08409f0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xdbffffff, 0xe08409f0, x2, 500, x14) - -inst_147: -// rs1_val == 0xF7FFFFFF and rs2_val == 0x258ECECB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0x258ececb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf7ffffff, 0x258ececb, x2, 504, x14) - -inst_148: -// rs1_val == 0x6FFFFFFF and rs2_val == 0xFF7D5EC0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6fffffff; op2val:0xff7d5ec0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6fffffff, 0xff7d5ec0, x2, 508, x14) - -inst_149: -// rs1_val == 0x9FFFFFFF and rs2_val == 0x4B6EA010 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9fffffff; op2val:0x4b6ea010 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9fffffff, 0x4b6ea010, x2, 512, x14) - -inst_150: -// rs1_val == 0x3FFFFFFF and rs2_val == 0xD885BBAC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0xd885bbac -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3fffffff, 0xd885bbac, x2, 516, x14) - -inst_151: -// rs1_val == 0x7FFFFFFF and rs2_val == 0xBBE8F88D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xbbe8f88d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7fffffff, 0xbbe8f88d, x2, 520, x14) - -inst_152: -// rs1_val == 0xFFFFFFFF and rs2_val == 0xE3D6E4B9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xe3d6e4b9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffffff, 0xe3d6e4b9, x2, 524, x14) - -inst_153: -// rs2_val == 0x970216FD and rs1_val == 0x0494B6D2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x494b6d2; op2val:0x970216fd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x494b6d2, 0x970216fd, x2, 528, x14) - -inst_154: -// rs2_val == 0x5CB58B8F and rs1_val == 0xF2650B71 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf2650b71; op2val:0x5cb58b8f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf2650b71, 0x5cb58b8f, x2, 532, x14) - -inst_155: -// rs2_val == 0x27EFDA6C and rs1_val == 0x21AF214A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x21af214a; op2val:0x27efda6c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x21af214a, 0x27efda6c, x2, 536, x14) - -inst_156: -// rs2_val == 0x1D1EF7C0 and rs1_val == 0x482EA760 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x482ea760; op2val:0x1d1ef7c0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x482ea760, 0x1d1ef7c0, x2, 540, x14) - -inst_157: -// rs2_val == 0x0FC2A909 and rs1_val == 0x0F7A0443 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf7a0443; op2val:0xfc2a909 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf7a0443, 0xfc2a909, x2, 544, x14) - -inst_158: -// rs2_val == 0x04E9E4A6 and rs1_val == 0x69534048 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x69534048; op2val:0x4e9e4a6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x69534048, 0x4e9e4a6, x2, 548, x14) - -inst_159: -// rs2_val == 0x025FDCD7 and rs1_val == 0x043E3EF5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x43e3ef5; op2val:0x25fdcd7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x43e3ef5, 0x25fdcd7, x2, 552, x14) - -inst_160: -// rs2_val == 0x01782EBC and rs1_val == 0x12FAD802 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x12fad802; op2val:0x1782ebc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x12fad802, 0x1782ebc, x2, 556, x14) - -inst_161: -// rs2_val == 0x00A39575 and rs1_val == 0x119B4FE5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x119b4fe5; op2val:0xa39575 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x119b4fe5, 0xa39575, x2, 560, x14) - -inst_162: -// rs2_val == 0x0049886F and rs1_val == 0x7DB224CB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7db224cb; op2val:0x49886f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7db224cb, 0x49886f, x2, 564, x14) - -inst_163: -// rs2_val == 0x0025693C and rs1_val == 0xB45F51C3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb45f51c3; op2val:0x25693c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb45f51c3, 0x25693c, x2, 568, x14) - -inst_164: -// rs2_val == 0x0018031A and rs1_val == 0x41536363 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x41536363; op2val:0x18031a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x41536363, 0x18031a, x2, 572, x14) - -inst_165: -// rs2_val == 0x000A8267 and rs1_val == 0x1A953CCA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1a953cca; op2val:0xa8267 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1a953cca, 0xa8267, x2, 576, x14) - -inst_166: -// rs2_val == 0x00073010 and rs1_val == 0x14186EBF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x14186ebf; op2val:0x73010 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x14186ebf, 0x73010, x2, 580, x14) - -inst_167: -// rs2_val == 0x00038734 and rs1_val == 0xF33C1A7F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf33c1a7f; op2val:0x38734 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf33c1a7f, 0x38734, x2, 584, x14) - -inst_168: -// rs2_val == 0x0001EAB1 and rs1_val == 0x8DCE6F52 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8dce6f52; op2val:0x1eab1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x8dce6f52, 0x1eab1, x2, 588, x14) - -inst_169: -// rs2_val == 0x0000B8EC and rs1_val == 0x3096C6C8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3096c6c8; op2val:0xb8ec -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3096c6c8, 0xb8ec, x2, 592, x14) - -inst_170: -// rs2_val == 0x00007530 and rs1_val == 0x9C461CB5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c461cb5; op2val:0x7530 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9c461cb5, 0x7530, x2, 596, x14) - -inst_171: -// rs2_val == 0x00003ED5 and rs1_val == 0x27756991 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x27756991; op2val:0x3ed5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x27756991, 0x3ed5, x2, 600, x14) - -inst_172: -// rs2_val == 0x00001055 and rs1_val == 0x62D74145 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x62d74145; op2val:0x1055 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x62d74145, 0x1055, x2, 604, x14) - -inst_173: -// rs2_val == 0x00000E9E and rs1_val == 0x931719FD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x931719fd; op2val:0xe9e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x931719fd, 0xe9e, x2, 608, x14) - -inst_174: -// rs2_val == 0x0000059B and rs1_val == 0x965768E0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x965768e0; op2val:0x59b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x965768e0, 0x59b, x2, 612, x14) - -inst_175: -// rs2_val == 0x00000208 and rs1_val == 0x74057241 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x74057241; op2val:0x208 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x74057241, 0x208, x2, 616, x14) - -inst_176: -// rs2_val == 0x000001E8 and rs1_val == 0x5E617F8E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5e617f8e; op2val:0x1e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5e617f8e, 0x1e8, x2, 620, x14) - -inst_177: -// rs2_val == 0x000000D2 and rs1_val == 0x3E361858 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3e361858; op2val:0xd2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3e361858, 0xd2, x2, 624, x14) - -inst_178: -// rs2_val == 0x00000071 and rs1_val == 0x13041452 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x13041452; op2val:0x71 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x13041452, 0x71, x2, 628, x14) - -inst_179: -// rs2_val == 0x00000034 and rs1_val == 0x4BDBF090 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4bdbf090; op2val:0x34 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x4bdbf090, 0x34, x2, 632, x14) - -inst_180: -// rs2_val == 0x00000019 and rs1_val == 0x9C3ECB54 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c3ecb54; op2val:0x19 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9c3ecb54, 0x19, x2, 636, x14) - -inst_181: -// rs2_val == 0x0000000B and rs1_val == 0x421E7A60 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x421e7a60; op2val:0xb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x421e7a60, 0xb, x2, 640, x14) - -inst_182: -// rs2_val == 0x00000005 and rs1_val == 0x2577C1EC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2577c1ec; op2val:0x5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x2577c1ec, 0x5, x2, 644, x14) - -inst_183: -// rs2_val == 0x00000002 and rs1_val == 0x19AF685D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19af685d; op2val:0x2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x19af685d, 0x2, x2, 648, x14) - -inst_184: -// rs2_val == 0x00000001 and rs1_val == 0x2FF36007 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2ff36007; op2val:0x1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x2ff36007, 0x1, x2, 652, x14) - -inst_185: -// rs2_val == 0x00000000 and rs1_val == 0xE286852C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe286852c; op2val:0x0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe286852c, 0x0, x2, 656, x14) - -inst_186: -// rs1_val == 0xC511488A and rs2_val == 0x97BDD982 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc511488a; op2val:0x97bdd982 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc511488a, 0x97bdd982, x2, 660, x14) - -inst_187: -// rs1_val == 0x65151C41 and rs2_val == 0x367E5D6D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x65151c41; op2val:0x367e5d6d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x65151c41, 0x367e5d6d, x2, 664, x14) - -inst_188: -// rs1_val == 0x24CA83B3 and rs2_val == 0x623D8EB7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x24ca83b3; op2val:0x623d8eb7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x24ca83b3, 0x623d8eb7, x2, 668, x14) - -inst_189: -// rs1_val == 0x1C3B66FB and rs2_val == 0x21870F0B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1c3b66fb; op2val:0x21870f0b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1c3b66fb, 0x21870f0b, x2, 672, x14) - -inst_190: -// rs1_val == 0x0A8A6FD0 and rs2_val == 0x82450164 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa8a6fd0; op2val:0x82450164 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa8a6fd0, 0x82450164, x2, 676, x14) - -inst_191: -// rs1_val == 0x069CA08C and rs2_val == 0x8F2DF760 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x69ca08c; op2val:0x8f2df760 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x69ca08c, 0x8f2df760, x2, 680, x14) - -inst_192: -// rs1_val == 0x03552C95 and rs2_val == 0x7CA07386 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3552c95; op2val:0x7ca07386 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3552c95, 0x7ca07386, x2, 684, x14) - -inst_193: -// rs1_val == 0x0174EA19 and rs2_val == 0x19DE2BC1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x174ea19; op2val:0x19de2bc1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x174ea19, 0x19de2bc1, x2, 688, x14) - -inst_194: -// rs1_val == 0x00A454F2 and rs2_val == 0xEC3FBF4D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa454f2; op2val:0xec3fbf4d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa454f2, 0xec3fbf4d, x2, 692, x14) - -inst_195: -// rs1_val == 0x007E9BEE and rs2_val == 0x164F1513 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7e9bee; op2val:0x164f1513 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7e9bee, 0x164f1513, x2, 696, x14) - -inst_196: -// rs1_val == 0x002C7CD0 and rs2_val == 0xACC6D8F2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2c7cd0; op2val:0xacc6d8f2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x2c7cd0, 0xacc6d8f2, x2, 700, x14) - -inst_197: -// rs1_val == 0x00177310 and rs2_val == 0xA123F501 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x177310; op2val:0xa123f501 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x177310, 0xa123f501, x2, 704, x14) - -inst_198: -// rs1_val == 0x00091609 and rs2_val == 0xB57A6A1D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x91609; op2val:0xb57a6a1d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x91609, 0xb57a6a1d, x2, 708, x14) - -inst_199: -// rs1_val == 0x00040BE0 and rs2_val == 0xE90794DF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x40be0; op2val:0xe90794df -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x40be0, 0xe90794df, x2, 712, x14) - -inst_200: -// rs1_val == 0x00028D1B and rs2_val == 0xAF5570EE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x28d1b; op2val:0xaf5570ee -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x28d1b, 0xaf5570ee, x2, 716, x14) - -inst_201: -// rs1_val == 0x0001FBE5 and rs2_val == 0xD8B9B45C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1fbe5; op2val:0xd8b9b45c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1fbe5, 0xd8b9b45c, x2, 720, x14) - -inst_202: -// rs1_val == 0x0000AAC1 and rs2_val == 0x1BA1192E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaac1; op2val:0x1ba1192e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xaac1, 0x1ba1192e, x2, 724, x14) - -inst_203: -// rs1_val == 0x000062C3 and rs2_val == 0x49FE85B0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x62c3; op2val:0x49fe85b0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x62c3, 0x49fe85b0, x2, 728, x14) - -inst_204: -// rs1_val == 0x000022FD and rs2_val == 0x4105CCA7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x22fd; op2val:0x4105cca7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x22fd, 0x4105cca7, x2, 732, x14) - -inst_205: -// rs1_val == 0x000016B3 and rs2_val == 0xD7185DDA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x16b3; op2val:0xd7185dda -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x16b3, 0xd7185dda, x2, 736, x14) - -inst_206: -// rs1_val == 0x00000A38 and rs2_val == 0xA7A11490 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa38; op2val:0xa7a11490 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa38, 0xa7a11490, x2, 740, x14) - -inst_207: -// rs1_val == 0x000006A7 and rs2_val == 0xA9964AEF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6a7; op2val:0xa9964aef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6a7, 0xa9964aef, x2, 744, x14) - -inst_208: -// rs1_val == 0x000003B9 and rs2_val == 0x4B4D8474 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3b9; op2val:0x4b4d8474 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3b9, 0x4b4d8474, x2, 748, x14) - -inst_209: -// rs1_val == 0x00000190 and rs2_val == 0x76C468AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x190; op2val:0x76c468ae -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x190, 0x76c468ae, x2, 752, x14) - -inst_210: -// rs1_val == 0x000000D4 and rs2_val == 0x09208A65 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd4; op2val:0x9208a65 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd4, 0x9208a65, x2, 756, x14) - -inst_211: -// rs1_val == 0x00000067 and rs2_val == 0x8743FEB6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x67; op2val:0x8743feb6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x67, 0x8743feb6, x2, 760, x14) - -inst_212: -// rs1_val == 0x00000039 and rs2_val == 0xA66B0D38 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x39; op2val:0xa66b0d38 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x39, 0xa66b0d38, x2, 764, x14) - -inst_213: -// rs1_val == 0x0000001C and rs2_val == 0xFB710734 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1c; op2val:0xfb710734 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1c, 0xfb710734, x2, 768, x14) - -inst_214: -// rs1_val == 0x0000000E and rs2_val == 0xA26B7F62 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe; op2val:0xa26b7f62 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe, 0xa26b7f62, x2, 772, x14) - -inst_215: -// rs1_val == 0x00000007 and rs2_val == 0x4DABB481 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x4dabb481 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7, 0x4dabb481, x2, 776, x14) - -inst_216: -// rs1_val == 0x00000003 and rs2_val == 0x2FA91425 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2fa91425 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3, 0x2fa91425, x2, 780, x14) - -inst_217: -// rs1_val == 0x00000001 and rs2_val == 0x965EDA32 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x965eda32 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1, 0x965eda32, x2, 784, x14) - -inst_218: -// rs1_val == 0x00000000 and rs2_val == 0xC7FDE805 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc7fde805 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x0, 0xc7fde805, x2, 788, x14) - -inst_219: -// rs2_val == 0x6D3F408C and rs1_val == 0xFFEC35FE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffec35fe; op2val:0x6d3f408c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffec35fe, 0x6d3f408c, x2, 792, x14) - -inst_220: -// rs2_val == 0x946A3674 and rs1_val == 0x976AD220 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x976ad220; op2val:0x946a3674 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x976ad220, 0x946a3674, x2, 796, x14) - -inst_221: -// rs2_val == 0xDC6113A4 and rs1_val == 0x5990FE96 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5990fe96; op2val:0xdc6113a4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x5990fe96, 0xdc6113a4, x2, 800, x14) - -inst_222: -// rs2_val == 0xE42A809C and rs1_val == 0xC96EFDC4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc96efdc4; op2val:0xe42a809c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc96efdc4, 0xe42a809c, x2, 804, x14) - -inst_223: -// rs2_val == 0xF1A25760 and rs1_val == 0xAB8534C1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xab8534c1; op2val:0xf1a25760 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xab8534c1, 0xf1a25760, x2, 808, x14) - -inst_224: -// rs2_val == 0xFB37BEC9 and rs1_val == 0xD1142724 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd1142724; op2val:0xfb37bec9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xd1142724, 0xfb37bec9, x2, 812, x14) - -inst_225: -// rs2_val == 0xFCE51A66 and rs1_val == 0xF65E7737 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf65e7737; op2val:0xfce51a66 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf65e7737, 0xfce51a66, x2, 816, x14) - -inst_226: -// rs2_val == 0xFEDEBB9C and rs1_val == 0x16CBC21C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x16cbc21c; op2val:0xfedebb9c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x16cbc21c, 0xfedebb9c, x2, 820, x14) - -inst_227: -// rs2_val == 0xFF69340A and rs1_val == 0xDBDD4DD9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdbdd4dd9; op2val:0xff69340a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xdbdd4dd9, 0xff69340a, x2, 824, x14) - -inst_228: -// rs2_val == 0xFF9CF3F4 and rs1_val == 0x4BD90A77 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4bd90a77; op2val:0xff9cf3f4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x4bd90a77, 0xff9cf3f4, x2, 828, x14) - -inst_229: -// rs2_val == 0xFFC00793 and rs1_val == 0xCEBE24D9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcebe24d9; op2val:0xffc00793 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xcebe24d9, 0xffc00793, x2, 832, x14) - -inst_230: -// rs2_val == 0xFFEE1FC4 and rs1_val == 0xA0E0BD86 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa0e0bd86; op2val:0xffee1fc4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xa0e0bd86, 0xffee1fc4, x2, 836, x14) - -inst_231: -// rs2_val == 0xFFF06038 and rs1_val == 0x3CC279B3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3cc279b3; op2val:0xfff06038 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x3cc279b3, 0xfff06038, x2, 840, x14) - -inst_232: -// rs2_val == 0xFFF93D53 and rs1_val == 0x754F9B96 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x754f9b96; op2val:0xfff93d53 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x754f9b96, 0xfff93d53, x2, 844, x14) - -inst_233: -// rs2_val == 0xFFFC47E8 and rs1_val == 0x72745307 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x72745307; op2val:0xfffc47e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x72745307, 0xfffc47e8, x2, 848, x14) - -inst_234: -// rs2_val == 0xFFFE7302 and rs1_val == 0xDCAE6D62 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdcae6d62; op2val:0xfffe7302 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xdcae6d62, 0xfffe7302, x2, 852, x14) - -inst_235: -// rs2_val == 0xFFFF1CE8 and rs1_val == 0x7C2C966D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7c2c966d; op2val:0xffff1ce8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7c2c966d, 0xffff1ce8, x2, 856, x14) - -inst_236: -// rs2_val == 0xFFFFB5C6 and rs1_val == 0x9BB4752D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9bb4752d; op2val:0xffffb5c6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x9bb4752d, 0xffffb5c6, x2, 860, x14) - -inst_237: -// rs2_val == 0xFFFFDFA4 and rs1_val == 0x17BE082F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x17be082f; op2val:0xffffdfa4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x17be082f, 0xffffdfa4, x2, 864, x14) - -inst_238: -// rs2_val == 0xFFFFEF0B and rs1_val == 0x109FF475 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x109ff475; op2val:0xffffef0b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x109ff475, 0xffffef0b, x2, 868, x14) - -inst_239: -// rs2_val == 0xFFFFF43F and rs1_val == 0x00B97EA6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb97ea6; op2val:0xfffff43f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb97ea6, 0xfffff43f, x2, 872, x14) - -inst_240: -// rs2_val == 0xFFFFFB4A and rs1_val == 0xF956EC0B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf956ec0b; op2val:0xfffffb4a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf956ec0b, 0xfffffb4a, x2, 876, x14) - -inst_241: -// rs2_val == 0xFFFFFDA4 and rs1_val == 0x70FC1AFC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x70fc1afc; op2val:0xfffffda4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x70fc1afc, 0xfffffda4, x2, 880, x14) - -inst_242: -// rs2_val == 0xFFFFFECB and rs1_val == 0x6348306E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6348306e; op2val:0xfffffecb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6348306e, 0xfffffecb, x2, 884, x14) - -inst_243: -// rs2_val == 0xFFFFFF54 and rs1_val == 0x66B072B9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x66b072b9; op2val:0xffffff54 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x66b072b9, 0xffffff54, x2, 888, x14) - -inst_244: -// rs2_val == 0xFFFFFFA9 and rs1_val == 0x7FF822ED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7ff822ed; op2val:0xffffffa9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x7ff822ed, 0xffffffa9, x2, 892, x14) - -inst_245: -// rs2_val == 0xFFFFFFC3 and rs1_val == 0xE918BE9F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe918be9f; op2val:0xffffffc3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe918be9f, 0xffffffc3, x2, 896, x14) - -inst_246: -// rs2_val == 0xFFFFFFE7 and rs1_val == 0xE4BAE7F6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe4bae7f6; op2val:0xffffffe7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe4bae7f6, 0xffffffe7, x2, 900, x14) - -inst_247: -// rs2_val == 0xFFFFFFF1 and rs1_val == 0xDE9A896F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xde9a896f; op2val:0xfffffff1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xde9a896f, 0xfffffff1, x2, 904, x14) - -inst_248: -// rs2_val == 0xFFFFFFF8 and rs1_val == 0x2881E531 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2881e531; op2val:0xfffffff8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x2881e531, 0xfffffff8, x2, 908, x14) - -inst_249: -// rs2_val == 0xFFFFFFFC and rs1_val == 0x1475F78D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1475f78d; op2val:0xfffffffc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x1475f78d, 0xfffffffc, x2, 912, x14) - -inst_250: -// rs2_val == 0xFFFFFFFE and rs1_val == 0xE59CF78F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe59cf78f; op2val:0xfffffffe -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe59cf78f, 0xfffffffe, x2, 916, x14) - -inst_251: -// rs2_val == 0xFFFFFFFF and rs1_val == 0xB66B3284 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb66b3284; op2val:0xffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb66b3284, 0xffffffff, x2, 920, x14) - -inst_252: -// rs1_val == 0x6F4930C9 and rs2_val == 0x39422745 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6f4930c9; op2val:0x39422745 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x6f4930c9, 0x39422745, x2, 924, x14) - -inst_253: -// rs1_val == 0x85D97467 and rs2_val == 0x58FA6E1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x85d97467; op2val:0x58fa6e1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x85d97467, 0x58fa6e1c, x2, 928, x14) - -inst_254: -// rs1_val == 0xC70AFC93 and rs2_val == 0x2D143295 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc70afc93; op2val:0x2d143295 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc70afc93, 0x2d143295, x2, 932, x14) - -inst_255: -// rs1_val == 0xE911655F and rs2_val == 0xD230B46C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe911655f; op2val:0xd230b46c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xe911655f, 0xd230b46c, x2, 936, x14) - -inst_256: -// rs1_val == 0xF4AB0A39 and rs2_val == 0x4D753AC1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf4ab0a39; op2val:0x4d753ac1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf4ab0a39, 0x4d753ac1, x2, 940, x14) - -inst_257: -// rs1_val == 0xF8BD4821 and rs2_val == 0x1E9667C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf8bd4821; op2val:0x1e9667c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xf8bd4821, 0x1e9667c2, x2, 944, x14) - -inst_258: -// rs1_val == 0xFCD7E667 and rs2_val == 0xAE4839A1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfcd7e667; op2val:0xae4839a1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfcd7e667, 0xae4839a1, x2, 948, x14) - -inst_259: -// rs1_val == 0xFE71CFDF and rs2_val == 0x6A013380 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe71cfdf; op2val:0x6a013380 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfe71cfdf, 0x6a013380, x2, 952, x14) - -inst_260: -// rs1_val == 0xFF1C11AE and rs2_val == 0x59432A19 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff1c11ae; op2val:0x59432a19 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xff1c11ae, 0x59432a19, x2, 956, x14) - -inst_261: -// rs1_val == 0xFF89799A and rs2_val == 0xCEB506F6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff89799a; op2val:0xceb506f6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xff89799a, 0xceb506f6, x2, 960, x14) - -inst_262: -// rs1_val == 0xFFC80B13 and rs2_val == 0xC5EC6148 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffc80b13; op2val:0xc5ec6148 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffc80b13, 0xc5ec6148, x2, 964, x14) - -inst_263: -// rs1_val == 0xFFE94647 and rs2_val == 0x99EF1857 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffe94647; op2val:0x99ef1857 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffe94647, 0x99ef1857, x2, 968, x14) - -inst_264: -// rs1_val == 0xFFF263CF and rs2_val == 0x14B91C79 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfff263cf; op2val:0x14b91c79 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfff263cf, 0x14b91c79, x2, 972, x14) - -inst_265: -// rs1_val == 0xFFF919A1 and rs2_val == 0xA86B8A6E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfff919a1; op2val:0xa86b8a6e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfff919a1, 0xa86b8a6e, x2, 976, x14) - -inst_266: -// rs1_val == 0xFFFDE89D and rs2_val == 0x08208D09 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffde89d; op2val:0x8208d09 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffde89d, 0x8208d09, x2, 980, x14) - -inst_267: -// rs1_val == 0xFFFEC9D0 and rs2_val == 0x69B1DCBF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffec9d0; op2val:0x69b1dcbf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffec9d0, 0x69b1dcbf, x2, 984, x14) - -inst_268: -// rs1_val == 0xFFFF5576 and rs2_val == 0x807DA245 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffff5576; op2val:0x807da245 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffff5576, 0x807da245, x2, 988, x14) - -inst_269: -// rs1_val == 0xFFFFB6DF and rs2_val == 0x95A4D257 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffb6df; op2val:0x95a4d257 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffb6df, 0x95a4d257, x2, 992, x14) - -inst_270: -// rs1_val == 0xFFFFC561 and rs2_val == 0x735C076B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffc561; op2val:0x735c076b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffc561, 0x735c076b, x2, 996, x14) - -inst_271: -// rs1_val == 0xFFFFEAB5 and rs2_val == 0xE5F0307E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffeab5; op2val:0xe5f0307e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffeab5, 0xe5f0307e, x2, 1000, x14) - -inst_272: -// rs1_val == 0xFFFFF602 and rs2_val == 0xE8DAC663 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffff602; op2val:0xe8dac663 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffff602, 0xe8dac663, x2, 1004, x14) - -inst_273: -// rs1_val == 0xFFFFF8B1 and rs2_val == 0x0109C207 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffff8b1; op2val:0x109c207 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffff8b1, 0x109c207, x2, 1008, x14) - -inst_274: -// rs1_val == 0xFFFFFCA0 and rs2_val == 0x600FECC1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffca0; op2val:0x600fecc1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffffca0, 0x600fecc1, x2, 1012, x14) - -inst_275: -// rs1_val == 0xFFFFFECC and rs2_val == 0xFB7F6F5D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffecc; op2val:0xfb7f6f5d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffffecc, 0xfb7f6f5d, x2, 1016, x14) - -inst_276: -// rs1_val == 0xFFFFFF6E and rs2_val == 0x5CD2875E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffff6e; op2val:0x5cd2875e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffff6e, 0x5cd2875e, x2, 1020, x14) - -inst_277: -// rs1_val == 0xFFFFFF84 and rs2_val == 0xACCA7F0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffff84; op2val:0xacca7f0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffff84, 0xacca7f0d, x2, 1024, x14) - -inst_278: -// rs1_val == 0xFFFFFFDD and rs2_val == 0x5AE6A228 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdd; op2val:0x5ae6a228 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffffdd, 0x5ae6a228, x2, 1028, x14) - -inst_279: -// rs1_val == 0xFFFFFFE7 and rs2_val == 0xFF1E5BEF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe7; op2val:0xff1e5bef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xffffffe7, 0xff1e5bef, x2, 1032, x14) - -inst_280: -// rs1_val == 0xFFFFFFF4 and rs2_val == 0x137A9777 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff4; op2val:0x137a9777 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffffff4, 0x137a9777, x2, 1036, x14) - -inst_281: -// rs1_val == 0xFFFFFFFA and rs2_val == 0x854A9657 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffa; op2val:0x854a9657 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffffffa, 0x854a9657, x2, 1040, x14) - -inst_282: -// rs1_val == 0xFFFFFFFD and rs2_val == 0xCF84B683 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0xcf84b683 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffffffd, 0xcf84b683, x2, 1044, x14) - -inst_283: -// rs1_val == 0xFFFFFFFE and rs2_val == 0x93FDCAB8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x93fdcab8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xfffffffe, 0x93fdcab8, x2, 1048, x14) - -inst_284: -// rs1_val == 0x91766f62 and rs2_val == 0x5570084b -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x91766f62; op2val:0x5570084b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0x91766f62, 0x5570084b, x2, 1052, x14) - -inst_285: -// rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc0fe15dd; op2val:0x9f053821 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xc0fe15dd, 0x9f053821, x2, 1056, x14) - -inst_286: -// rs1_val == 0xb49c83dc and rs2_val == 0xbb61a9cd -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb49c83dc; op2val:0xbb61a9cd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x00000000, 0xb49c83dc, 0xbb61a9cd, x2, 1060, x14) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 21*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 266*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/K/src/xperm.n-01.S b/riscv-test-suite/rv32i_m/K/src/xperm.n-01.S deleted file mode 100644 index 697b53100..000000000 --- a/riscv-test-suite/rv32i_m/K/src/xperm.n-01.S +++ /dev/null @@ -1,1531 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 27 16:46:51 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv32i_k.cgf \ -// --base-isa rv32i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xperm.n instruction of the RISC-V extension for the xperm.n covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(1,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(2,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(3,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1 == rd != rs2, rs1==x31, rs2==x7, rd==x31, rs1_val == 0xFFFFFFFF and rs2_val == 0x08577EB1 -// opcode: xperm.n ; op1:x31; op2:x7; dest:x31; op1val:0xffffffff; op2val:0x8577eb1 -TEST_RR_OP(xperm.n, x31, x31, x7, 0x00000000, 0xffffffff, 0x8577eb1, x10, 0, x17) - -inst_1: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs1_val == 0x91766f62 and rs2_val == 0x5570084b -// opcode: xperm.n ; op1:x8; op2:x8; dest:x8; op1val:0x91766f62; op2val:0x91766f62 -TEST_RR_OP(xperm.n, x8, x8, x8, 0x00000000, 0x91766f62, 0x91766f62, x10, 4, x17) - -inst_2: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x7, rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 -// opcode: xperm.n ; op1:x12; op2:x12; dest:x7; op1val:0xc0fe15dd; op2val:0xc0fe15dd -TEST_RR_OP(xperm.n, x7, x12, x12, 0x00000000, 0xc0fe15dd, 0xc0fe15dd, x10, 8, x17) - -inst_3: -// rs2 == rd != rs1, rs1==x28, rs2==x2, rd==x2, rs1_val == 0xdc80d916 and rs2_val == 0x2a2a146d -// opcode: xperm.n ; op1:x28; op2:x2; dest:x2; op1val:0xdc80d916; op2val:0x2a2a146d -TEST_RR_OP(xperm.n, x2, x28, x2, 0x00000000, 0xdc80d916, 0x2a2a146d, x10, 12, x17) - -inst_4: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x4, rs2==x24, rd==x15, rs1_val == 0x952acffe and rs2_val == 0x25ae27ee -// opcode: xperm.n ; op1:x4; op2:x24; dest:x15; op1val:0x952acffe; op2val:0x25ae27ee -TEST_RR_OP(xperm.n, x15, x4, x24, 0x00000000, 0x952acffe, 0x25ae27ee, x10, 16, x17) - -inst_5: -// rs1==x29, rs2==x4, rd==x14, rs1_val == 0x40a5ff52 and rs2_val == 0xb6f9706f -// opcode: xperm.n ; op1:x29; op2:x4; dest:x14; op1val:0x40a5ff52; op2val:0xb6f9706f -TEST_RR_OP(xperm.n, x14, x29, x4, 0x00000000, 0x40a5ff52, 0xb6f9706f, x10, 20, x17) - -inst_6: -// rs1==x19, rs2==x5, rd==x25, rs1_val == 0xe3f4fca3 and rs2_val == 0xa6c9253a -// opcode: xperm.n ; op1:x19; op2:x5; dest:x25; op1val:0xe3f4fca3; op2val:0xa6c9253a -TEST_RR_OP(xperm.n, x25, x19, x5, 0x00000000, 0xe3f4fca3, 0xa6c9253a, x10, 24, x17) - -inst_7: -// rs1==x23, rs2==x30, rd==x27, rs1_val == 0xc2f1c53e and rs2_val == 0xd05668ae -// opcode: xperm.n ; op1:x23; op2:x30; dest:x27; op1val:0xc2f1c53e; op2val:0xd05668ae -TEST_RR_OP(xperm.n, x27, x23, x30, 0x00000000, 0xc2f1c53e, 0xd05668ae, x10, 28, x17) - -inst_8: -// rs1==x16, rs2==x11, rd==x24, rs1_val == 0x9722c9a6 and rs2_val == 0x7bcad7c4 -// opcode: xperm.n ; op1:x16; op2:x11; dest:x24; op1val:0x9722c9a6; op2val:0x7bcad7c4 -TEST_RR_OP(xperm.n, x24, x16, x11, 0x00000000, 0x9722c9a6, 0x7bcad7c4, x10, 32, x17) - -inst_9: -// rs1==x26, rs2==x0, rd==x5, rs1_val == 0xf7f1305a and rs2_val == 0x9bedfe39 -// opcode: xperm.n ; op1:x26; op2:x0; dest:x5; op1val:0xf7f1305a; op2val:0x0 -TEST_RR_OP(xperm.n, x5, x26, x0, 0x00000000, 0xf7f1305a, 0x0, x10, 36, x17) - -inst_10: -// rs1==x24, rs2==x31, rd==x11, rs1_val == 0xd75739f8 and rs2_val == 0xe6fff3d9 -// opcode: xperm.n ; op1:x24; op2:x31; dest:x11; op1val:0xd75739f8; op2val:0xe6fff3d9 -TEST_RR_OP(xperm.n, x11, x24, x31, 0x00000000, 0xd75739f8, 0xe6fff3d9, x10, 40, x17) - -inst_11: -// rs1==x1, rs2==x29, rd==x9, rs1_val == 0x90efb625 and rs2_val == 0x3150e5fa -// opcode: xperm.n ; op1:x1; op2:x29; dest:x9; op1val:0x90efb625; op2val:0x3150e5fa -TEST_RR_OP(xperm.n, x9, x1, x29, 0x00000000, 0x90efb625, 0x3150e5fa, x10, 44, x17) - -inst_12: -// rs1==x30, rs2==x3, rd==x13, rs1_val == 0x1fc493ca and rs2_val == 0x65408c73 -// opcode: xperm.n ; op1:x30; op2:x3; dest:x13; op1val:0x1fc493ca; op2val:0x65408c73 -TEST_RR_OP(xperm.n, x13, x30, x3, 0x00000000, 0x1fc493ca, 0x65408c73, x10, 48, x17) - -inst_13: -// rs1==x27, rs2==x6, rd==x20, rs1_val == 0x8e2eac2a and rs2_val == 0xd169a3f8 -// opcode: xperm.n ; op1:x27; op2:x6; dest:x20; op1val:0x8e2eac2a; op2val:0xd169a3f8 -TEST_RR_OP(xperm.n, x20, x27, x6, 0x00000000, 0x8e2eac2a, 0xd169a3f8, x10, 52, x17) - -inst_14: -// rs1==x22, rs2==x26, rd==x23, rs1_val == 0x35f9377f and rs2_val == 0xf4c30307 -// opcode: xperm.n ; op1:x22; op2:x26; dest:x23; op1val:0x35f9377f; op2val:0xf4c30307 -TEST_RR_OP(xperm.n, x23, x22, x26, 0x00000000, 0x35f9377f, 0xf4c30307, x10, 56, x17) - -inst_15: -// rs1==x21, rs2==x25, rd==x28, rs1_val == 0x58d548aa and rs2_val == 0xa0569d76 -// opcode: xperm.n ; op1:x21; op2:x25; dest:x28; op1val:0x58d548aa; op2val:0xa0569d76 -TEST_RR_OP(xperm.n, x28, x21, x25, 0x00000000, 0x58d548aa, 0xa0569d76, x10, 60, x7) -RVTEST_SIGBASE( x8,signature_x8_0) - -inst_16: -// rs1==x15, rs2==x21, rd==x0, rs1_val == 0x55d98c6e and rs2_val == 0x2daf9ac7 -// opcode: xperm.n ; op1:x15; op2:x21; dest:x0; op1val:0x55d98c6e; op2val:0x2daf9ac7 -TEST_RR_OP(xperm.n, x0, x15, x21, 0x00000000, 0x55d98c6e, 0x2daf9ac7, x8, 0, x7) - -inst_17: -// rs1==x14, rs2==x10, rd==x26, rs1_val == 0x74b8de87 and rs2_val == 0xf273b44c -// opcode: xperm.n ; op1:x14; op2:x10; dest:x26; op1val:0x74b8de87; op2val:0xf273b44c -TEST_RR_OP(xperm.n, x26, x14, x10, 0x00000000, 0x74b8de87, 0xf273b44c, x8, 4, x7) - -inst_18: -// rs1==x3, rs2==x9, rd==x1, rs1_val == 0xccce240c and rs2_val == 0x886c3a30 -// opcode: xperm.n ; op1:x3; op2:x9; dest:x1; op1val:0xccce240c; op2val:0x886c3a30 -TEST_RR_OP(xperm.n, x1, x3, x9, 0x00000000, 0xccce240c, 0x886c3a30, x8, 8, x7) - -inst_19: -// rs1==x13, rs2==x28, rd==x22, rs1_val == 0xb49c83dc and rs2_val == 0xbb61a9cd -// opcode: xperm.n ; op1:x13; op2:x28; dest:x22; op1val:0xb49c83dc; op2val:0xbb61a9cd -TEST_RR_OP(xperm.n, x22, x13, x28, 0x00000000, 0xb49c83dc, 0xbb61a9cd, x8, 12, x7) - -inst_20: -// rs1==x20, rs2==x1, rd==x6, rs1_val == 0x254a9493 and rs2_val == 0xc5521660 -// opcode: xperm.n ; op1:x20; op2:x1; dest:x6; op1val:0x254a9493; op2val:0xc5521660 -TEST_RR_OP(xperm.n, x6, x20, x1, 0x00000000, 0x254a9493, 0xc5521660, x8, 16, x7) - -inst_21: -// rs1==x9, rs2==x15, rd==x17, rs2_val == 0x00000000 and rs1_val == 0x4FFE831A -// opcode: xperm.n ; op1:x9; op2:x15; dest:x17; op1val:0x4ffe831a; op2val:0x0 -TEST_RR_OP(xperm.n, x17, x9, x15, 0x00000000, 0x4ffe831a, 0x0, x8, 20, x7) - -inst_22: -// rs1==x25, rs2==x20, rd==x4, rs2_val == 0x80000000 and rs1_val == 0xAFC08ACE -// opcode: xperm.n ; op1:x25; op2:x20; dest:x4; op1val:0xafc08ace; op2val:0x80000000 -TEST_RR_OP(xperm.n, x4, x25, x20, 0x00000000, 0xafc08ace, 0x80000000, x8, 24, x7) - -inst_23: -// rs1==x2, rs2==x27, rd==x19, rs2_val == 0x40000000 and rs1_val == 0xAF6E9055 -// opcode: xperm.n ; op1:x2; op2:x27; dest:x19; op1val:0xaf6e9055; op2val:0x40000000 -TEST_RR_OP(xperm.n, x19, x2, x27, 0x00000000, 0xaf6e9055, 0x40000000, x8, 28, x7) - -inst_24: -// rs1==x10, rs2==x17, rd==x30, rs2_val == 0xE0000000 and rs1_val == 0x5B130474 -// opcode: xperm.n ; op1:x10; op2:x17; dest:x30; op1val:0x5b130474; op2val:0xe0000000 -TEST_RR_OP(xperm.n, x30, x10, x17, 0x00000000, 0x5b130474, 0xe0000000, x8, 32, x7) - -inst_25: -// rs1==x11, rs2==x13, rd==x29, rs2_val == 0x90000000 and rs1_val == 0x3EEA126E -// opcode: xperm.n ; op1:x11; op2:x13; dest:x29; op1val:0x3eea126e; op2val:0x90000000 -TEST_RR_OP(xperm.n, x29, x11, x13, 0x00000000, 0x3eea126e, 0x90000000, x8, 36, x7) - -inst_26: -// rs1==x0, rs2==x14, rd==x18, rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 -// opcode: xperm.n ; op1:x0; op2:x14; dest:x18; op1val:0x0; op2val:0xb8000000 -TEST_RR_OP(xperm.n, x18, x0, x14, 0x00000000, 0x0, 0xb8000000, x8, 40, x7) - -inst_27: -// rs1==x17, rs2==x16, rd==x21, rs2_val == 0xB4000000 and rs1_val == 0x5A694BCA -// opcode: xperm.n ; op1:x17; op2:x16; dest:x21; op1val:0x5a694bca; op2val:0xb4000000 -TEST_RR_OP(xperm.n, x21, x17, x16, 0x00000000, 0x5a694bca, 0xb4000000, x8, 44, x7) - -inst_28: -// rs1==x18, rs2==x19, rd==x10, rs2_val == 0x3E000000 and rs1_val == 0xED52E4CA -// opcode: xperm.n ; op1:x18; op2:x19; dest:x10; op1val:0xed52e4ca; op2val:0x3e000000 -TEST_RR_OP(xperm.n, x10, x18, x19, 0x00000000, 0xed52e4ca, 0x3e000000, x8, 48, x7) - -inst_29: -// rs1==x5, rs2==x23, rd==x16, rs2_val == 0xFB000000 and rs1_val == 0xB5CB2A93 -// opcode: xperm.n ; op1:x5; op2:x23; dest:x16; op1val:0xb5cb2a93; op2val:0xfb000000 -TEST_RR_OP(xperm.n, x16, x5, x23, 0x00000000, 0xb5cb2a93, 0xfb000000, x8, 52, x7) - -inst_30: -// rs1==x6, rs2==x18, rd==x12, rs2_val == 0x68800000 and rs1_val == 0x29324E16 -// opcode: xperm.n ; op1:x6; op2:x18; dest:x12; op1val:0x29324e16; op2val:0x68800000 -TEST_RR_OP(xperm.n, x12, x6, x18, 0x00000000, 0x29324e16, 0x68800000, x8, 56, x7) - -inst_31: -// rs1==x7, rs2==x22, rd==x3, rs2_val == 0xB7400000 and rs1_val == 0xBC5FB419 -// opcode: xperm.n ; op1:x7; op2:x22; dest:x3; op1val:0xbc5fb419; op2val:0xb7400000 -TEST_RR_OP(xperm.n, x3, x7, x22, 0x00000000, 0xbc5fb419, 0xb7400000, x8, 60, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_32: -// rs2_val == 0x5CE00000 and rs1_val == 0x8E92E1B8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8e92e1b8; op2val:0x5ce00000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x8e92e1b8, 0x5ce00000, x1, 0, x2) - -inst_33: -// rs2_val == 0x49F00000 and rs1_val == 0x96A3B48B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x96a3b48b; op2val:0x49f00000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x96a3b48b, 0x49f00000, x1, 4, x2) - -inst_34: -// rs2_val == 0x53D80000 and rs1_val == 0x0A095049 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa095049; op2val:0x53d80000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa095049, 0x53d80000, x1, 8, x2) - -inst_35: -// rs2_val == 0x2EC40000 and rs1_val == 0x6F6E71B7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e71b7; op2val:0x2ec40000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6f6e71b7, 0x2ec40000, x1, 12, x2) - -inst_36: -// rs2_val == 0x8E860000 and rs1_val == 0x236CC43D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x236cc43d; op2val:0x8e860000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x236cc43d, 0x8e860000, x1, 16, x2) - -inst_37: -// rs2_val == 0x6FBF0000 and rs1_val == 0xE2ED8971 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe2ed8971; op2val:0x6fbf0000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe2ed8971, 0x6fbf0000, x1, 20, x2) - -inst_38: -// rs2_val == 0x354E8000 and rs1_val == 0x06FA7B3E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6fa7b3e; op2val:0x354e8000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6fa7b3e, 0x354e8000, x1, 24, x2) - -inst_39: -// rs2_val == 0xFB07C000 and rs1_val == 0x4143DA51 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4143da51; op2val:0xfb07c000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x4143da51, 0xfb07c000, x1, 28, x2) - -inst_40: -// rs2_val == 0xDFFA2000 and rs1_val == 0xCAC78511 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcac78511; op2val:0xdffa2000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xcac78511, 0xdffa2000, x1, 32, x2) - -inst_41: -// rs2_val == 0x45D1F000 and rs1_val == 0xDF880B11 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdf880b11; op2val:0x45d1f000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xdf880b11, 0x45d1f000, x1, 36, x2) - -inst_42: -// rs2_val == 0x9069A800 and rs1_val == 0xBD230058 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbd230058; op2val:0x9069a800 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xbd230058, 0x9069a800, x1, 40, x2) - -inst_43: -// rs2_val == 0xF5B1B400 and rs1_val == 0xF2597377 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf2597377; op2val:0xf5b1b400 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf2597377, 0xf5b1b400, x1, 44, x2) - -inst_44: -// rs2_val == 0x06B6DA00 and rs1_val == 0x5A8E7F31 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5a8e7f31; op2val:0x6b6da00 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5a8e7f31, 0x6b6da00, x1, 48, x2) - -inst_45: -// rs2_val == 0xBFB0F100 and rs1_val == 0x7A3621F5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7a3621f5; op2val:0xbfb0f100 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7a3621f5, 0xbfb0f100, x1, 52, x2) - -inst_46: -// rs2_val == 0xD838C880 and rs1_val == 0x1E3C492C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1e3c492c; op2val:0xd838c880 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1e3c492c, 0xd838c880, x1, 56, x2) - -inst_47: -// rs2_val == 0x5C46AEC0 and rs1_val == 0xD4FAF4B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd4faf4b1; op2val:0x5c46aec0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd4faf4b1, 0x5c46aec0, x1, 60, x2) - -inst_48: -// rs2_val == 0xCF7AC620 and rs1_val == 0x27A16894 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x27a16894; op2val:0xcf7ac620 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x27a16894, 0xcf7ac620, x1, 64, x2) - -inst_49: -// rs2_val == 0x05C2F650 and rs1_val == 0x0A3EF19E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa3ef19e; op2val:0x5c2f650 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa3ef19e, 0x5c2f650, x1, 68, x2) - -inst_50: -// rs2_val == 0xEEC50588 and rs1_val == 0xCB8193EF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcb8193ef; op2val:0xeec50588 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xcb8193ef, 0xeec50588, x1, 72, x2) - -inst_51: -// rs2_val == 0xCA7160CC and rs1_val == 0x577F8847 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x577f8847; op2val:0xca7160cc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x577f8847, 0xca7160cc, x1, 76, x2) - -inst_52: -// rs2_val == 0x60E30DA2 and rs1_val == 0x9B5EAF0A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9b5eaf0a; op2val:0x60e30da2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9b5eaf0a, 0x60e30da2, x1, 80, x2) - -inst_53: -// rs2_val == 0x76F86039 and rs1_val == 0x5D3BBCE0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5d3bbce0; op2val:0x76f86039 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5d3bbce0, 0x76f86039, x1, 84, x2) - -inst_54: -// rs1_val == 0x00000000 and rs2_val == 0xFD1032E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfd1032e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x0, 0xfd1032e8, x1, 88, x2) - -inst_55: -// rs1_val == 0x80000000 and rs2_val == 0x7B246C17 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x7b246c17 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x80000000, 0x7b246c17, x1, 92, x2) - -inst_56: -// rs1_val == 0x40000000 and rs2_val == 0x56F3EEF1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x56f3eef1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x40000000, 0x56f3eef1, x1, 96, x2) - -inst_57: -// rs1_val == 0xA0000000 and rs2_val == 0x75923260 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa0000000; op2val:0x75923260 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa0000000, 0x75923260, x1, 100, x2) - -inst_58: -// rs1_val == 0x10000000 and rs2_val == 0xB9D3087C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb9d3087c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x10000000, 0xb9d3087c, x1, 104, x2) - -inst_59: -// rs1_val == 0xA8000000 and rs2_val == 0x46CBD355 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa8000000; op2val:0x46cbd355 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa8000000, 0x46cbd355, x1, 108, x2) - -inst_60: -// rs1_val == 0xE4000000 and rs2_val == 0x4616E73D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe4000000; op2val:0x4616e73d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe4000000, 0x4616e73d, x1, 112, x2) - -inst_61: -// rs1_val == 0x8E000000 and rs2_val == 0x8CCAEC71 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8e000000; op2val:0x8ccaec71 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x8e000000, 0x8ccaec71, x1, 116, x2) - -inst_62: -// rs1_val == 0x13000000 and rs2_val == 0x9B774054 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x13000000; op2val:0x9b774054 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x13000000, 0x9b774054, x1, 120, x2) - -inst_63: -// rs1_val == 0x8B800000 and rs2_val == 0x6D5FCD18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8b800000; op2val:0x6d5fcd18 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x8b800000, 0x6d5fcd18, x1, 124, x2) - -inst_64: -// rs1_val == 0x7EC00000 and rs2_val == 0x0696F561 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7ec00000; op2val:0x696f561 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7ec00000, 0x696f561, x1, 128, x2) - -inst_65: -// rs1_val == 0x3DA00000 and rs2_val == 0x6E1E98E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3da00000; op2val:0x6e1e98e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3da00000, 0x6e1e98e2, x1, 132, x2) - -inst_66: -// rs1_val == 0x20100000 and rs2_val == 0x2DEDB6A7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x20100000; op2val:0x2dedb6a7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x20100000, 0x2dedb6a7, x1, 136, x2) - -inst_67: -// rs1_val == 0x98380000 and rs2_val == 0x3C272728 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x98380000; op2val:0x3c272728 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x98380000, 0x3c272728, x1, 140, x2) - -inst_68: -// rs1_val == 0x80F40000 and rs2_val == 0x4F55C73D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x80f40000; op2val:0x4f55c73d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x80f40000, 0x4f55c73d, x1, 144, x2) - -inst_69: -// rs1_val == 0x43560000 and rs2_val == 0xB0AB577A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x43560000; op2val:0xb0ab577a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x43560000, 0xb0ab577a, x1, 148, x2) - -inst_70: -// rs1_val == 0x62A90000 and rs2_val == 0x42F5D75E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x62a90000; op2val:0x42f5d75e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x62a90000, 0x42f5d75e, x1, 152, x2) - -inst_71: -// rs1_val == 0x60348000 and rs2_val == 0xB9F09825 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x60348000; op2val:0xb9f09825 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x60348000, 0xb9f09825, x1, 156, x2) - -inst_72: -// rs1_val == 0x5EF6C000 and rs2_val == 0x9BFAD94F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5ef6c000; op2val:0x9bfad94f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5ef6c000, 0x9bfad94f, x1, 160, x2) - -inst_73: -// rs1_val == 0x79DF6000 and rs2_val == 0x98918DD8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x79df6000; op2val:0x98918dd8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x79df6000, 0x98918dd8, x1, 164, x2) - -inst_74: -// rs1_val == 0x864C1000 and rs2_val == 0x9B811F47 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x864c1000; op2val:0x9b811f47 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x864c1000, 0x9b811f47, x1, 168, x2) - -inst_75: -// rs1_val == 0x735CB800 and rs2_val == 0xD0D18FB0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x735cb800; op2val:0xd0d18fb0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x735cb800, 0xd0d18fb0, x1, 172, x2) - -inst_76: -// rs1_val == 0x29554400 and rs2_val == 0x71992790 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x29554400; op2val:0x71992790 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x29554400, 0x71992790, x1, 176, x2) - -inst_77: -// rs1_val == 0xA9A56A00 and rs2_val == 0x8248F803 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa9a56a00; op2val:0x8248f803 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa9a56a00, 0x8248f803, x1, 180, x2) - -inst_78: -// rs1_val == 0xC3405D00 and rs2_val == 0xEB3D7873 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc3405d00; op2val:0xeb3d7873 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc3405d00, 0xeb3d7873, x1, 184, x2) - -inst_79: -// rs1_val == 0x394D8080 and rs2_val == 0xD7A7BF5E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x394d8080; op2val:0xd7a7bf5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x394d8080, 0xd7a7bf5e, x1, 188, x2) - -inst_80: -// rs1_val == 0xC6677840 and rs2_val == 0xD1BA5C0F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc6677840; op2val:0xd1ba5c0f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc6677840, 0xd1ba5c0f, x1, 192, x2) - -inst_81: -// rs1_val == 0x70598E60 and rs2_val == 0xD19E3224 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x70598e60; op2val:0xd19e3224 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x70598e60, 0xd19e3224, x1, 196, x2) - -inst_82: -// rs1_val == 0x98A59F90 and rs2_val == 0x35D30D74 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x98a59f90; op2val:0x35d30d74 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x98a59f90, 0x35d30d74, x1, 200, x2) - -inst_83: -// rs1_val == 0xD306DEB8 and rs2_val == 0x70A76E49 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd306deb8; op2val:0x70a76e49 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd306deb8, 0x70a76e49, x1, 204, x2) - -inst_84: -// rs1_val == 0x18A01374 and rs2_val == 0x9FCDB9E1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x18a01374; op2val:0x9fcdb9e1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x18a01374, 0x9fcdb9e1, x1, 208, x2) - -inst_85: -// rs1_val == 0xC3667402 and rs2_val == 0x5FEFE911 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc3667402; op2val:0x5fefe911 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc3667402, 0x5fefe911, x1, 212, x2) - -inst_86: -// rs1_val == 0x797D76DF and rs2_val == 0x598B88DB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x797d76df; op2val:0x598b88db -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x797d76df, 0x598b88db, x1, 216, x2) - -inst_87: -// rs2_val == 0x0C04F662 and rs1_val == 0xB7E7669E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e; op2val:0xc04f662 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xb7e7669e, 0xc04f662, x1, 220, x2) - -inst_88: -// rs2_val == 0xCD41CAD1 and rs1_val == 0xD24F0724 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd24f0724; op2val:0xcd41cad1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd24f0724, 0xcd41cad1, x1, 224, x2) - -inst_89: -// rs2_val == 0x1203965B and rs1_val == 0x585022A3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x585022a3; op2val:0x1203965b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x585022a3, 0x1203965b, x1, 228, x2) - -inst_90: -// rs2_val == 0x7A9AC0A7 and rs1_val == 0xEE8F948A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xee8f948a; op2val:0x7a9ac0a7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xee8f948a, 0x7a9ac0a7, x1, 232, x2) - -inst_91: -// rs2_val == 0x2AA8E42F and rs1_val == 0x2655FA99 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2655fa99; op2val:0x2aa8e42f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x2655fa99, 0x2aa8e42f, x1, 236, x2) - -inst_92: -// rs2_val == 0x211D785F and rs1_val == 0x0C96A183 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc96a183; op2val:0x211d785f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc96a183, 0x211d785f, x1, 240, x2) - -inst_93: -// rs2_val == 0x59DDE33F and rs1_val == 0x88F931F4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x88f931f4; op2val:0x59dde33f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x88f931f4, 0x59dde33f, x1, 244, x2) - -inst_94: -// rs2_val == 0x711E627F and rs1_val == 0x6F2BF862 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6f2bf862; op2val:0x711e627f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6f2bf862, 0x711e627f, x1, 248, x2) - -inst_95: -// rs2_val == 0x19835AFF and rs1_val == 0x5C6C32A5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5c6c32a5; op2val:0x19835aff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5c6c32a5, 0x19835aff, x1, 252, x2) - -inst_96: -// rs2_val == 0x088B3DFF and rs1_val == 0x58FC0342 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x58fc0342; op2val:0x88b3dff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x58fc0342, 0x88b3dff, x1, 256, x2) - -inst_97: -// rs2_val == 0x9A6DA3FF and rs1_val == 0x636A75E3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e3; op2val:0x9a6da3ff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x636a75e3, 0x9a6da3ff, x1, 260, x2) - -inst_98: -// rs2_val == 0x37E0D7FF and rs1_val == 0x4ED62428 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4ed62428; op2val:0x37e0d7ff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x4ed62428, 0x37e0d7ff, x1, 264, x2) - -inst_99: -// rs2_val == 0x5E59CFFF and rs1_val == 0xD2D12745 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd2d12745; op2val:0x5e59cfff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd2d12745, 0x5e59cfff, x1, 268, x2) - -inst_100: -// rs2_val == 0xDD129FFF and rs1_val == 0x0D770F3C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd770f3c; op2val:0xdd129fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd770f3c, 0xdd129fff, x1, 272, x2) - -inst_101: -// rs2_val == 0x872EBFFF and rs1_val == 0x2311ACFB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2311acfb; op2val:0x872ebfff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x2311acfb, 0x872ebfff, x1, 276, x2) - -inst_102: -// rs2_val == 0x55367FFF and rs1_val == 0x0FB13BBC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfb13bbc; op2val:0x55367fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfb13bbc, 0x55367fff, x1, 280, x2) - -inst_103: -// rs2_val == 0xFDD2FFFF and rs1_val == 0x8DFC2307 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8dfc2307; op2val:0xfdd2ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x8dfc2307, 0xfdd2ffff, x1, 284, x2) - -inst_104: -// rs2_val == 0x30BDFFFF and rs1_val == 0x7312BE6D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7312be6d; op2val:0x30bdffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7312be6d, 0x30bdffff, x1, 288, x2) - -inst_105: -// rs2_val == 0xA743FFFF and rs1_val == 0xC61B1FBF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf; op2val:0xa743ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc61b1fbf, 0xa743ffff, x1, 292, x2) - -inst_106: -// rs2_val == 0x9987FFFF and rs1_val == 0xEBDA5A4F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xebda5a4f; op2val:0x9987ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xebda5a4f, 0x9987ffff, x1, 296, x2) - -inst_107: -// rs2_val == 0x118FFFFF and rs1_val == 0xC215E193 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc215e193; op2val:0x118fffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc215e193, 0x118fffff, x1, 300, x2) - -inst_108: -// rs2_val == 0x65DFFFFF and rs1_val == 0x75EE935F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x75ee935f; op2val:0x65dfffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x75ee935f, 0x65dfffff, x1, 304, x2) - -inst_109: -// rs2_val == 0x6CBFFFFF and rs1_val == 0x09C16162 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c16162; op2val:0x6cbfffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9c16162, 0x6cbfffff, x1, 308, x2) - -inst_110: -// rs2_val == 0x347FFFFF and rs1_val == 0xA4053175 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4053175; op2val:0x347fffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa4053175, 0x347fffff, x1, 312, x2) - -inst_111: -// rs2_val == 0xC4FFFFFF and rs1_val == 0x499006C8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x499006c8; op2val:0xc4ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x499006c8, 0xc4ffffff, x1, 316, x2) - -inst_112: -// rs2_val == 0x41FFFFFF and rs1_val == 0x3C5B3EEE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3c5b3eee; op2val:0x41ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3c5b3eee, 0x41ffffff, x1, 320, x2) - -inst_113: -// rs2_val == 0x6BFFFFFF and rs1_val == 0xD95FD86A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd95fd86a; op2val:0x6bffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd95fd86a, 0x6bffffff, x1, 324, x2) - -inst_114: -// rs2_val == 0x87FFFFFF and rs1_val == 0x25784F4F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x25784f4f; op2val:0x87ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x25784f4f, 0x87ffffff, x1, 328, x2) - -inst_115: -// rs2_val == 0xCFFFFFFF and rs1_val == 0x082018FA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x82018fa; op2val:0xcfffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x82018fa, 0xcfffffff, x1, 332, x2) - -inst_116: -// rs2_val == 0x9FFFFFFF and rs1_val == 0x350CC530 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x350cc530; op2val:0x9fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x350cc530, 0x9fffffff, x1, 336, x2) - -inst_117: -// rs2_val == 0x3FFFFFFF and rs1_val == 0x7966A24E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7966a24e; op2val:0x3fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7966a24e, 0x3fffffff, x1, 340, x2) - -inst_118: -// rs2_val == 0x7FFFFFFF and rs1_val == 0x51D6D6DA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x51d6d6da; op2val:0x7fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x51d6d6da, 0x7fffffff, x1, 344, x2) - -inst_119: -// rs2_val == 0xFFFFFFFF and rs1_val == 0xD5A2038F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2038f; op2val:0xffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd5a2038f, 0xffffffff, x1, 348, x2) - -inst_120: -// rs1_val == 0xFF7746E6 and rs2_val == 0x4F829B65 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff7746e6; op2val:0x4f829b65 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xff7746e6, 0x4f829b65, x1, 352, x2) - -inst_121: -// rs1_val == 0xF89A7241 and rs2_val == 0x00C2F091 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf89a7241; op2val:0xc2f091 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf89a7241, 0xc2f091, x1, 356, x2) - -inst_122: -// rs1_val == 0x11B36A93 and rs2_val == 0xB1F5D853 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x11b36a93; op2val:0xb1f5d853 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x11b36a93, 0xb1f5d853, x1, 360, x2) - -inst_123: -// rs1_val == 0xC9932457 and rs2_val == 0x39BE2172 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc9932457; op2val:0x39be2172 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc9932457, 0x39be2172, x1, 364, x2) - -inst_124: -// rs1_val == 0x4B9A6C8F and rs2_val == 0x316039EE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4b9a6c8f; op2val:0x316039ee -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x4b9a6c8f, 0x316039ee, x1, 368, x2) - -inst_125: -// rs1_val == 0x9541241F and rs2_val == 0x5761A866 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9541241f; op2val:0x5761a866 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9541241f, 0x5761a866, x1, 372, x2) - -inst_126: -// rs1_val == 0x94B431BF and rs2_val == 0x09E4D1F4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x94b431bf; op2val:0x9e4d1f4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x94b431bf, 0x9e4d1f4, x1, 376, x2) - -inst_127: -// rs1_val == 0xDC8FE97F and rs2_val == 0x9E03793F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdc8fe97f; op2val:0x9e03793f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xdc8fe97f, 0x9e03793f, x1, 380, x2) - -inst_128: -// rs1_val == 0xB903CEFF and rs2_val == 0x7F1071EC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb903ceff; op2val:0x7f1071ec -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xb903ceff, 0x7f1071ec, x1, 384, x2) - -inst_129: -// rs1_val == 0xB494A5FF and rs2_val == 0x9A7EF9E4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb494a5ff; op2val:0x9a7ef9e4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xb494a5ff, 0x9a7ef9e4, x1, 388, x2) - -inst_130: -// rs1_val == 0xE2DD83FF and rs2_val == 0x59C05BB9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe2dd83ff; op2val:0x59c05bb9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe2dd83ff, 0x59c05bb9, x1, 392, x2) - -inst_131: -// rs1_val == 0xBBAFD7FF and rs2_val == 0xDE451397 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbbafd7ff; op2val:0xde451397 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xbbafd7ff, 0xde451397, x1, 396, x2) - -inst_132: -// rs1_val == 0xCE5C4FFF and rs2_val == 0x40F27005 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xce5c4fff; op2val:0x40f27005 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xce5c4fff, 0x40f27005, x1, 400, x2) - -inst_133: -// rs1_val == 0x39935FFF and rs2_val == 0x24496FE3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x39935fff; op2val:0x24496fe3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x39935fff, 0x24496fe3, x1, 404, x2) - -inst_134: -// rs1_val == 0xEED7BFFF and rs2_val == 0xDE14BFF2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeed7bfff; op2val:0xde14bff2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xeed7bfff, 0xde14bff2, x1, 408, x2) - -inst_135: -// rs1_val == 0x008E7FFF and rs2_val == 0xB808A677 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8e7fff; op2val:0xb808a677 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x8e7fff, 0xb808a677, x1, 412, x2) - -inst_136: -// rs1_val == 0x12C2FFFF and rs2_val == 0x76B1FD3D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x12c2ffff; op2val:0x76b1fd3d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x12c2ffff, 0x76b1fd3d, x1, 416, x2) - -inst_137: -// rs1_val == 0xE3A5FFFF and rs2_val == 0x5DCF019D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe3a5ffff; op2val:0x5dcf019d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe3a5ffff, 0x5dcf019d, x1, 420, x2) - -inst_138: -// rs1_val == 0x9B03FFFF and rs2_val == 0x47B7097B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9b03ffff; op2val:0x47b7097b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9b03ffff, 0x47b7097b, x1, 424, x2) - -inst_139: -// rs1_val == 0x5F07FFFF and rs2_val == 0x759F1B43 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5f07ffff; op2val:0x759f1b43 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5f07ffff, 0x759f1b43, x1, 428, x2) - -inst_140: -// rs1_val == 0x33CFFFFF and rs2_val == 0x5B331999 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x33cfffff; op2val:0x5b331999 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x33cfffff, 0x5b331999, x1, 432, x2) - -inst_141: -// rs1_val == 0x709FFFFF and rs2_val == 0x2D37DE81 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x709fffff; op2val:0x2d37de81 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x709fffff, 0x2d37de81, x1, 436, x2) - -inst_142: -// rs1_val == 0xD1BFFFFF and rs2_val == 0xFCB627AF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd1bfffff; op2val:0xfcb627af -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd1bfffff, 0xfcb627af, x1, 440, x2) - -inst_143: -// rs1_val == 0xAB7FFFFF and rs2_val == 0x1E0B4EE5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xab7fffff; op2val:0x1e0b4ee5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xab7fffff, 0x1e0b4ee5, x1, 444, x2) - -inst_144: -// rs1_val == 0x7CFFFFFF and rs2_val == 0xFB3E7196 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7cffffff; op2val:0xfb3e7196 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7cffffff, 0xfb3e7196, x1, 448, x2) - -inst_145: -// rs1_val == 0x59FFFFFF and rs2_val == 0xD9959A62 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x59ffffff; op2val:0xd9959a62 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x59ffffff, 0xd9959a62, x1, 452, x2) - -inst_146: -// rs1_val == 0xDBFFFFFF and rs2_val == 0xE08409F0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdbffffff; op2val:0xe08409f0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xdbffffff, 0xe08409f0, x1, 456, x2) - -inst_147: -// rs1_val == 0xF7FFFFFF and rs2_val == 0x258ECECB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0x258ececb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf7ffffff, 0x258ececb, x1, 460, x2) - -inst_148: -// rs1_val == 0x6FFFFFFF and rs2_val == 0xFF7D5EC0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6fffffff; op2val:0xff7d5ec0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6fffffff, 0xff7d5ec0, x1, 464, x2) - -inst_149: -// rs1_val == 0x9FFFFFFF and rs2_val == 0x4B6EA010 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9fffffff; op2val:0x4b6ea010 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9fffffff, 0x4b6ea010, x1, 468, x2) - -inst_150: -// rs1_val == 0x3FFFFFFF and rs2_val == 0xD885BBAC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0xd885bbac -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3fffffff, 0xd885bbac, x1, 472, x2) - -inst_151: -// rs1_val == 0x7FFFFFFF and rs2_val == 0xBBE8F88D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xbbe8f88d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7fffffff, 0xbbe8f88d, x1, 476, x2) - -inst_152: -// rs1_val == 0xFFFFFFFF and rs2_val == 0xE3D6E4B9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xe3d6e4b9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffffff, 0xe3d6e4b9, x1, 480, x2) - -inst_153: -// rs2_val == 0x970216FD and rs1_val == 0x0494B6D2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x494b6d2; op2val:0x970216fd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x494b6d2, 0x970216fd, x1, 484, x2) - -inst_154: -// rs2_val == 0x5CB58B8F and rs1_val == 0xF2650B71 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf2650b71; op2val:0x5cb58b8f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf2650b71, 0x5cb58b8f, x1, 488, x2) - -inst_155: -// rs2_val == 0x27EFDA6C and rs1_val == 0x21AF214A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x21af214a; op2val:0x27efda6c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x21af214a, 0x27efda6c, x1, 492, x2) - -inst_156: -// rs2_val == 0x1D1EF7C0 and rs1_val == 0x482EA760 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x482ea760; op2val:0x1d1ef7c0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x482ea760, 0x1d1ef7c0, x1, 496, x2) - -inst_157: -// rs2_val == 0x0FC2A909 and rs1_val == 0x0F7A0443 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf7a0443; op2val:0xfc2a909 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf7a0443, 0xfc2a909, x1, 500, x2) - -inst_158: -// rs2_val == 0x04E9E4A6 and rs1_val == 0x69534048 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x69534048; op2val:0x4e9e4a6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x69534048, 0x4e9e4a6, x1, 504, x2) - -inst_159: -// rs2_val == 0x025FDCD7 and rs1_val == 0x043E3EF5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x43e3ef5; op2val:0x25fdcd7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x43e3ef5, 0x25fdcd7, x1, 508, x2) - -inst_160: -// rs2_val == 0x01782EBC and rs1_val == 0x12FAD802 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x12fad802; op2val:0x1782ebc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x12fad802, 0x1782ebc, x1, 512, x2) - -inst_161: -// rs2_val == 0x00A39575 and rs1_val == 0x119B4FE5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x119b4fe5; op2val:0xa39575 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x119b4fe5, 0xa39575, x1, 516, x2) - -inst_162: -// rs2_val == 0x0049886F and rs1_val == 0x7DB224CB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7db224cb; op2val:0x49886f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7db224cb, 0x49886f, x1, 520, x2) - -inst_163: -// rs2_val == 0x0025693C and rs1_val == 0xB45F51C3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb45f51c3; op2val:0x25693c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xb45f51c3, 0x25693c, x1, 524, x2) - -inst_164: -// rs2_val == 0x0018031A and rs1_val == 0x41536363 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x41536363; op2val:0x18031a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x41536363, 0x18031a, x1, 528, x2) - -inst_165: -// rs2_val == 0x000A8267 and rs1_val == 0x1A953CCA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1a953cca; op2val:0xa8267 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1a953cca, 0xa8267, x1, 532, x2) - -inst_166: -// rs2_val == 0x00073010 and rs1_val == 0x14186EBF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x14186ebf; op2val:0x73010 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x14186ebf, 0x73010, x1, 536, x2) - -inst_167: -// rs2_val == 0x00038734 and rs1_val == 0xF33C1A7F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf33c1a7f; op2val:0x38734 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf33c1a7f, 0x38734, x1, 540, x2) - -inst_168: -// rs2_val == 0x0001EAB1 and rs1_val == 0x8DCE6F52 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8dce6f52; op2val:0x1eab1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x8dce6f52, 0x1eab1, x1, 544, x2) - -inst_169: -// rs2_val == 0x0000B8EC and rs1_val == 0x3096C6C8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3096c6c8; op2val:0xb8ec -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3096c6c8, 0xb8ec, x1, 548, x2) - -inst_170: -// rs2_val == 0x00007530 and rs1_val == 0x9C461CB5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c461cb5; op2val:0x7530 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9c461cb5, 0x7530, x1, 552, x2) - -inst_171: -// rs2_val == 0x00003ED5 and rs1_val == 0x27756991 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x27756991; op2val:0x3ed5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x27756991, 0x3ed5, x1, 556, x2) - -inst_172: -// rs2_val == 0x00001055 and rs1_val == 0x62D74145 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x62d74145; op2val:0x1055 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x62d74145, 0x1055, x1, 560, x2) - -inst_173: -// rs2_val == 0x00000E9E and rs1_val == 0x931719FD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x931719fd; op2val:0xe9e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x931719fd, 0xe9e, x1, 564, x2) - -inst_174: -// rs2_val == 0x0000059B and rs1_val == 0x965768E0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x965768e0; op2val:0x59b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x965768e0, 0x59b, x1, 568, x2) - -inst_175: -// rs2_val == 0x00000208 and rs1_val == 0x74057241 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x74057241; op2val:0x208 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x74057241, 0x208, x1, 572, x2) - -inst_176: -// rs2_val == 0x000001E8 and rs1_val == 0x5E617F8E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5e617f8e; op2val:0x1e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5e617f8e, 0x1e8, x1, 576, x2) - -inst_177: -// rs2_val == 0x000000D2 and rs1_val == 0x3E361858 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3e361858; op2val:0xd2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3e361858, 0xd2, x1, 580, x2) - -inst_178: -// rs2_val == 0x00000071 and rs1_val == 0x13041452 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x13041452; op2val:0x71 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x13041452, 0x71, x1, 584, x2) - -inst_179: -// rs2_val == 0x00000034 and rs1_val == 0x4BDBF090 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4bdbf090; op2val:0x34 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x4bdbf090, 0x34, x1, 588, x2) - -inst_180: -// rs2_val == 0x00000019 and rs1_val == 0x9C3ECB54 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c3ecb54; op2val:0x19 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9c3ecb54, 0x19, x1, 592, x2) - -inst_181: -// rs2_val == 0x0000000B and rs1_val == 0x421E7A60 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x421e7a60; op2val:0xb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x421e7a60, 0xb, x1, 596, x2) - -inst_182: -// rs2_val == 0x00000005 and rs1_val == 0x2577C1EC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2577c1ec; op2val:0x5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x2577c1ec, 0x5, x1, 600, x2) - -inst_183: -// rs2_val == 0x00000002 and rs1_val == 0x19AF685D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19af685d; op2val:0x2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x19af685d, 0x2, x1, 604, x2) - -inst_184: -// rs2_val == 0x00000001 and rs1_val == 0x2FF36007 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2ff36007; op2val:0x1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x2ff36007, 0x1, x1, 608, x2) - -inst_185: -// rs2_val == 0x00000000 and rs1_val == 0xE286852C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe286852c; op2val:0x0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe286852c, 0x0, x1, 612, x2) - -inst_186: -// rs1_val == 0xC511488A and rs2_val == 0x97BDD982 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc511488a; op2val:0x97bdd982 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc511488a, 0x97bdd982, x1, 616, x2) - -inst_187: -// rs1_val == 0x65151C41 and rs2_val == 0x367E5D6D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x65151c41; op2val:0x367e5d6d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x65151c41, 0x367e5d6d, x1, 620, x2) - -inst_188: -// rs1_val == 0x24CA83B3 and rs2_val == 0x623D8EB7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x24ca83b3; op2val:0x623d8eb7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x24ca83b3, 0x623d8eb7, x1, 624, x2) - -inst_189: -// rs1_val == 0x1C3B66FB and rs2_val == 0x21870F0B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1c3b66fb; op2val:0x21870f0b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1c3b66fb, 0x21870f0b, x1, 628, x2) - -inst_190: -// rs1_val == 0x0A8A6FD0 and rs2_val == 0x82450164 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa8a6fd0; op2val:0x82450164 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa8a6fd0, 0x82450164, x1, 632, x2) - -inst_191: -// rs1_val == 0x069CA08C and rs2_val == 0x8F2DF760 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x69ca08c; op2val:0x8f2df760 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x69ca08c, 0x8f2df760, x1, 636, x2) - -inst_192: -// rs1_val == 0x03552C95 and rs2_val == 0x7CA07386 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3552c95; op2val:0x7ca07386 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3552c95, 0x7ca07386, x1, 640, x2) - -inst_193: -// rs1_val == 0x0174EA19 and rs2_val == 0x19DE2BC1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x174ea19; op2val:0x19de2bc1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x174ea19, 0x19de2bc1, x1, 644, x2) - -inst_194: -// rs1_val == 0x00A454F2 and rs2_val == 0xEC3FBF4D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa454f2; op2val:0xec3fbf4d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa454f2, 0xec3fbf4d, x1, 648, x2) - -inst_195: -// rs1_val == 0x007E9BEE and rs2_val == 0x164F1513 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7e9bee; op2val:0x164f1513 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7e9bee, 0x164f1513, x1, 652, x2) - -inst_196: -// rs1_val == 0x002C7CD0 and rs2_val == 0xACC6D8F2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2c7cd0; op2val:0xacc6d8f2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x2c7cd0, 0xacc6d8f2, x1, 656, x2) - -inst_197: -// rs1_val == 0x00177310 and rs2_val == 0xA123F501 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x177310; op2val:0xa123f501 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x177310, 0xa123f501, x1, 660, x2) - -inst_198: -// rs1_val == 0x00091609 and rs2_val == 0xB57A6A1D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x91609; op2val:0xb57a6a1d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x91609, 0xb57a6a1d, x1, 664, x2) - -inst_199: -// rs1_val == 0x00040BE0 and rs2_val == 0xE90794DF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x40be0; op2val:0xe90794df -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x40be0, 0xe90794df, x1, 668, x2) - -inst_200: -// rs1_val == 0x00028D1B and rs2_val == 0xAF5570EE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x28d1b; op2val:0xaf5570ee -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x28d1b, 0xaf5570ee, x1, 672, x2) - -inst_201: -// rs1_val == 0x0001FBE5 and rs2_val == 0xD8B9B45C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1fbe5; op2val:0xd8b9b45c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1fbe5, 0xd8b9b45c, x1, 676, x2) - -inst_202: -// rs1_val == 0x0000AAC1 and rs2_val == 0x1BA1192E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaac1; op2val:0x1ba1192e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xaac1, 0x1ba1192e, x1, 680, x2) - -inst_203: -// rs1_val == 0x000062C3 and rs2_val == 0x49FE85B0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x62c3; op2val:0x49fe85b0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x62c3, 0x49fe85b0, x1, 684, x2) - -inst_204: -// rs1_val == 0x000022FD and rs2_val == 0x4105CCA7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x22fd; op2val:0x4105cca7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x22fd, 0x4105cca7, x1, 688, x2) - -inst_205: -// rs1_val == 0x000016B3 and rs2_val == 0xD7185DDA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x16b3; op2val:0xd7185dda -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x16b3, 0xd7185dda, x1, 692, x2) - -inst_206: -// rs1_val == 0x00000A38 and rs2_val == 0xA7A11490 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa38; op2val:0xa7a11490 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa38, 0xa7a11490, x1, 696, x2) - -inst_207: -// rs1_val == 0x000006A7 and rs2_val == 0xA9964AEF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6a7; op2val:0xa9964aef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6a7, 0xa9964aef, x1, 700, x2) - -inst_208: -// rs1_val == 0x000003B9 and rs2_val == 0x4B4D8474 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3b9; op2val:0x4b4d8474 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3b9, 0x4b4d8474, x1, 704, x2) - -inst_209: -// rs1_val == 0x00000190 and rs2_val == 0x76C468AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x190; op2val:0x76c468ae -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x190, 0x76c468ae, x1, 708, x2) - -inst_210: -// rs1_val == 0x000000D4 and rs2_val == 0x09208A65 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd4; op2val:0x9208a65 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd4, 0x9208a65, x1, 712, x2) - -inst_211: -// rs1_val == 0x00000067 and rs2_val == 0x8743FEB6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x67; op2val:0x8743feb6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x67, 0x8743feb6, x1, 716, x2) - -inst_212: -// rs1_val == 0x00000039 and rs2_val == 0xA66B0D38 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x39; op2val:0xa66b0d38 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x39, 0xa66b0d38, x1, 720, x2) - -inst_213: -// rs1_val == 0x0000001C and rs2_val == 0xFB710734 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1c; op2val:0xfb710734 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1c, 0xfb710734, x1, 724, x2) - -inst_214: -// rs1_val == 0x0000000E and rs2_val == 0xA26B7F62 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe; op2val:0xa26b7f62 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe, 0xa26b7f62, x1, 728, x2) - -inst_215: -// rs1_val == 0x00000007 and rs2_val == 0x4DABB481 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x4dabb481 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7, 0x4dabb481, x1, 732, x2) - -inst_216: -// rs1_val == 0x00000003 and rs2_val == 0x2FA91425 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2fa91425 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3, 0x2fa91425, x1, 736, x2) - -inst_217: -// rs1_val == 0x00000001 and rs2_val == 0x965EDA32 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x965eda32 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1, 0x965eda32, x1, 740, x2) - -inst_218: -// rs1_val == 0x00000000 and rs2_val == 0xC7FDE805 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc7fde805 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x0, 0xc7fde805, x1, 744, x2) - -inst_219: -// rs2_val == 0x6D3F408C and rs1_val == 0xFFEC35FE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffec35fe; op2val:0x6d3f408c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffec35fe, 0x6d3f408c, x1, 748, x2) - -inst_220: -// rs2_val == 0x946A3674 and rs1_val == 0x976AD220 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x976ad220; op2val:0x946a3674 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x976ad220, 0x946a3674, x1, 752, x2) - -inst_221: -// rs2_val == 0xDC6113A4 and rs1_val == 0x5990FE96 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5990fe96; op2val:0xdc6113a4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x5990fe96, 0xdc6113a4, x1, 756, x2) - -inst_222: -// rs2_val == 0xE42A809C and rs1_val == 0xC96EFDC4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc96efdc4; op2val:0xe42a809c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc96efdc4, 0xe42a809c, x1, 760, x2) - -inst_223: -// rs2_val == 0xF1A25760 and rs1_val == 0xAB8534C1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xab8534c1; op2val:0xf1a25760 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xab8534c1, 0xf1a25760, x1, 764, x2) - -inst_224: -// rs2_val == 0xFB37BEC9 and rs1_val == 0xD1142724 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd1142724; op2val:0xfb37bec9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xd1142724, 0xfb37bec9, x1, 768, x2) - -inst_225: -// rs2_val == 0xFCE51A66 and rs1_val == 0xF65E7737 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf65e7737; op2val:0xfce51a66 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf65e7737, 0xfce51a66, x1, 772, x2) - -inst_226: -// rs2_val == 0xFEDEBB9C and rs1_val == 0x16CBC21C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x16cbc21c; op2val:0xfedebb9c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x16cbc21c, 0xfedebb9c, x1, 776, x2) - -inst_227: -// rs2_val == 0xFF69340A and rs1_val == 0xDBDD4DD9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdbdd4dd9; op2val:0xff69340a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xdbdd4dd9, 0xff69340a, x1, 780, x2) - -inst_228: -// rs2_val == 0xFF9CF3F4 and rs1_val == 0x4BD90A77 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4bd90a77; op2val:0xff9cf3f4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x4bd90a77, 0xff9cf3f4, x1, 784, x2) - -inst_229: -// rs2_val == 0xFFC00793 and rs1_val == 0xCEBE24D9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcebe24d9; op2val:0xffc00793 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xcebe24d9, 0xffc00793, x1, 788, x2) - -inst_230: -// rs2_val == 0xFFEE1FC4 and rs1_val == 0xA0E0BD86 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa0e0bd86; op2val:0xffee1fc4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xa0e0bd86, 0xffee1fc4, x1, 792, x2) - -inst_231: -// rs2_val == 0xFFF06038 and rs1_val == 0x3CC279B3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3cc279b3; op2val:0xfff06038 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x3cc279b3, 0xfff06038, x1, 796, x2) - -inst_232: -// rs2_val == 0xFFF93D53 and rs1_val == 0x754F9B96 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x754f9b96; op2val:0xfff93d53 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x754f9b96, 0xfff93d53, x1, 800, x2) - -inst_233: -// rs2_val == 0xFFFC47E8 and rs1_val == 0x72745307 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x72745307; op2val:0xfffc47e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x72745307, 0xfffc47e8, x1, 804, x2) - -inst_234: -// rs2_val == 0xFFFE7302 and rs1_val == 0xDCAE6D62 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdcae6d62; op2val:0xfffe7302 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xdcae6d62, 0xfffe7302, x1, 808, x2) - -inst_235: -// rs2_val == 0xFFFF1CE8 and rs1_val == 0x7C2C966D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7c2c966d; op2val:0xffff1ce8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7c2c966d, 0xffff1ce8, x1, 812, x2) - -inst_236: -// rs2_val == 0xFFFFB5C6 and rs1_val == 0x9BB4752D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9bb4752d; op2val:0xffffb5c6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9bb4752d, 0xffffb5c6, x1, 816, x2) - -inst_237: -// rs2_val == 0xFFFFDFA4 and rs1_val == 0x17BE082F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x17be082f; op2val:0xffffdfa4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x17be082f, 0xffffdfa4, x1, 820, x2) - -inst_238: -// rs2_val == 0xFFFFEF0B and rs1_val == 0x109FF475 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x109ff475; op2val:0xffffef0b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x109ff475, 0xffffef0b, x1, 824, x2) - -inst_239: -// rs2_val == 0xFFFFF43F and rs1_val == 0x00B97EA6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb97ea6; op2val:0xfffff43f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xb97ea6, 0xfffff43f, x1, 828, x2) - -inst_240: -// rs2_val == 0xFFFFFB4A and rs1_val == 0xF956EC0B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf956ec0b; op2val:0xfffffb4a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf956ec0b, 0xfffffb4a, x1, 832, x2) - -inst_241: -// rs2_val == 0xFFFFFDA4 and rs1_val == 0x70FC1AFC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x70fc1afc; op2val:0xfffffda4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x70fc1afc, 0xfffffda4, x1, 836, x2) - -inst_242: -// rs2_val == 0xFFFFFECB and rs1_val == 0x6348306E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6348306e; op2val:0xfffffecb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6348306e, 0xfffffecb, x1, 840, x2) - -inst_243: -// rs2_val == 0xFFFFFF54 and rs1_val == 0x66B072B9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x66b072b9; op2val:0xffffff54 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x66b072b9, 0xffffff54, x1, 844, x2) - -inst_244: -// rs2_val == 0xFFFFFFA9 and rs1_val == 0x7FF822ED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7ff822ed; op2val:0xffffffa9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x7ff822ed, 0xffffffa9, x1, 848, x2) - -inst_245: -// rs2_val == 0xFFFFFFC3 and rs1_val == 0xE918BE9F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe918be9f; op2val:0xffffffc3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe918be9f, 0xffffffc3, x1, 852, x2) - -inst_246: -// rs2_val == 0xFFFFFFE7 and rs1_val == 0xE4BAE7F6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe4bae7f6; op2val:0xffffffe7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe4bae7f6, 0xffffffe7, x1, 856, x2) - -inst_247: -// rs2_val == 0xFFFFFFF1 and rs1_val == 0xDE9A896F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xde9a896f; op2val:0xfffffff1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xde9a896f, 0xfffffff1, x1, 860, x2) - -inst_248: -// rs2_val == 0xFFFFFFF8 and rs1_val == 0x2881E531 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2881e531; op2val:0xfffffff8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x2881e531, 0xfffffff8, x1, 864, x2) - -inst_249: -// rs2_val == 0xFFFFFFFC and rs1_val == 0x1475F78D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1475f78d; op2val:0xfffffffc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x1475f78d, 0xfffffffc, x1, 868, x2) - -inst_250: -// rs2_val == 0xFFFFFFFE and rs1_val == 0xE59CF78F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe59cf78f; op2val:0xfffffffe -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe59cf78f, 0xfffffffe, x1, 872, x2) - -inst_251: -// rs2_val == 0xFFFFFFFF and rs1_val == 0xB66B3284 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb66b3284; op2val:0xffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xb66b3284, 0xffffffff, x1, 876, x2) - -inst_252: -// rs1_val == 0x6F4930C9 and rs2_val == 0x39422745 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6f4930c9; op2val:0x39422745 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x6f4930c9, 0x39422745, x1, 880, x2) - -inst_253: -// rs1_val == 0x85D97467 and rs2_val == 0x58FA6E1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x85d97467; op2val:0x58fa6e1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x85d97467, 0x58fa6e1c, x1, 884, x2) - -inst_254: -// rs1_val == 0xC70AFC93 and rs2_val == 0x2D143295 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc70afc93; op2val:0x2d143295 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc70afc93, 0x2d143295, x1, 888, x2) - -inst_255: -// rs1_val == 0xE911655F and rs2_val == 0xD230B46C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe911655f; op2val:0xd230b46c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xe911655f, 0xd230b46c, x1, 892, x2) - -inst_256: -// rs1_val == 0xF4AB0A39 and rs2_val == 0x4D753AC1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf4ab0a39; op2val:0x4d753ac1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf4ab0a39, 0x4d753ac1, x1, 896, x2) - -inst_257: -// rs1_val == 0xF8BD4821 and rs2_val == 0x1E9667C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf8bd4821; op2val:0x1e9667c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf8bd4821, 0x1e9667c2, x1, 900, x2) - -inst_258: -// rs1_val == 0xFCD7E667 and rs2_val == 0xAE4839A1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfcd7e667; op2val:0xae4839a1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfcd7e667, 0xae4839a1, x1, 904, x2) - -inst_259: -// rs1_val == 0xFE71CFDF and rs2_val == 0x6A013380 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe71cfdf; op2val:0x6a013380 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfe71cfdf, 0x6a013380, x1, 908, x2) - -inst_260: -// rs1_val == 0xFF1C11AE and rs2_val == 0x59432A19 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff1c11ae; op2val:0x59432a19 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xff1c11ae, 0x59432a19, x1, 912, x2) - -inst_261: -// rs1_val == 0xFF89799A and rs2_val == 0xCEB506F6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff89799a; op2val:0xceb506f6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xff89799a, 0xceb506f6, x1, 916, x2) - -inst_262: -// rs1_val == 0xFFC80B13 and rs2_val == 0xC5EC6148 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffc80b13; op2val:0xc5ec6148 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffc80b13, 0xc5ec6148, x1, 920, x2) - -inst_263: -// rs1_val == 0xFFE94647 and rs2_val == 0x99EF1857 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffe94647; op2val:0x99ef1857 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffe94647, 0x99ef1857, x1, 924, x2) - -inst_264: -// rs1_val == 0xFFF263CF and rs2_val == 0x14B91C79 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfff263cf; op2val:0x14b91c79 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfff263cf, 0x14b91c79, x1, 928, x2) - -inst_265: -// rs1_val == 0xFFF919A1 and rs2_val == 0xA86B8A6E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfff919a1; op2val:0xa86b8a6e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfff919a1, 0xa86b8a6e, x1, 932, x2) - -inst_266: -// rs1_val == 0xFFFDE89D and rs2_val == 0x08208D09 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffde89d; op2val:0x8208d09 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffde89d, 0x8208d09, x1, 936, x2) - -inst_267: -// rs1_val == 0xFFFEC9D0 and rs2_val == 0x69B1DCBF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffec9d0; op2val:0x69b1dcbf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffec9d0, 0x69b1dcbf, x1, 940, x2) - -inst_268: -// rs1_val == 0xFFFF5576 and rs2_val == 0x807DA245 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffff5576; op2val:0x807da245 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffff5576, 0x807da245, x1, 944, x2) - -inst_269: -// rs1_val == 0xFFFFB6DF and rs2_val == 0x95A4D257 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffb6df; op2val:0x95a4d257 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffb6df, 0x95a4d257, x1, 948, x2) - -inst_270: -// rs1_val == 0xFFFFC561 and rs2_val == 0x735C076B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffc561; op2val:0x735c076b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffc561, 0x735c076b, x1, 952, x2) - -inst_271: -// rs1_val == 0xFFFFEAB5 and rs2_val == 0xE5F0307E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffeab5; op2val:0xe5f0307e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffeab5, 0xe5f0307e, x1, 956, x2) - -inst_272: -// rs1_val == 0xFFFFF602 and rs2_val == 0xE8DAC663 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffff602; op2val:0xe8dac663 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffff602, 0xe8dac663, x1, 960, x2) - -inst_273: -// rs1_val == 0xFFFFF8B1 and rs2_val == 0x0109C207 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffff8b1; op2val:0x109c207 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffff8b1, 0x109c207, x1, 964, x2) - -inst_274: -// rs1_val == 0xFFFFFCA0 and rs2_val == 0x600FECC1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffca0; op2val:0x600fecc1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffffca0, 0x600fecc1, x1, 968, x2) - -inst_275: -// rs1_val == 0xFFFFFECC and rs2_val == 0xFB7F6F5D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffecc; op2val:0xfb7f6f5d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffffecc, 0xfb7f6f5d, x1, 972, x2) - -inst_276: -// rs1_val == 0xFFFFFF6E and rs2_val == 0x5CD2875E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffff6e; op2val:0x5cd2875e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffff6e, 0x5cd2875e, x1, 976, x2) - -inst_277: -// rs1_val == 0xFFFFFF84 and rs2_val == 0xACCA7F0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffff84; op2val:0xacca7f0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffff84, 0xacca7f0d, x1, 980, x2) - -inst_278: -// rs1_val == 0xFFFFFFDD and rs2_val == 0x5AE6A228 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdd; op2val:0x5ae6a228 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffffdd, 0x5ae6a228, x1, 984, x2) - -inst_279: -// rs1_val == 0xFFFFFFE7 and rs2_val == 0xFF1E5BEF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe7; op2val:0xff1e5bef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xffffffe7, 0xff1e5bef, x1, 988, x2) - -inst_280: -// rs1_val == 0xFFFFFFF4 and rs2_val == 0x137A9777 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff4; op2val:0x137a9777 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffffff4, 0x137a9777, x1, 992, x2) - -inst_281: -// rs1_val == 0xFFFFFFFA and rs2_val == 0x854A9657 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffa; op2val:0x854a9657 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffffffa, 0x854a9657, x1, 996, x2) - -inst_282: -// rs1_val == 0xFFFFFFFD and rs2_val == 0xCF84B683 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0xcf84b683 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffffffd, 0xcf84b683, x1, 1000, x2) - -inst_283: -// rs1_val == 0xFFFFFFFE and rs2_val == 0x93FDCAB8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x93fdcab8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xfffffffe, 0x93fdcab8, x1, 1004, x2) - -inst_284: -// rs1_val == 0x91766f62 and rs2_val == 0x5570084b -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x91766f62; op2val:0x5570084b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x91766f62, 0x5570084b, x1, 1008, x2) - -inst_285: -// rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc0fe15dd; op2val:0x9f053821 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xc0fe15dd, 0x9f053821, x1, 1012, x2) - -inst_286: -// rs1_val == 0xf7f1305a and rs2_val == 0x9bedfe39 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf7f1305a; op2val:0x9bedfe39 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0xf7f1305a, 0x9bedfe39, x1, 1016, x2) - -inst_287: -// rs1_val == 0x55d98c6e and rs2_val == 0x2daf9ac7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x55d98c6e; op2val:0x2daf9ac7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x55d98c6e, 0x2daf9ac7, x1, 1020, x2) - -inst_288: -// rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c734d77; op2val:0xb8000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x00000000, 0x9c734d77, 0xb8000000, x1, 1024, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 16*(XLEN/32),4,0xdeadbeef - - -signature_x8_0: - .fill 16*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 257*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/K/src/xperm4-01.S b/riscv-test-suite/rv32i_m/K/src/xperm4-01.S new file mode 100644 index 000000000..4a6acfbc5 --- /dev/null +++ b/riscv-test-suite/rv32i_m/K/src/xperm4-01.S @@ -0,0 +1,1531 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.4.5 +// timestamp : Thu May 27 16:46:51 2021 GMT +// usage : riscv_ctg \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv32i_k.cgf \ +// --base-isa rv32i \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xperm4 instruction of the RISC-V extension for the xperm4 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32I") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_CASE(1,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_CASE(2,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_CASE(3,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_SIGBASE( x10,signature_x10_1) + +inst_0: +// rs1 == rd != rs2, rs1==x31, rs2==x7, rd==x31, rs1_val == 0xFFFFFFFF and rs2_val == 0x08577EB1 +// opcode: xperm4 ; op1:x31; op2:x7; dest:x31; op1val:0xffffffff; op2val:0x8577eb1 +TEST_RR_OP(xperm4, x31, x31, x7, 0x00000000, 0xffffffff, 0x8577eb1, x10, 0, x17) + +inst_1: +// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs1_val == 0x91766f62 and rs2_val == 0x5570084b +// opcode: xperm4 ; op1:x8; op2:x8; dest:x8; op1val:0x91766f62; op2val:0x91766f62 +TEST_RR_OP(xperm4, x8, x8, x8, 0x00000000, 0x91766f62, 0x91766f62, x10, 4, x17) + +inst_2: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x7, rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 +// opcode: xperm4 ; op1:x12; op2:x12; dest:x7; op1val:0xc0fe15dd; op2val:0xc0fe15dd +TEST_RR_OP(xperm4, x7, x12, x12, 0x00000000, 0xc0fe15dd, 0xc0fe15dd, x10, 8, x17) + +inst_3: +// rs2 == rd != rs1, rs1==x28, rs2==x2, rd==x2, rs1_val == 0xdc80d916 and rs2_val == 0x2a2a146d +// opcode: xperm4 ; op1:x28; op2:x2; dest:x2; op1val:0xdc80d916; op2val:0x2a2a146d +TEST_RR_OP(xperm4, x2, x28, x2, 0x00000000, 0xdc80d916, 0x2a2a146d, x10, 12, x17) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x4, rs2==x24, rd==x15, rs1_val == 0x952acffe and rs2_val == 0x25ae27ee +// opcode: xperm4 ; op1:x4; op2:x24; dest:x15; op1val:0x952acffe; op2val:0x25ae27ee +TEST_RR_OP(xperm4, x15, x4, x24, 0x00000000, 0x952acffe, 0x25ae27ee, x10, 16, x17) + +inst_5: +// rs1==x29, rs2==x4, rd==x14, rs1_val == 0x40a5ff52 and rs2_val == 0xb6f9706f +// opcode: xperm4 ; op1:x29; op2:x4; dest:x14; op1val:0x40a5ff52; op2val:0xb6f9706f +TEST_RR_OP(xperm4, x14, x29, x4, 0x00000000, 0x40a5ff52, 0xb6f9706f, x10, 20, x17) + +inst_6: +// rs1==x19, rs2==x5, rd==x25, rs1_val == 0xe3f4fca3 and rs2_val == 0xa6c9253a +// opcode: xperm4 ; op1:x19; op2:x5; dest:x25; op1val:0xe3f4fca3; op2val:0xa6c9253a +TEST_RR_OP(xperm4, x25, x19, x5, 0x00000000, 0xe3f4fca3, 0xa6c9253a, x10, 24, x17) + +inst_7: +// rs1==x23, rs2==x30, rd==x27, rs1_val == 0xc2f1c53e and rs2_val == 0xd05668ae +// opcode: xperm4 ; op1:x23; op2:x30; dest:x27; op1val:0xc2f1c53e; op2val:0xd05668ae +TEST_RR_OP(xperm4, x27, x23, x30, 0x00000000, 0xc2f1c53e, 0xd05668ae, x10, 28, x17) + +inst_8: +// rs1==x16, rs2==x11, rd==x24, rs1_val == 0x9722c9a6 and rs2_val == 0x7bcad7c4 +// opcode: xperm4 ; op1:x16; op2:x11; dest:x24; op1val:0x9722c9a6; op2val:0x7bcad7c4 +TEST_RR_OP(xperm4, x24, x16, x11, 0x00000000, 0x9722c9a6, 0x7bcad7c4, x10, 32, x17) + +inst_9: +// rs1==x26, rs2==x0, rd==x5, rs1_val == 0xf7f1305a and rs2_val == 0x9bedfe39 +// opcode: xperm4 ; op1:x26; op2:x0; dest:x5; op1val:0xf7f1305a; op2val:0x0 +TEST_RR_OP(xperm4, x5, x26, x0, 0x00000000, 0xf7f1305a, 0x0, x10, 36, x17) + +inst_10: +// rs1==x24, rs2==x31, rd==x11, rs1_val == 0xd75739f8 and rs2_val == 0xe6fff3d9 +// opcode: xperm4 ; op1:x24; op2:x31; dest:x11; op1val:0xd75739f8; op2val:0xe6fff3d9 +TEST_RR_OP(xperm4, x11, x24, x31, 0x00000000, 0xd75739f8, 0xe6fff3d9, x10, 40, x17) + +inst_11: +// rs1==x1, rs2==x29, rd==x9, rs1_val == 0x90efb625 and rs2_val == 0x3150e5fa +// opcode: xperm4 ; op1:x1; op2:x29; dest:x9; op1val:0x90efb625; op2val:0x3150e5fa +TEST_RR_OP(xperm4, x9, x1, x29, 0x00000000, 0x90efb625, 0x3150e5fa, x10, 44, x17) + +inst_12: +// rs1==x30, rs2==x3, rd==x13, rs1_val == 0x1fc493ca and rs2_val == 0x65408c73 +// opcode: xperm4 ; op1:x30; op2:x3; dest:x13; op1val:0x1fc493ca; op2val:0x65408c73 +TEST_RR_OP(xperm4, x13, x30, x3, 0x00000000, 0x1fc493ca, 0x65408c73, x10, 48, x17) + +inst_13: +// rs1==x27, rs2==x6, rd==x20, rs1_val == 0x8e2eac2a and rs2_val == 0xd169a3f8 +// opcode: xperm4 ; op1:x27; op2:x6; dest:x20; op1val:0x8e2eac2a; op2val:0xd169a3f8 +TEST_RR_OP(xperm4, x20, x27, x6, 0x00000000, 0x8e2eac2a, 0xd169a3f8, x10, 52, x17) + +inst_14: +// rs1==x22, rs2==x26, rd==x23, rs1_val == 0x35f9377f and rs2_val == 0xf4c30307 +// opcode: xperm4 ; op1:x22; op2:x26; dest:x23; op1val:0x35f9377f; op2val:0xf4c30307 +TEST_RR_OP(xperm4, x23, x22, x26, 0x00000000, 0x35f9377f, 0xf4c30307, x10, 56, x17) + +inst_15: +// rs1==x21, rs2==x25, rd==x28, rs1_val == 0x58d548aa and rs2_val == 0xa0569d76 +// opcode: xperm4 ; op1:x21; op2:x25; dest:x28; op1val:0x58d548aa; op2val:0xa0569d76 +TEST_RR_OP(xperm4, x28, x21, x25, 0x00000000, 0x58d548aa, 0xa0569d76, x10, 60, x7) +RVTEST_SIGBASE( x8,signature_x8_0) + +inst_16: +// rs1==x15, rs2==x21, rd==x0, rs1_val == 0x55d98c6e and rs2_val == 0x2daf9ac7 +// opcode: xperm4 ; op1:x15; op2:x21; dest:x0; op1val:0x55d98c6e; op2val:0x2daf9ac7 +TEST_RR_OP(xperm4, x0, x15, x21, 0x00000000, 0x55d98c6e, 0x2daf9ac7, x8, 0, x7) + +inst_17: +// rs1==x14, rs2==x10, rd==x26, rs1_val == 0x74b8de87 and rs2_val == 0xf273b44c +// opcode: xperm4 ; op1:x14; op2:x10; dest:x26; op1val:0x74b8de87; op2val:0xf273b44c +TEST_RR_OP(xperm4, x26, x14, x10, 0x00000000, 0x74b8de87, 0xf273b44c, x8, 4, x7) + +inst_18: +// rs1==x3, rs2==x9, rd==x1, rs1_val == 0xccce240c and rs2_val == 0x886c3a30 +// opcode: xperm4 ; op1:x3; op2:x9; dest:x1; op1val:0xccce240c; op2val:0x886c3a30 +TEST_RR_OP(xperm4, x1, x3, x9, 0x00000000, 0xccce240c, 0x886c3a30, x8, 8, x7) + +inst_19: +// rs1==x13, rs2==x28, rd==x22, rs1_val == 0xb49c83dc and rs2_val == 0xbb61a9cd +// opcode: xperm4 ; op1:x13; op2:x28; dest:x22; op1val:0xb49c83dc; op2val:0xbb61a9cd +TEST_RR_OP(xperm4, x22, x13, x28, 0x00000000, 0xb49c83dc, 0xbb61a9cd, x8, 12, x7) + +inst_20: +// rs1==x20, rs2==x1, rd==x6, rs1_val == 0x254a9493 and rs2_val == 0xc5521660 +// opcode: xperm4 ; op1:x20; op2:x1; dest:x6; op1val:0x254a9493; op2val:0xc5521660 +TEST_RR_OP(xperm4, x6, x20, x1, 0x00000000, 0x254a9493, 0xc5521660, x8, 16, x7) + +inst_21: +// rs1==x9, rs2==x15, rd==x17, rs2_val == 0x00000000 and rs1_val == 0x4FFE831A +// opcode: xperm4 ; op1:x9; op2:x15; dest:x17; op1val:0x4ffe831a; op2val:0x0 +TEST_RR_OP(xperm4, x17, x9, x15, 0x00000000, 0x4ffe831a, 0x0, x8, 20, x7) + +inst_22: +// rs1==x25, rs2==x20, rd==x4, rs2_val == 0x80000000 and rs1_val == 0xAFC08ACE +// opcode: xperm4 ; op1:x25; op2:x20; dest:x4; op1val:0xafc08ace; op2val:0x80000000 +TEST_RR_OP(xperm4, x4, x25, x20, 0x00000000, 0xafc08ace, 0x80000000, x8, 24, x7) + +inst_23: +// rs1==x2, rs2==x27, rd==x19, rs2_val == 0x40000000 and rs1_val == 0xAF6E9055 +// opcode: xperm4 ; op1:x2; op2:x27; dest:x19; op1val:0xaf6e9055; op2val:0x40000000 +TEST_RR_OP(xperm4, x19, x2, x27, 0x00000000, 0xaf6e9055, 0x40000000, x8, 28, x7) + +inst_24: +// rs1==x10, rs2==x17, rd==x30, rs2_val == 0xE0000000 and rs1_val == 0x5B130474 +// opcode: xperm4 ; op1:x10; op2:x17; dest:x30; op1val:0x5b130474; op2val:0xe0000000 +TEST_RR_OP(xperm4, x30, x10, x17, 0x00000000, 0x5b130474, 0xe0000000, x8, 32, x7) + +inst_25: +// rs1==x11, rs2==x13, rd==x29, rs2_val == 0x90000000 and rs1_val == 0x3EEA126E +// opcode: xperm4 ; op1:x11; op2:x13; dest:x29; op1val:0x3eea126e; op2val:0x90000000 +TEST_RR_OP(xperm4, x29, x11, x13, 0x00000000, 0x3eea126e, 0x90000000, x8, 36, x7) + +inst_26: +// rs1==x0, rs2==x14, rd==x18, rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 +// opcode: xperm4 ; op1:x0; op2:x14; dest:x18; op1val:0x0; op2val:0xb8000000 +TEST_RR_OP(xperm4, x18, x0, x14, 0x00000000, 0x0, 0xb8000000, x8, 40, x7) + +inst_27: +// rs1==x17, rs2==x16, rd==x21, rs2_val == 0xB4000000 and rs1_val == 0x5A694BCA +// opcode: xperm4 ; op1:x17; op2:x16; dest:x21; op1val:0x5a694bca; op2val:0xb4000000 +TEST_RR_OP(xperm4, x21, x17, x16, 0x00000000, 0x5a694bca, 0xb4000000, x8, 44, x7) + +inst_28: +// rs1==x18, rs2==x19, rd==x10, rs2_val == 0x3E000000 and rs1_val == 0xED52E4CA +// opcode: xperm4 ; op1:x18; op2:x19; dest:x10; op1val:0xed52e4ca; op2val:0x3e000000 +TEST_RR_OP(xperm4, x10, x18, x19, 0x00000000, 0xed52e4ca, 0x3e000000, x8, 48, x7) + +inst_29: +// rs1==x5, rs2==x23, rd==x16, rs2_val == 0xFB000000 and rs1_val == 0xB5CB2A93 +// opcode: xperm4 ; op1:x5; op2:x23; dest:x16; op1val:0xb5cb2a93; op2val:0xfb000000 +TEST_RR_OP(xperm4, x16, x5, x23, 0x00000000, 0xb5cb2a93, 0xfb000000, x8, 52, x7) + +inst_30: +// rs1==x6, rs2==x18, rd==x12, rs2_val == 0x68800000 and rs1_val == 0x29324E16 +// opcode: xperm4 ; op1:x6; op2:x18; dest:x12; op1val:0x29324e16; op2val:0x68800000 +TEST_RR_OP(xperm4, x12, x6, x18, 0x00000000, 0x29324e16, 0x68800000, x8, 56, x7) + +inst_31: +// rs1==x7, rs2==x22, rd==x3, rs2_val == 0xB7400000 and rs1_val == 0xBC5FB419 +// opcode: xperm4 ; op1:x7; op2:x22; dest:x3; op1val:0xbc5fb419; op2val:0xb7400000 +TEST_RR_OP(xperm4, x3, x7, x22, 0x00000000, 0xbc5fb419, 0xb7400000, x8, 60, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_32: +// rs2_val == 0x5CE00000 and rs1_val == 0x8E92E1B8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8e92e1b8; op2val:0x5ce00000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x8e92e1b8, 0x5ce00000, x1, 0, x2) + +inst_33: +// rs2_val == 0x49F00000 and rs1_val == 0x96A3B48B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x96a3b48b; op2val:0x49f00000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x96a3b48b, 0x49f00000, x1, 4, x2) + +inst_34: +// rs2_val == 0x53D80000 and rs1_val == 0x0A095049 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa095049; op2val:0x53d80000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa095049, 0x53d80000, x1, 8, x2) + +inst_35: +// rs2_val == 0x2EC40000 and rs1_val == 0x6F6E71B7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e71b7; op2val:0x2ec40000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6f6e71b7, 0x2ec40000, x1, 12, x2) + +inst_36: +// rs2_val == 0x8E860000 and rs1_val == 0x236CC43D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x236cc43d; op2val:0x8e860000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x236cc43d, 0x8e860000, x1, 16, x2) + +inst_37: +// rs2_val == 0x6FBF0000 and rs1_val == 0xE2ED8971 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe2ed8971; op2val:0x6fbf0000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe2ed8971, 0x6fbf0000, x1, 20, x2) + +inst_38: +// rs2_val == 0x354E8000 and rs1_val == 0x06FA7B3E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6fa7b3e; op2val:0x354e8000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6fa7b3e, 0x354e8000, x1, 24, x2) + +inst_39: +// rs2_val == 0xFB07C000 and rs1_val == 0x4143DA51 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4143da51; op2val:0xfb07c000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x4143da51, 0xfb07c000, x1, 28, x2) + +inst_40: +// rs2_val == 0xDFFA2000 and rs1_val == 0xCAC78511 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcac78511; op2val:0xdffa2000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xcac78511, 0xdffa2000, x1, 32, x2) + +inst_41: +// rs2_val == 0x45D1F000 and rs1_val == 0xDF880B11 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdf880b11; op2val:0x45d1f000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xdf880b11, 0x45d1f000, x1, 36, x2) + +inst_42: +// rs2_val == 0x9069A800 and rs1_val == 0xBD230058 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbd230058; op2val:0x9069a800 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xbd230058, 0x9069a800, x1, 40, x2) + +inst_43: +// rs2_val == 0xF5B1B400 and rs1_val == 0xF2597377 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf2597377; op2val:0xf5b1b400 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf2597377, 0xf5b1b400, x1, 44, x2) + +inst_44: +// rs2_val == 0x06B6DA00 and rs1_val == 0x5A8E7F31 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5a8e7f31; op2val:0x6b6da00 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5a8e7f31, 0x6b6da00, x1, 48, x2) + +inst_45: +// rs2_val == 0xBFB0F100 and rs1_val == 0x7A3621F5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7a3621f5; op2val:0xbfb0f100 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7a3621f5, 0xbfb0f100, x1, 52, x2) + +inst_46: +// rs2_val == 0xD838C880 and rs1_val == 0x1E3C492C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1e3c492c; op2val:0xd838c880 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1e3c492c, 0xd838c880, x1, 56, x2) + +inst_47: +// rs2_val == 0x5C46AEC0 and rs1_val == 0xD4FAF4B1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd4faf4b1; op2val:0x5c46aec0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd4faf4b1, 0x5c46aec0, x1, 60, x2) + +inst_48: +// rs2_val == 0xCF7AC620 and rs1_val == 0x27A16894 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x27a16894; op2val:0xcf7ac620 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x27a16894, 0xcf7ac620, x1, 64, x2) + +inst_49: +// rs2_val == 0x05C2F650 and rs1_val == 0x0A3EF19E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa3ef19e; op2val:0x5c2f650 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa3ef19e, 0x5c2f650, x1, 68, x2) + +inst_50: +// rs2_val == 0xEEC50588 and rs1_val == 0xCB8193EF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcb8193ef; op2val:0xeec50588 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xcb8193ef, 0xeec50588, x1, 72, x2) + +inst_51: +// rs2_val == 0xCA7160CC and rs1_val == 0x577F8847 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x577f8847; op2val:0xca7160cc +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x577f8847, 0xca7160cc, x1, 76, x2) + +inst_52: +// rs2_val == 0x60E30DA2 and rs1_val == 0x9B5EAF0A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9b5eaf0a; op2val:0x60e30da2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9b5eaf0a, 0x60e30da2, x1, 80, x2) + +inst_53: +// rs2_val == 0x76F86039 and rs1_val == 0x5D3BBCE0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5d3bbce0; op2val:0x76f86039 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5d3bbce0, 0x76f86039, x1, 84, x2) + +inst_54: +// rs1_val == 0x00000000 and rs2_val == 0xFD1032E8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfd1032e8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x0, 0xfd1032e8, x1, 88, x2) + +inst_55: +// rs1_val == 0x80000000 and rs2_val == 0x7B246C17 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x7b246c17 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x80000000, 0x7b246c17, x1, 92, x2) + +inst_56: +// rs1_val == 0x40000000 and rs2_val == 0x56F3EEF1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x56f3eef1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x40000000, 0x56f3eef1, x1, 96, x2) + +inst_57: +// rs1_val == 0xA0000000 and rs2_val == 0x75923260 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa0000000; op2val:0x75923260 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa0000000, 0x75923260, x1, 100, x2) + +inst_58: +// rs1_val == 0x10000000 and rs2_val == 0xB9D3087C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb9d3087c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x10000000, 0xb9d3087c, x1, 104, x2) + +inst_59: +// rs1_val == 0xA8000000 and rs2_val == 0x46CBD355 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa8000000; op2val:0x46cbd355 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa8000000, 0x46cbd355, x1, 108, x2) + +inst_60: +// rs1_val == 0xE4000000 and rs2_val == 0x4616E73D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe4000000; op2val:0x4616e73d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe4000000, 0x4616e73d, x1, 112, x2) + +inst_61: +// rs1_val == 0x8E000000 and rs2_val == 0x8CCAEC71 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8e000000; op2val:0x8ccaec71 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x8e000000, 0x8ccaec71, x1, 116, x2) + +inst_62: +// rs1_val == 0x13000000 and rs2_val == 0x9B774054 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x13000000; op2val:0x9b774054 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x13000000, 0x9b774054, x1, 120, x2) + +inst_63: +// rs1_val == 0x8B800000 and rs2_val == 0x6D5FCD18 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8b800000; op2val:0x6d5fcd18 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x8b800000, 0x6d5fcd18, x1, 124, x2) + +inst_64: +// rs1_val == 0x7EC00000 and rs2_val == 0x0696F561 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7ec00000; op2val:0x696f561 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7ec00000, 0x696f561, x1, 128, x2) + +inst_65: +// rs1_val == 0x3DA00000 and rs2_val == 0x6E1E98E2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3da00000; op2val:0x6e1e98e2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3da00000, 0x6e1e98e2, x1, 132, x2) + +inst_66: +// rs1_val == 0x20100000 and rs2_val == 0x2DEDB6A7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x20100000; op2val:0x2dedb6a7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x20100000, 0x2dedb6a7, x1, 136, x2) + +inst_67: +// rs1_val == 0x98380000 and rs2_val == 0x3C272728 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x98380000; op2val:0x3c272728 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x98380000, 0x3c272728, x1, 140, x2) + +inst_68: +// rs1_val == 0x80F40000 and rs2_val == 0x4F55C73D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x80f40000; op2val:0x4f55c73d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x80f40000, 0x4f55c73d, x1, 144, x2) + +inst_69: +// rs1_val == 0x43560000 and rs2_val == 0xB0AB577A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x43560000; op2val:0xb0ab577a +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x43560000, 0xb0ab577a, x1, 148, x2) + +inst_70: +// rs1_val == 0x62A90000 and rs2_val == 0x42F5D75E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x62a90000; op2val:0x42f5d75e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x62a90000, 0x42f5d75e, x1, 152, x2) + +inst_71: +// rs1_val == 0x60348000 and rs2_val == 0xB9F09825 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x60348000; op2val:0xb9f09825 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x60348000, 0xb9f09825, x1, 156, x2) + +inst_72: +// rs1_val == 0x5EF6C000 and rs2_val == 0x9BFAD94F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5ef6c000; op2val:0x9bfad94f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5ef6c000, 0x9bfad94f, x1, 160, x2) + +inst_73: +// rs1_val == 0x79DF6000 and rs2_val == 0x98918DD8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x79df6000; op2val:0x98918dd8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x79df6000, 0x98918dd8, x1, 164, x2) + +inst_74: +// rs1_val == 0x864C1000 and rs2_val == 0x9B811F47 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x864c1000; op2val:0x9b811f47 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x864c1000, 0x9b811f47, x1, 168, x2) + +inst_75: +// rs1_val == 0x735CB800 and rs2_val == 0xD0D18FB0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x735cb800; op2val:0xd0d18fb0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x735cb800, 0xd0d18fb0, x1, 172, x2) + +inst_76: +// rs1_val == 0x29554400 and rs2_val == 0x71992790 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x29554400; op2val:0x71992790 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x29554400, 0x71992790, x1, 176, x2) + +inst_77: +// rs1_val == 0xA9A56A00 and rs2_val == 0x8248F803 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa9a56a00; op2val:0x8248f803 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa9a56a00, 0x8248f803, x1, 180, x2) + +inst_78: +// rs1_val == 0xC3405D00 and rs2_val == 0xEB3D7873 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc3405d00; op2val:0xeb3d7873 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc3405d00, 0xeb3d7873, x1, 184, x2) + +inst_79: +// rs1_val == 0x394D8080 and rs2_val == 0xD7A7BF5E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x394d8080; op2val:0xd7a7bf5e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x394d8080, 0xd7a7bf5e, x1, 188, x2) + +inst_80: +// rs1_val == 0xC6677840 and rs2_val == 0xD1BA5C0F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc6677840; op2val:0xd1ba5c0f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc6677840, 0xd1ba5c0f, x1, 192, x2) + +inst_81: +// rs1_val == 0x70598E60 and rs2_val == 0xD19E3224 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x70598e60; op2val:0xd19e3224 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x70598e60, 0xd19e3224, x1, 196, x2) + +inst_82: +// rs1_val == 0x98A59F90 and rs2_val == 0x35D30D74 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x98a59f90; op2val:0x35d30d74 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x98a59f90, 0x35d30d74, x1, 200, x2) + +inst_83: +// rs1_val == 0xD306DEB8 and rs2_val == 0x70A76E49 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd306deb8; op2val:0x70a76e49 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd306deb8, 0x70a76e49, x1, 204, x2) + +inst_84: +// rs1_val == 0x18A01374 and rs2_val == 0x9FCDB9E1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x18a01374; op2val:0x9fcdb9e1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x18a01374, 0x9fcdb9e1, x1, 208, x2) + +inst_85: +// rs1_val == 0xC3667402 and rs2_val == 0x5FEFE911 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc3667402; op2val:0x5fefe911 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc3667402, 0x5fefe911, x1, 212, x2) + +inst_86: +// rs1_val == 0x797D76DF and rs2_val == 0x598B88DB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x797d76df; op2val:0x598b88db +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x797d76df, 0x598b88db, x1, 216, x2) + +inst_87: +// rs2_val == 0x0C04F662 and rs1_val == 0xB7E7669E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e; op2val:0xc04f662 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xb7e7669e, 0xc04f662, x1, 220, x2) + +inst_88: +// rs2_val == 0xCD41CAD1 and rs1_val == 0xD24F0724 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd24f0724; op2val:0xcd41cad1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd24f0724, 0xcd41cad1, x1, 224, x2) + +inst_89: +// rs2_val == 0x1203965B and rs1_val == 0x585022A3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x585022a3; op2val:0x1203965b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x585022a3, 0x1203965b, x1, 228, x2) + +inst_90: +// rs2_val == 0x7A9AC0A7 and rs1_val == 0xEE8F948A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xee8f948a; op2val:0x7a9ac0a7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xee8f948a, 0x7a9ac0a7, x1, 232, x2) + +inst_91: +// rs2_val == 0x2AA8E42F and rs1_val == 0x2655FA99 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2655fa99; op2val:0x2aa8e42f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x2655fa99, 0x2aa8e42f, x1, 236, x2) + +inst_92: +// rs2_val == 0x211D785F and rs1_val == 0x0C96A183 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc96a183; op2val:0x211d785f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc96a183, 0x211d785f, x1, 240, x2) + +inst_93: +// rs2_val == 0x59DDE33F and rs1_val == 0x88F931F4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x88f931f4; op2val:0x59dde33f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x88f931f4, 0x59dde33f, x1, 244, x2) + +inst_94: +// rs2_val == 0x711E627F and rs1_val == 0x6F2BF862 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6f2bf862; op2val:0x711e627f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6f2bf862, 0x711e627f, x1, 248, x2) + +inst_95: +// rs2_val == 0x19835AFF and rs1_val == 0x5C6C32A5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5c6c32a5; op2val:0x19835aff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5c6c32a5, 0x19835aff, x1, 252, x2) + +inst_96: +// rs2_val == 0x088B3DFF and rs1_val == 0x58FC0342 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x58fc0342; op2val:0x88b3dff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x58fc0342, 0x88b3dff, x1, 256, x2) + +inst_97: +// rs2_val == 0x9A6DA3FF and rs1_val == 0x636A75E3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e3; op2val:0x9a6da3ff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x636a75e3, 0x9a6da3ff, x1, 260, x2) + +inst_98: +// rs2_val == 0x37E0D7FF and rs1_val == 0x4ED62428 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4ed62428; op2val:0x37e0d7ff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x4ed62428, 0x37e0d7ff, x1, 264, x2) + +inst_99: +// rs2_val == 0x5E59CFFF and rs1_val == 0xD2D12745 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd2d12745; op2val:0x5e59cfff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd2d12745, 0x5e59cfff, x1, 268, x2) + +inst_100: +// rs2_val == 0xDD129FFF and rs1_val == 0x0D770F3C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd770f3c; op2val:0xdd129fff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd770f3c, 0xdd129fff, x1, 272, x2) + +inst_101: +// rs2_val == 0x872EBFFF and rs1_val == 0x2311ACFB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2311acfb; op2val:0x872ebfff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x2311acfb, 0x872ebfff, x1, 276, x2) + +inst_102: +// rs2_val == 0x55367FFF and rs1_val == 0x0FB13BBC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfb13bbc; op2val:0x55367fff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfb13bbc, 0x55367fff, x1, 280, x2) + +inst_103: +// rs2_val == 0xFDD2FFFF and rs1_val == 0x8DFC2307 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8dfc2307; op2val:0xfdd2ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x8dfc2307, 0xfdd2ffff, x1, 284, x2) + +inst_104: +// rs2_val == 0x30BDFFFF and rs1_val == 0x7312BE6D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7312be6d; op2val:0x30bdffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7312be6d, 0x30bdffff, x1, 288, x2) + +inst_105: +// rs2_val == 0xA743FFFF and rs1_val == 0xC61B1FBF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf; op2val:0xa743ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc61b1fbf, 0xa743ffff, x1, 292, x2) + +inst_106: +// rs2_val == 0x9987FFFF and rs1_val == 0xEBDA5A4F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xebda5a4f; op2val:0x9987ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xebda5a4f, 0x9987ffff, x1, 296, x2) + +inst_107: +// rs2_val == 0x118FFFFF and rs1_val == 0xC215E193 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc215e193; op2val:0x118fffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc215e193, 0x118fffff, x1, 300, x2) + +inst_108: +// rs2_val == 0x65DFFFFF and rs1_val == 0x75EE935F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x75ee935f; op2val:0x65dfffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x75ee935f, 0x65dfffff, x1, 304, x2) + +inst_109: +// rs2_val == 0x6CBFFFFF and rs1_val == 0x09C16162 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9c16162; op2val:0x6cbfffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9c16162, 0x6cbfffff, x1, 308, x2) + +inst_110: +// rs2_val == 0x347FFFFF and rs1_val == 0xA4053175 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa4053175; op2val:0x347fffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa4053175, 0x347fffff, x1, 312, x2) + +inst_111: +// rs2_val == 0xC4FFFFFF and rs1_val == 0x499006C8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x499006c8; op2val:0xc4ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x499006c8, 0xc4ffffff, x1, 316, x2) + +inst_112: +// rs2_val == 0x41FFFFFF and rs1_val == 0x3C5B3EEE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3c5b3eee; op2val:0x41ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3c5b3eee, 0x41ffffff, x1, 320, x2) + +inst_113: +// rs2_val == 0x6BFFFFFF and rs1_val == 0xD95FD86A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd95fd86a; op2val:0x6bffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd95fd86a, 0x6bffffff, x1, 324, x2) + +inst_114: +// rs2_val == 0x87FFFFFF and rs1_val == 0x25784F4F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x25784f4f; op2val:0x87ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x25784f4f, 0x87ffffff, x1, 328, x2) + +inst_115: +// rs2_val == 0xCFFFFFFF and rs1_val == 0x082018FA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x82018fa; op2val:0xcfffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x82018fa, 0xcfffffff, x1, 332, x2) + +inst_116: +// rs2_val == 0x9FFFFFFF and rs1_val == 0x350CC530 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x350cc530; op2val:0x9fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x350cc530, 0x9fffffff, x1, 336, x2) + +inst_117: +// rs2_val == 0x3FFFFFFF and rs1_val == 0x7966A24E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7966a24e; op2val:0x3fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7966a24e, 0x3fffffff, x1, 340, x2) + +inst_118: +// rs2_val == 0x7FFFFFFF and rs1_val == 0x51D6D6DA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x51d6d6da; op2val:0x7fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x51d6d6da, 0x7fffffff, x1, 344, x2) + +inst_119: +// rs2_val == 0xFFFFFFFF and rs1_val == 0xD5A2038F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2038f; op2val:0xffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd5a2038f, 0xffffffff, x1, 348, x2) + +inst_120: +// rs1_val == 0xFF7746E6 and rs2_val == 0x4F829B65 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xff7746e6; op2val:0x4f829b65 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xff7746e6, 0x4f829b65, x1, 352, x2) + +inst_121: +// rs1_val == 0xF89A7241 and rs2_val == 0x00C2F091 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf89a7241; op2val:0xc2f091 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf89a7241, 0xc2f091, x1, 356, x2) + +inst_122: +// rs1_val == 0x11B36A93 and rs2_val == 0xB1F5D853 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x11b36a93; op2val:0xb1f5d853 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x11b36a93, 0xb1f5d853, x1, 360, x2) + +inst_123: +// rs1_val == 0xC9932457 and rs2_val == 0x39BE2172 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc9932457; op2val:0x39be2172 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc9932457, 0x39be2172, x1, 364, x2) + +inst_124: +// rs1_val == 0x4B9A6C8F and rs2_val == 0x316039EE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4b9a6c8f; op2val:0x316039ee +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x4b9a6c8f, 0x316039ee, x1, 368, x2) + +inst_125: +// rs1_val == 0x9541241F and rs2_val == 0x5761A866 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9541241f; op2val:0x5761a866 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9541241f, 0x5761a866, x1, 372, x2) + +inst_126: +// rs1_val == 0x94B431BF and rs2_val == 0x09E4D1F4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x94b431bf; op2val:0x9e4d1f4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x94b431bf, 0x9e4d1f4, x1, 376, x2) + +inst_127: +// rs1_val == 0xDC8FE97F and rs2_val == 0x9E03793F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdc8fe97f; op2val:0x9e03793f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xdc8fe97f, 0x9e03793f, x1, 380, x2) + +inst_128: +// rs1_val == 0xB903CEFF and rs2_val == 0x7F1071EC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb903ceff; op2val:0x7f1071ec +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xb903ceff, 0x7f1071ec, x1, 384, x2) + +inst_129: +// rs1_val == 0xB494A5FF and rs2_val == 0x9A7EF9E4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb494a5ff; op2val:0x9a7ef9e4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xb494a5ff, 0x9a7ef9e4, x1, 388, x2) + +inst_130: +// rs1_val == 0xE2DD83FF and rs2_val == 0x59C05BB9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe2dd83ff; op2val:0x59c05bb9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe2dd83ff, 0x59c05bb9, x1, 392, x2) + +inst_131: +// rs1_val == 0xBBAFD7FF and rs2_val == 0xDE451397 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbbafd7ff; op2val:0xde451397 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xbbafd7ff, 0xde451397, x1, 396, x2) + +inst_132: +// rs1_val == 0xCE5C4FFF and rs2_val == 0x40F27005 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xce5c4fff; op2val:0x40f27005 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xce5c4fff, 0x40f27005, x1, 400, x2) + +inst_133: +// rs1_val == 0x39935FFF and rs2_val == 0x24496FE3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x39935fff; op2val:0x24496fe3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x39935fff, 0x24496fe3, x1, 404, x2) + +inst_134: +// rs1_val == 0xEED7BFFF and rs2_val == 0xDE14BFF2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xeed7bfff; op2val:0xde14bff2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xeed7bfff, 0xde14bff2, x1, 408, x2) + +inst_135: +// rs1_val == 0x008E7FFF and rs2_val == 0xB808A677 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8e7fff; op2val:0xb808a677 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x8e7fff, 0xb808a677, x1, 412, x2) + +inst_136: +// rs1_val == 0x12C2FFFF and rs2_val == 0x76B1FD3D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x12c2ffff; op2val:0x76b1fd3d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x12c2ffff, 0x76b1fd3d, x1, 416, x2) + +inst_137: +// rs1_val == 0xE3A5FFFF and rs2_val == 0x5DCF019D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe3a5ffff; op2val:0x5dcf019d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe3a5ffff, 0x5dcf019d, x1, 420, x2) + +inst_138: +// rs1_val == 0x9B03FFFF and rs2_val == 0x47B7097B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9b03ffff; op2val:0x47b7097b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9b03ffff, 0x47b7097b, x1, 424, x2) + +inst_139: +// rs1_val == 0x5F07FFFF and rs2_val == 0x759F1B43 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5f07ffff; op2val:0x759f1b43 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5f07ffff, 0x759f1b43, x1, 428, x2) + +inst_140: +// rs1_val == 0x33CFFFFF and rs2_val == 0x5B331999 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x33cfffff; op2val:0x5b331999 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x33cfffff, 0x5b331999, x1, 432, x2) + +inst_141: +// rs1_val == 0x709FFFFF and rs2_val == 0x2D37DE81 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x709fffff; op2val:0x2d37de81 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x709fffff, 0x2d37de81, x1, 436, x2) + +inst_142: +// rs1_val == 0xD1BFFFFF and rs2_val == 0xFCB627AF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd1bfffff; op2val:0xfcb627af +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd1bfffff, 0xfcb627af, x1, 440, x2) + +inst_143: +// rs1_val == 0xAB7FFFFF and rs2_val == 0x1E0B4EE5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xab7fffff; op2val:0x1e0b4ee5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xab7fffff, 0x1e0b4ee5, x1, 444, x2) + +inst_144: +// rs1_val == 0x7CFFFFFF and rs2_val == 0xFB3E7196 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7cffffff; op2val:0xfb3e7196 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7cffffff, 0xfb3e7196, x1, 448, x2) + +inst_145: +// rs1_val == 0x59FFFFFF and rs2_val == 0xD9959A62 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x59ffffff; op2val:0xd9959a62 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x59ffffff, 0xd9959a62, x1, 452, x2) + +inst_146: +// rs1_val == 0xDBFFFFFF and rs2_val == 0xE08409F0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdbffffff; op2val:0xe08409f0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xdbffffff, 0xe08409f0, x1, 456, x2) + +inst_147: +// rs1_val == 0xF7FFFFFF and rs2_val == 0x258ECECB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0x258ececb +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf7ffffff, 0x258ececb, x1, 460, x2) + +inst_148: +// rs1_val == 0x6FFFFFFF and rs2_val == 0xFF7D5EC0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6fffffff; op2val:0xff7d5ec0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6fffffff, 0xff7d5ec0, x1, 464, x2) + +inst_149: +// rs1_val == 0x9FFFFFFF and rs2_val == 0x4B6EA010 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9fffffff; op2val:0x4b6ea010 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9fffffff, 0x4b6ea010, x1, 468, x2) + +inst_150: +// rs1_val == 0x3FFFFFFF and rs2_val == 0xD885BBAC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0xd885bbac +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3fffffff, 0xd885bbac, x1, 472, x2) + +inst_151: +// rs1_val == 0x7FFFFFFF and rs2_val == 0xBBE8F88D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xbbe8f88d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7fffffff, 0xbbe8f88d, x1, 476, x2) + +inst_152: +// rs1_val == 0xFFFFFFFF and rs2_val == 0xE3D6E4B9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xe3d6e4b9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffffff, 0xe3d6e4b9, x1, 480, x2) + +inst_153: +// rs2_val == 0x970216FD and rs1_val == 0x0494B6D2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x494b6d2; op2val:0x970216fd +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x494b6d2, 0x970216fd, x1, 484, x2) + +inst_154: +// rs2_val == 0x5CB58B8F and rs1_val == 0xF2650B71 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf2650b71; op2val:0x5cb58b8f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf2650b71, 0x5cb58b8f, x1, 488, x2) + +inst_155: +// rs2_val == 0x27EFDA6C and rs1_val == 0x21AF214A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x21af214a; op2val:0x27efda6c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x21af214a, 0x27efda6c, x1, 492, x2) + +inst_156: +// rs2_val == 0x1D1EF7C0 and rs1_val == 0x482EA760 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x482ea760; op2val:0x1d1ef7c0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x482ea760, 0x1d1ef7c0, x1, 496, x2) + +inst_157: +// rs2_val == 0x0FC2A909 and rs1_val == 0x0F7A0443 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf7a0443; op2val:0xfc2a909 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf7a0443, 0xfc2a909, x1, 500, x2) + +inst_158: +// rs2_val == 0x04E9E4A6 and rs1_val == 0x69534048 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x69534048; op2val:0x4e9e4a6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x69534048, 0x4e9e4a6, x1, 504, x2) + +inst_159: +// rs2_val == 0x025FDCD7 and rs1_val == 0x043E3EF5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x43e3ef5; op2val:0x25fdcd7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x43e3ef5, 0x25fdcd7, x1, 508, x2) + +inst_160: +// rs2_val == 0x01782EBC and rs1_val == 0x12FAD802 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x12fad802; op2val:0x1782ebc +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x12fad802, 0x1782ebc, x1, 512, x2) + +inst_161: +// rs2_val == 0x00A39575 and rs1_val == 0x119B4FE5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x119b4fe5; op2val:0xa39575 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x119b4fe5, 0xa39575, x1, 516, x2) + +inst_162: +// rs2_val == 0x0049886F and rs1_val == 0x7DB224CB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7db224cb; op2val:0x49886f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7db224cb, 0x49886f, x1, 520, x2) + +inst_163: +// rs2_val == 0x0025693C and rs1_val == 0xB45F51C3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb45f51c3; op2val:0x25693c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xb45f51c3, 0x25693c, x1, 524, x2) + +inst_164: +// rs2_val == 0x0018031A and rs1_val == 0x41536363 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x41536363; op2val:0x18031a +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x41536363, 0x18031a, x1, 528, x2) + +inst_165: +// rs2_val == 0x000A8267 and rs1_val == 0x1A953CCA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1a953cca; op2val:0xa8267 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1a953cca, 0xa8267, x1, 532, x2) + +inst_166: +// rs2_val == 0x00073010 and rs1_val == 0x14186EBF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x14186ebf; op2val:0x73010 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x14186ebf, 0x73010, x1, 536, x2) + +inst_167: +// rs2_val == 0x00038734 and rs1_val == 0xF33C1A7F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf33c1a7f; op2val:0x38734 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf33c1a7f, 0x38734, x1, 540, x2) + +inst_168: +// rs2_val == 0x0001EAB1 and rs1_val == 0x8DCE6F52 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8dce6f52; op2val:0x1eab1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x8dce6f52, 0x1eab1, x1, 544, x2) + +inst_169: +// rs2_val == 0x0000B8EC and rs1_val == 0x3096C6C8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3096c6c8; op2val:0xb8ec +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3096c6c8, 0xb8ec, x1, 548, x2) + +inst_170: +// rs2_val == 0x00007530 and rs1_val == 0x9C461CB5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9c461cb5; op2val:0x7530 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9c461cb5, 0x7530, x1, 552, x2) + +inst_171: +// rs2_val == 0x00003ED5 and rs1_val == 0x27756991 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x27756991; op2val:0x3ed5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x27756991, 0x3ed5, x1, 556, x2) + +inst_172: +// rs2_val == 0x00001055 and rs1_val == 0x62D74145 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x62d74145; op2val:0x1055 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x62d74145, 0x1055, x1, 560, x2) + +inst_173: +// rs2_val == 0x00000E9E and rs1_val == 0x931719FD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x931719fd; op2val:0xe9e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x931719fd, 0xe9e, x1, 564, x2) + +inst_174: +// rs2_val == 0x0000059B and rs1_val == 0x965768E0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x965768e0; op2val:0x59b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x965768e0, 0x59b, x1, 568, x2) + +inst_175: +// rs2_val == 0x00000208 and rs1_val == 0x74057241 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x74057241; op2val:0x208 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x74057241, 0x208, x1, 572, x2) + +inst_176: +// rs2_val == 0x000001E8 and rs1_val == 0x5E617F8E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5e617f8e; op2val:0x1e8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5e617f8e, 0x1e8, x1, 576, x2) + +inst_177: +// rs2_val == 0x000000D2 and rs1_val == 0x3E361858 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3e361858; op2val:0xd2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3e361858, 0xd2, x1, 580, x2) + +inst_178: +// rs2_val == 0x00000071 and rs1_val == 0x13041452 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x13041452; op2val:0x71 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x13041452, 0x71, x1, 584, x2) + +inst_179: +// rs2_val == 0x00000034 and rs1_val == 0x4BDBF090 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4bdbf090; op2val:0x34 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x4bdbf090, 0x34, x1, 588, x2) + +inst_180: +// rs2_val == 0x00000019 and rs1_val == 0x9C3ECB54 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9c3ecb54; op2val:0x19 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9c3ecb54, 0x19, x1, 592, x2) + +inst_181: +// rs2_val == 0x0000000B and rs1_val == 0x421E7A60 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x421e7a60; op2val:0xb +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x421e7a60, 0xb, x1, 596, x2) + +inst_182: +// rs2_val == 0x00000005 and rs1_val == 0x2577C1EC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2577c1ec; op2val:0x5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x2577c1ec, 0x5, x1, 600, x2) + +inst_183: +// rs2_val == 0x00000002 and rs1_val == 0x19AF685D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x19af685d; op2val:0x2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x19af685d, 0x2, x1, 604, x2) + +inst_184: +// rs2_val == 0x00000001 and rs1_val == 0x2FF36007 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2ff36007; op2val:0x1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x2ff36007, 0x1, x1, 608, x2) + +inst_185: +// rs2_val == 0x00000000 and rs1_val == 0xE286852C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe286852c; op2val:0x0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe286852c, 0x0, x1, 612, x2) + +inst_186: +// rs1_val == 0xC511488A and rs2_val == 0x97BDD982 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc511488a; op2val:0x97bdd982 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc511488a, 0x97bdd982, x1, 616, x2) + +inst_187: +// rs1_val == 0x65151C41 and rs2_val == 0x367E5D6D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x65151c41; op2val:0x367e5d6d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x65151c41, 0x367e5d6d, x1, 620, x2) + +inst_188: +// rs1_val == 0x24CA83B3 and rs2_val == 0x623D8EB7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x24ca83b3; op2val:0x623d8eb7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x24ca83b3, 0x623d8eb7, x1, 624, x2) + +inst_189: +// rs1_val == 0x1C3B66FB and rs2_val == 0x21870F0B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1c3b66fb; op2val:0x21870f0b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1c3b66fb, 0x21870f0b, x1, 628, x2) + +inst_190: +// rs1_val == 0x0A8A6FD0 and rs2_val == 0x82450164 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa8a6fd0; op2val:0x82450164 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa8a6fd0, 0x82450164, x1, 632, x2) + +inst_191: +// rs1_val == 0x069CA08C and rs2_val == 0x8F2DF760 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x69ca08c; op2val:0x8f2df760 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x69ca08c, 0x8f2df760, x1, 636, x2) + +inst_192: +// rs1_val == 0x03552C95 and rs2_val == 0x7CA07386 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3552c95; op2val:0x7ca07386 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3552c95, 0x7ca07386, x1, 640, x2) + +inst_193: +// rs1_val == 0x0174EA19 and rs2_val == 0x19DE2BC1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x174ea19; op2val:0x19de2bc1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x174ea19, 0x19de2bc1, x1, 644, x2) + +inst_194: +// rs1_val == 0x00A454F2 and rs2_val == 0xEC3FBF4D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa454f2; op2val:0xec3fbf4d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa454f2, 0xec3fbf4d, x1, 648, x2) + +inst_195: +// rs1_val == 0x007E9BEE and rs2_val == 0x164F1513 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7e9bee; op2val:0x164f1513 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7e9bee, 0x164f1513, x1, 652, x2) + +inst_196: +// rs1_val == 0x002C7CD0 and rs2_val == 0xACC6D8F2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2c7cd0; op2val:0xacc6d8f2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x2c7cd0, 0xacc6d8f2, x1, 656, x2) + +inst_197: +// rs1_val == 0x00177310 and rs2_val == 0xA123F501 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x177310; op2val:0xa123f501 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x177310, 0xa123f501, x1, 660, x2) + +inst_198: +// rs1_val == 0x00091609 and rs2_val == 0xB57A6A1D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x91609; op2val:0xb57a6a1d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x91609, 0xb57a6a1d, x1, 664, x2) + +inst_199: +// rs1_val == 0x00040BE0 and rs2_val == 0xE90794DF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x40be0; op2val:0xe90794df +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x40be0, 0xe90794df, x1, 668, x2) + +inst_200: +// rs1_val == 0x00028D1B and rs2_val == 0xAF5570EE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x28d1b; op2val:0xaf5570ee +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x28d1b, 0xaf5570ee, x1, 672, x2) + +inst_201: +// rs1_val == 0x0001FBE5 and rs2_val == 0xD8B9B45C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1fbe5; op2val:0xd8b9b45c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1fbe5, 0xd8b9b45c, x1, 676, x2) + +inst_202: +// rs1_val == 0x0000AAC1 and rs2_val == 0x1BA1192E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaac1; op2val:0x1ba1192e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xaac1, 0x1ba1192e, x1, 680, x2) + +inst_203: +// rs1_val == 0x000062C3 and rs2_val == 0x49FE85B0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x62c3; op2val:0x49fe85b0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x62c3, 0x49fe85b0, x1, 684, x2) + +inst_204: +// rs1_val == 0x000022FD and rs2_val == 0x4105CCA7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x22fd; op2val:0x4105cca7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x22fd, 0x4105cca7, x1, 688, x2) + +inst_205: +// rs1_val == 0x000016B3 and rs2_val == 0xD7185DDA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x16b3; op2val:0xd7185dda +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x16b3, 0xd7185dda, x1, 692, x2) + +inst_206: +// rs1_val == 0x00000A38 and rs2_val == 0xA7A11490 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa38; op2val:0xa7a11490 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa38, 0xa7a11490, x1, 696, x2) + +inst_207: +// rs1_val == 0x000006A7 and rs2_val == 0xA9964AEF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6a7; op2val:0xa9964aef +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6a7, 0xa9964aef, x1, 700, x2) + +inst_208: +// rs1_val == 0x000003B9 and rs2_val == 0x4B4D8474 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3b9; op2val:0x4b4d8474 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3b9, 0x4b4d8474, x1, 704, x2) + +inst_209: +// rs1_val == 0x00000190 and rs2_val == 0x76C468AE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x190; op2val:0x76c468ae +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x190, 0x76c468ae, x1, 708, x2) + +inst_210: +// rs1_val == 0x000000D4 and rs2_val == 0x09208A65 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd4; op2val:0x9208a65 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd4, 0x9208a65, x1, 712, x2) + +inst_211: +// rs1_val == 0x00000067 and rs2_val == 0x8743FEB6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x67; op2val:0x8743feb6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x67, 0x8743feb6, x1, 716, x2) + +inst_212: +// rs1_val == 0x00000039 and rs2_val == 0xA66B0D38 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x39; op2val:0xa66b0d38 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x39, 0xa66b0d38, x1, 720, x2) + +inst_213: +// rs1_val == 0x0000001C and rs2_val == 0xFB710734 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1c; op2val:0xfb710734 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1c, 0xfb710734, x1, 724, x2) + +inst_214: +// rs1_val == 0x0000000E and rs2_val == 0xA26B7F62 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe; op2val:0xa26b7f62 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe, 0xa26b7f62, x1, 728, x2) + +inst_215: +// rs1_val == 0x00000007 and rs2_val == 0x4DABB481 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x4dabb481 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7, 0x4dabb481, x1, 732, x2) + +inst_216: +// rs1_val == 0x00000003 and rs2_val == 0x2FA91425 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2fa91425 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3, 0x2fa91425, x1, 736, x2) + +inst_217: +// rs1_val == 0x00000001 and rs2_val == 0x965EDA32 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x965eda32 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1, 0x965eda32, x1, 740, x2) + +inst_218: +// rs1_val == 0x00000000 and rs2_val == 0xC7FDE805 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc7fde805 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x0, 0xc7fde805, x1, 744, x2) + +inst_219: +// rs2_val == 0x6D3F408C and rs1_val == 0xFFEC35FE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffec35fe; op2val:0x6d3f408c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffec35fe, 0x6d3f408c, x1, 748, x2) + +inst_220: +// rs2_val == 0x946A3674 and rs1_val == 0x976AD220 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x976ad220; op2val:0x946a3674 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x976ad220, 0x946a3674, x1, 752, x2) + +inst_221: +// rs2_val == 0xDC6113A4 and rs1_val == 0x5990FE96 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5990fe96; op2val:0xdc6113a4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x5990fe96, 0xdc6113a4, x1, 756, x2) + +inst_222: +// rs2_val == 0xE42A809C and rs1_val == 0xC96EFDC4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc96efdc4; op2val:0xe42a809c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc96efdc4, 0xe42a809c, x1, 760, x2) + +inst_223: +// rs2_val == 0xF1A25760 and rs1_val == 0xAB8534C1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xab8534c1; op2val:0xf1a25760 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xab8534c1, 0xf1a25760, x1, 764, x2) + +inst_224: +// rs2_val == 0xFB37BEC9 and rs1_val == 0xD1142724 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd1142724; op2val:0xfb37bec9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xd1142724, 0xfb37bec9, x1, 768, x2) + +inst_225: +// rs2_val == 0xFCE51A66 and rs1_val == 0xF65E7737 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf65e7737; op2val:0xfce51a66 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf65e7737, 0xfce51a66, x1, 772, x2) + +inst_226: +// rs2_val == 0xFEDEBB9C and rs1_val == 0x16CBC21C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x16cbc21c; op2val:0xfedebb9c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x16cbc21c, 0xfedebb9c, x1, 776, x2) + +inst_227: +// rs2_val == 0xFF69340A and rs1_val == 0xDBDD4DD9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdbdd4dd9; op2val:0xff69340a +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xdbdd4dd9, 0xff69340a, x1, 780, x2) + +inst_228: +// rs2_val == 0xFF9CF3F4 and rs1_val == 0x4BD90A77 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4bd90a77; op2val:0xff9cf3f4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x4bd90a77, 0xff9cf3f4, x1, 784, x2) + +inst_229: +// rs2_val == 0xFFC00793 and rs1_val == 0xCEBE24D9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcebe24d9; op2val:0xffc00793 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xcebe24d9, 0xffc00793, x1, 788, x2) + +inst_230: +// rs2_val == 0xFFEE1FC4 and rs1_val == 0xA0E0BD86 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa0e0bd86; op2val:0xffee1fc4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xa0e0bd86, 0xffee1fc4, x1, 792, x2) + +inst_231: +// rs2_val == 0xFFF06038 and rs1_val == 0x3CC279B3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3cc279b3; op2val:0xfff06038 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x3cc279b3, 0xfff06038, x1, 796, x2) + +inst_232: +// rs2_val == 0xFFF93D53 and rs1_val == 0x754F9B96 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x754f9b96; op2val:0xfff93d53 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x754f9b96, 0xfff93d53, x1, 800, x2) + +inst_233: +// rs2_val == 0xFFFC47E8 and rs1_val == 0x72745307 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x72745307; op2val:0xfffc47e8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x72745307, 0xfffc47e8, x1, 804, x2) + +inst_234: +// rs2_val == 0xFFFE7302 and rs1_val == 0xDCAE6D62 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdcae6d62; op2val:0xfffe7302 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xdcae6d62, 0xfffe7302, x1, 808, x2) + +inst_235: +// rs2_val == 0xFFFF1CE8 and rs1_val == 0x7C2C966D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7c2c966d; op2val:0xffff1ce8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7c2c966d, 0xffff1ce8, x1, 812, x2) + +inst_236: +// rs2_val == 0xFFFFB5C6 and rs1_val == 0x9BB4752D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9bb4752d; op2val:0xffffb5c6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9bb4752d, 0xffffb5c6, x1, 816, x2) + +inst_237: +// rs2_val == 0xFFFFDFA4 and rs1_val == 0x17BE082F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x17be082f; op2val:0xffffdfa4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x17be082f, 0xffffdfa4, x1, 820, x2) + +inst_238: +// rs2_val == 0xFFFFEF0B and rs1_val == 0x109FF475 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x109ff475; op2val:0xffffef0b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x109ff475, 0xffffef0b, x1, 824, x2) + +inst_239: +// rs2_val == 0xFFFFF43F and rs1_val == 0x00B97EA6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb97ea6; op2val:0xfffff43f +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xb97ea6, 0xfffff43f, x1, 828, x2) + +inst_240: +// rs2_val == 0xFFFFFB4A and rs1_val == 0xF956EC0B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf956ec0b; op2val:0xfffffb4a +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf956ec0b, 0xfffffb4a, x1, 832, x2) + +inst_241: +// rs2_val == 0xFFFFFDA4 and rs1_val == 0x70FC1AFC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x70fc1afc; op2val:0xfffffda4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x70fc1afc, 0xfffffda4, x1, 836, x2) + +inst_242: +// rs2_val == 0xFFFFFECB and rs1_val == 0x6348306E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6348306e; op2val:0xfffffecb +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6348306e, 0xfffffecb, x1, 840, x2) + +inst_243: +// rs2_val == 0xFFFFFF54 and rs1_val == 0x66B072B9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x66b072b9; op2val:0xffffff54 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x66b072b9, 0xffffff54, x1, 844, x2) + +inst_244: +// rs2_val == 0xFFFFFFA9 and rs1_val == 0x7FF822ED +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7ff822ed; op2val:0xffffffa9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x7ff822ed, 0xffffffa9, x1, 848, x2) + +inst_245: +// rs2_val == 0xFFFFFFC3 and rs1_val == 0xE918BE9F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe918be9f; op2val:0xffffffc3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe918be9f, 0xffffffc3, x1, 852, x2) + +inst_246: +// rs2_val == 0xFFFFFFE7 and rs1_val == 0xE4BAE7F6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe4bae7f6; op2val:0xffffffe7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe4bae7f6, 0xffffffe7, x1, 856, x2) + +inst_247: +// rs2_val == 0xFFFFFFF1 and rs1_val == 0xDE9A896F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xde9a896f; op2val:0xfffffff1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xde9a896f, 0xfffffff1, x1, 860, x2) + +inst_248: +// rs2_val == 0xFFFFFFF8 and rs1_val == 0x2881E531 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2881e531; op2val:0xfffffff8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x2881e531, 0xfffffff8, x1, 864, x2) + +inst_249: +// rs2_val == 0xFFFFFFFC and rs1_val == 0x1475F78D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1475f78d; op2val:0xfffffffc +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x1475f78d, 0xfffffffc, x1, 868, x2) + +inst_250: +// rs2_val == 0xFFFFFFFE and rs1_val == 0xE59CF78F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe59cf78f; op2val:0xfffffffe +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe59cf78f, 0xfffffffe, x1, 872, x2) + +inst_251: +// rs2_val == 0xFFFFFFFF and rs1_val == 0xB66B3284 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb66b3284; op2val:0xffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xb66b3284, 0xffffffff, x1, 876, x2) + +inst_252: +// rs1_val == 0x6F4930C9 and rs2_val == 0x39422745 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6f4930c9; op2val:0x39422745 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x6f4930c9, 0x39422745, x1, 880, x2) + +inst_253: +// rs1_val == 0x85D97467 and rs2_val == 0x58FA6E1C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x85d97467; op2val:0x58fa6e1c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x85d97467, 0x58fa6e1c, x1, 884, x2) + +inst_254: +// rs1_val == 0xC70AFC93 and rs2_val == 0x2D143295 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc70afc93; op2val:0x2d143295 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc70afc93, 0x2d143295, x1, 888, x2) + +inst_255: +// rs1_val == 0xE911655F and rs2_val == 0xD230B46C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe911655f; op2val:0xd230b46c +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xe911655f, 0xd230b46c, x1, 892, x2) + +inst_256: +// rs1_val == 0xF4AB0A39 and rs2_val == 0x4D753AC1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf4ab0a39; op2val:0x4d753ac1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf4ab0a39, 0x4d753ac1, x1, 896, x2) + +inst_257: +// rs1_val == 0xF8BD4821 and rs2_val == 0x1E9667C2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf8bd4821; op2val:0x1e9667c2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf8bd4821, 0x1e9667c2, x1, 900, x2) + +inst_258: +// rs1_val == 0xFCD7E667 and rs2_val == 0xAE4839A1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfcd7e667; op2val:0xae4839a1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfcd7e667, 0xae4839a1, x1, 904, x2) + +inst_259: +// rs1_val == 0xFE71CFDF and rs2_val == 0x6A013380 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfe71cfdf; op2val:0x6a013380 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfe71cfdf, 0x6a013380, x1, 908, x2) + +inst_260: +// rs1_val == 0xFF1C11AE and rs2_val == 0x59432A19 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xff1c11ae; op2val:0x59432a19 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xff1c11ae, 0x59432a19, x1, 912, x2) + +inst_261: +// rs1_val == 0xFF89799A and rs2_val == 0xCEB506F6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xff89799a; op2val:0xceb506f6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xff89799a, 0xceb506f6, x1, 916, x2) + +inst_262: +// rs1_val == 0xFFC80B13 and rs2_val == 0xC5EC6148 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffc80b13; op2val:0xc5ec6148 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffc80b13, 0xc5ec6148, x1, 920, x2) + +inst_263: +// rs1_val == 0xFFE94647 and rs2_val == 0x99EF1857 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffe94647; op2val:0x99ef1857 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffe94647, 0x99ef1857, x1, 924, x2) + +inst_264: +// rs1_val == 0xFFF263CF and rs2_val == 0x14B91C79 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfff263cf; op2val:0x14b91c79 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfff263cf, 0x14b91c79, x1, 928, x2) + +inst_265: +// rs1_val == 0xFFF919A1 and rs2_val == 0xA86B8A6E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfff919a1; op2val:0xa86b8a6e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfff919a1, 0xa86b8a6e, x1, 932, x2) + +inst_266: +// rs1_val == 0xFFFDE89D and rs2_val == 0x08208D09 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffde89d; op2val:0x8208d09 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffde89d, 0x8208d09, x1, 936, x2) + +inst_267: +// rs1_val == 0xFFFEC9D0 and rs2_val == 0x69B1DCBF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffec9d0; op2val:0x69b1dcbf +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffec9d0, 0x69b1dcbf, x1, 940, x2) + +inst_268: +// rs1_val == 0xFFFF5576 and rs2_val == 0x807DA245 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffff5576; op2val:0x807da245 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffff5576, 0x807da245, x1, 944, x2) + +inst_269: +// rs1_val == 0xFFFFB6DF and rs2_val == 0x95A4D257 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffb6df; op2val:0x95a4d257 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffb6df, 0x95a4d257, x1, 948, x2) + +inst_270: +// rs1_val == 0xFFFFC561 and rs2_val == 0x735C076B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffc561; op2val:0x735c076b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffc561, 0x735c076b, x1, 952, x2) + +inst_271: +// rs1_val == 0xFFFFEAB5 and rs2_val == 0xE5F0307E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffeab5; op2val:0xe5f0307e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffeab5, 0xe5f0307e, x1, 956, x2) + +inst_272: +// rs1_val == 0xFFFFF602 and rs2_val == 0xE8DAC663 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff602; op2val:0xe8dac663 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffff602, 0xe8dac663, x1, 960, x2) + +inst_273: +// rs1_val == 0xFFFFF8B1 and rs2_val == 0x0109C207 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff8b1; op2val:0x109c207 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffff8b1, 0x109c207, x1, 964, x2) + +inst_274: +// rs1_val == 0xFFFFFCA0 and rs2_val == 0x600FECC1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffca0; op2val:0x600fecc1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffffca0, 0x600fecc1, x1, 968, x2) + +inst_275: +// rs1_val == 0xFFFFFECC and rs2_val == 0xFB7F6F5D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffecc; op2val:0xfb7f6f5d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffffecc, 0xfb7f6f5d, x1, 972, x2) + +inst_276: +// rs1_val == 0xFFFFFF6E and rs2_val == 0x5CD2875E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff6e; op2val:0x5cd2875e +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffff6e, 0x5cd2875e, x1, 976, x2) + +inst_277: +// rs1_val == 0xFFFFFF84 and rs2_val == 0xACCA7F0D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff84; op2val:0xacca7f0d +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffff84, 0xacca7f0d, x1, 980, x2) + +inst_278: +// rs1_val == 0xFFFFFFDD and rs2_val == 0x5AE6A228 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdd; op2val:0x5ae6a228 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffffdd, 0x5ae6a228, x1, 984, x2) + +inst_279: +// rs1_val == 0xFFFFFFE7 and rs2_val == 0xFF1E5BEF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe7; op2val:0xff1e5bef +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xffffffe7, 0xff1e5bef, x1, 988, x2) + +inst_280: +// rs1_val == 0xFFFFFFF4 and rs2_val == 0x137A9777 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff4; op2val:0x137a9777 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffffff4, 0x137a9777, x1, 992, x2) + +inst_281: +// rs1_val == 0xFFFFFFFA and rs2_val == 0x854A9657 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffa; op2val:0x854a9657 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffffffa, 0x854a9657, x1, 996, x2) + +inst_282: +// rs1_val == 0xFFFFFFFD and rs2_val == 0xCF84B683 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0xcf84b683 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffffffd, 0xcf84b683, x1, 1000, x2) + +inst_283: +// rs1_val == 0xFFFFFFFE and rs2_val == 0x93FDCAB8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x93fdcab8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xfffffffe, 0x93fdcab8, x1, 1004, x2) + +inst_284: +// rs1_val == 0x91766f62 and rs2_val == 0x5570084b +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x91766f62; op2val:0x5570084b +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x91766f62, 0x5570084b, x1, 1008, x2) + +inst_285: +// rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc0fe15dd; op2val:0x9f053821 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xc0fe15dd, 0x9f053821, x1, 1012, x2) + +inst_286: +// rs1_val == 0xf7f1305a and rs2_val == 0x9bedfe39 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf7f1305a; op2val:0x9bedfe39 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0xf7f1305a, 0x9bedfe39, x1, 1016, x2) + +inst_287: +// rs1_val == 0x55d98c6e and rs2_val == 0x2daf9ac7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x55d98c6e; op2val:0x2daf9ac7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x55d98c6e, 0x2daf9ac7, x1, 1020, x2) + +inst_288: +// rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9c734d77; op2val:0xb8000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x00000000, 0x9c734d77, 0xb8000000, x1, 1024, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x10_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x10_1: + .fill 16*(XLEN/32),4,0xdeadbeef + + +signature_x8_0: + .fill 16*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 257*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv32i_m/K/src/xperm8-01.S b/riscv-test-suite/rv32i_m/K/src/xperm8-01.S new file mode 100644 index 000000000..3df51e1c0 --- /dev/null +++ b/riscv-test-suite/rv32i_m/K/src/xperm8-01.S @@ -0,0 +1,1516 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.4.5 +// timestamp : Thu May 27 16:46:51 2021 GMT +// usage : riscv_ctg \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv32i_k.cgf \ +// --base-isa rv32i \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xperm8 instruction of the RISC-V extension for the xperm8 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32I") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_CASE(1,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_CASE(2,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_CASE(3,"//check ISA:=regex(.*32.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_SIGBASE( x8,signature_x8_1) + +inst_0: +// rs1 == rd != rs2, rs1==x18, rs2==x19, rd==x18, rs1_val == 0xFFFFFFFF and rs2_val == 0x08577EB1 +// opcode: xperm8 ; op1:x18; op2:x19; dest:x18; op1val:0xffffffff; op2val:0x8577eb1 +TEST_RR_OP(xperm8, x18, x18, x19, 0x00000000, 0xffffffff, 0x8577eb1, x8, 0, x1) + +inst_1: +// rs1 == rs2 == rd, rs1==x24, rs2==x24, rd==x24, rs1_val == 0x91766f62 and rs2_val == 0x5570084b +// opcode: xperm8 ; op1:x24; op2:x24; dest:x24; op1val:0x91766f62; op2val:0x91766f62 +TEST_RR_OP(xperm8, x24, x24, x24, 0x00000000, 0x91766f62, 0x91766f62, x8, 4, x1) + +inst_2: +// rs1 == rs2 != rd, rs1==x0, rs2==x0, rd==x29, rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 +// opcode: xperm8 ; op1:x0; op2:x0; dest:x29; op1val:0x0; op2val:0x0 +TEST_RR_OP(xperm8, x29, x0, x0, 0x00000000, 0x0, 0x0, x8, 8, x1) + +inst_3: +// rs2 == rd != rs1, rs1==x14, rs2==x23, rd==x23, rs1_val == 0xdc80d916 and rs2_val == 0x2a2a146d +// opcode: xperm8 ; op1:x14; op2:x23; dest:x23; op1val:0xdc80d916; op2val:0x2a2a146d +TEST_RR_OP(xperm8, x23, x14, x23, 0x00000000, 0xdc80d916, 0x2a2a146d, x8, 12, x1) + +inst_4: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x13, rs2==x22, rd==x3, rs1_val == 0x952acffe and rs2_val == 0x25ae27ee +// opcode: xperm8 ; op1:x13; op2:x22; dest:x3; op1val:0x952acffe; op2val:0x25ae27ee +TEST_RR_OP(xperm8, x3, x13, x22, 0x00000000, 0x952acffe, 0x25ae27ee, x8, 16, x1) + +inst_5: +// rs1==x26, rs2==x2, rd==x30, rs1_val == 0x40a5ff52 and rs2_val == 0xb6f9706f +// opcode: xperm8 ; op1:x26; op2:x2; dest:x30; op1val:0x40a5ff52; op2val:0xb6f9706f +TEST_RR_OP(xperm8, x30, x26, x2, 0x00000000, 0x40a5ff52, 0xb6f9706f, x8, 20, x1) + +inst_6: +// rs1==x23, rs2==x18, rd==x7, rs1_val == 0xe3f4fca3 and rs2_val == 0xa6c9253a +// opcode: xperm8 ; op1:x23; op2:x18; dest:x7; op1val:0xe3f4fca3; op2val:0xa6c9253a +TEST_RR_OP(xperm8, x7, x23, x18, 0x00000000, 0xe3f4fca3, 0xa6c9253a, x8, 24, x1) + +inst_7: +// rs1==x19, rs2==x6, rd==x12, rs1_val == 0xc2f1c53e and rs2_val == 0xd05668ae +// opcode: xperm8 ; op1:x19; op2:x6; dest:x12; op1val:0xc2f1c53e; op2val:0xd05668ae +TEST_RR_OP(xperm8, x12, x19, x6, 0x00000000, 0xc2f1c53e, 0xd05668ae, x8, 28, x1) + +inst_8: +// rs1==x25, rs2==x3, rd==x14, rs1_val == 0x9722c9a6 and rs2_val == 0x7bcad7c4 +// opcode: xperm8 ; op1:x25; op2:x3; dest:x14; op1val:0x9722c9a6; op2val:0x7bcad7c4 +TEST_RR_OP(xperm8, x14, x25, x3, 0x00000000, 0x9722c9a6, 0x7bcad7c4, x8, 32, x1) + +inst_9: +// rs1==x22, rs2==x5, rd==x19, rs1_val == 0xf7f1305a and rs2_val == 0x9bedfe39 +// opcode: xperm8 ; op1:x22; op2:x5; dest:x19; op1val:0xf7f1305a; op2val:0x9bedfe39 +TEST_RR_OP(xperm8, x19, x22, x5, 0x00000000, 0xf7f1305a, 0x9bedfe39, x8, 36, x1) + +inst_10: +// rs1==x20, rs2==x12, rd==x15, rs1_val == 0xd75739f8 and rs2_val == 0xe6fff3d9 +// opcode: xperm8 ; op1:x20; op2:x12; dest:x15; op1val:0xd75739f8; op2val:0xe6fff3d9 +TEST_RR_OP(xperm8, x15, x20, x12, 0x00000000, 0xd75739f8, 0xe6fff3d9, x8, 40, x1) + +inst_11: +// rs1==x9, rs2==x10, rd==x27, rs1_val == 0x90efb625 and rs2_val == 0x3150e5fa +// opcode: xperm8 ; op1:x9; op2:x10; dest:x27; op1val:0x90efb625; op2val:0x3150e5fa +TEST_RR_OP(xperm8, x27, x9, x10, 0x00000000, 0x90efb625, 0x3150e5fa, x8, 44, x1) + +inst_12: +// rs1==x6, rs2==x26, rd==x22, rs1_val == 0x1fc493ca and rs2_val == 0x65408c73 +// opcode: xperm8 ; op1:x6; op2:x26; dest:x22; op1val:0x1fc493ca; op2val:0x65408c73 +TEST_RR_OP(xperm8, x22, x6, x26, 0x00000000, 0x1fc493ca, 0x65408c73, x8, 48, x1) + +inst_13: +// rs1==x27, rs2==x21, rd==x17, rs1_val == 0x8e2eac2a and rs2_val == 0xd169a3f8 +// opcode: xperm8 ; op1:x27; op2:x21; dest:x17; op1val:0x8e2eac2a; op2val:0xd169a3f8 +TEST_RR_OP(xperm8, x17, x27, x21, 0x00000000, 0x8e2eac2a, 0xd169a3f8, x8, 52, x1) + +inst_14: +// rs1==x11, rs2==x14, rd==x13, rs1_val == 0x35f9377f and rs2_val == 0xf4c30307 +// opcode: xperm8 ; op1:x11; op2:x14; dest:x13; op1val:0x35f9377f; op2val:0xf4c30307 +TEST_RR_OP(xperm8, x13, x11, x14, 0x00000000, 0x35f9377f, 0xf4c30307, x8, 56, x1) + +inst_15: +// rs1==x30, rs2==x16, rd==x2, rs1_val == 0x58d548aa and rs2_val == 0xa0569d76 +// opcode: xperm8 ; op1:x30; op2:x16; dest:x2; op1val:0x58d548aa; op2val:0xa0569d76 +TEST_RR_OP(xperm8, x2, x30, x16, 0x00000000, 0x58d548aa, 0xa0569d76, x8, 60, x1) + +inst_16: +// rs1==x2, rs2==x4, rd==x16, rs1_val == 0x55d98c6e and rs2_val == 0x2daf9ac7 +// opcode: xperm8 ; op1:x2; op2:x4; dest:x16; op1val:0x55d98c6e; op2val:0x2daf9ac7 +TEST_RR_OP(xperm8, x16, x2, x4, 0x00000000, 0x55d98c6e, 0x2daf9ac7, x8, 64, x1) + +inst_17: +// rs1==x3, rs2==x29, rd==x4, rs1_val == 0x74b8de87 and rs2_val == 0xf273b44c +// opcode: xperm8 ; op1:x3; op2:x29; dest:x4; op1val:0x74b8de87; op2val:0xf273b44c +TEST_RR_OP(xperm8, x4, x3, x29, 0x00000000, 0x74b8de87, 0xf273b44c, x8, 68, x14) + +inst_18: +// rs1==x4, rs2==x25, rd==x20, rs1_val == 0xccce240c and rs2_val == 0x886c3a30 +// opcode: xperm8 ; op1:x4; op2:x25; dest:x20; op1val:0xccce240c; op2val:0x886c3a30 +TEST_RR_OP(xperm8, x20, x4, x25, 0x00000000, 0xccce240c, 0x886c3a30, x8, 72, x14) + +inst_19: +// rs1==x29, rs2==x13, rd==x0, rs1_val == 0xb49c83dc and rs2_val == 0xbb61a9cd +// opcode: xperm8 ; op1:x29; op2:x13; dest:x0; op1val:0xb49c83dc; op2val:0xbb61a9cd +TEST_RR_OP(xperm8, x0, x29, x13, 0x00000000, 0xb49c83dc, 0xbb61a9cd, x8, 76, x14) + +inst_20: +// rs1==x17, rs2==x1, rd==x25, rs1_val == 0x254a9493 and rs2_val == 0xc5521660 +// opcode: xperm8 ; op1:x17; op2:x1; dest:x25; op1val:0x254a9493; op2val:0xc5521660 +TEST_RR_OP(xperm8, x25, x17, x1, 0x00000000, 0x254a9493, 0xc5521660, x8, 80, x14) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_21: +// rs1==x12, rs2==x8, rd==x31, rs2_val == 0x00000000 and rs1_val == 0x4FFE831A +// opcode: xperm8 ; op1:x12; op2:x8; dest:x31; op1val:0x4ffe831a; op2val:0x0 +TEST_RR_OP(xperm8, x31, x12, x8, 0x00000000, 0x4ffe831a, 0x0, x2, 0, x14) + +inst_22: +// rs1==x5, rs2==x7, rd==x21, rs2_val == 0x80000000 and rs1_val == 0xAFC08ACE +// opcode: xperm8 ; op1:x5; op2:x7; dest:x21; op1val:0xafc08ace; op2val:0x80000000 +TEST_RR_OP(xperm8, x21, x5, x7, 0x00000000, 0xafc08ace, 0x80000000, x2, 4, x14) + +inst_23: +// rs1==x31, rs2==x15, rd==x11, rs2_val == 0x40000000 and rs1_val == 0xAF6E9055 +// opcode: xperm8 ; op1:x31; op2:x15; dest:x11; op1val:0xaf6e9055; op2val:0x40000000 +TEST_RR_OP(xperm8, x11, x31, x15, 0x00000000, 0xaf6e9055, 0x40000000, x2, 8, x14) + +inst_24: +// rs1==x8, rs2==x20, rd==x28, rs2_val == 0xE0000000 and rs1_val == 0x5B130474 +// opcode: xperm8 ; op1:x8; op2:x20; dest:x28; op1val:0x5b130474; op2val:0xe0000000 +TEST_RR_OP(xperm8, x28, x8, x20, 0x00000000, 0x5b130474, 0xe0000000, x2, 12, x14) + +inst_25: +// rs1==x7, rs2==x28, rd==x10, rs2_val == 0x90000000 and rs1_val == 0x3EEA126E +// opcode: xperm8 ; op1:x7; op2:x28; dest:x10; op1val:0x3eea126e; op2val:0x90000000 +TEST_RR_OP(xperm8, x10, x7, x28, 0x00000000, 0x3eea126e, 0x90000000, x2, 16, x14) + +inst_26: +// rs1==x16, rs2==x17, rd==x6, rs2_val == 0xB8000000 and rs1_val == 0x9C734D77 +// opcode: xperm8 ; op1:x16; op2:x17; dest:x6; op1val:0x9c734d77; op2val:0xb8000000 +TEST_RR_OP(xperm8, x6, x16, x17, 0x00000000, 0x9c734d77, 0xb8000000, x2, 20, x14) + +inst_27: +// rs1==x21, rs2==x9, rd==x8, rs2_val == 0xB4000000 and rs1_val == 0x5A694BCA +// opcode: xperm8 ; op1:x21; op2:x9; dest:x8; op1val:0x5a694bca; op2val:0xb4000000 +TEST_RR_OP(xperm8, x8, x21, x9, 0x00000000, 0x5a694bca, 0xb4000000, x2, 24, x14) + +inst_28: +// rs1==x15, rs2==x27, rd==x9, rs2_val == 0x3E000000 and rs1_val == 0xED52E4CA +// opcode: xperm8 ; op1:x15; op2:x27; dest:x9; op1val:0xed52e4ca; op2val:0x3e000000 +TEST_RR_OP(xperm8, x9, x15, x27, 0x00000000, 0xed52e4ca, 0x3e000000, x2, 28, x14) + +inst_29: +// rs1==x10, rs2==x31, rd==x5, rs2_val == 0xFB000000 and rs1_val == 0xB5CB2A93 +// opcode: xperm8 ; op1:x10; op2:x31; dest:x5; op1val:0xb5cb2a93; op2val:0xfb000000 +TEST_RR_OP(xperm8, x5, x10, x31, 0x00000000, 0xb5cb2a93, 0xfb000000, x2, 32, x14) + +inst_30: +// rs1==x28, rs2==x30, rd==x26, rs2_val == 0x68800000 and rs1_val == 0x29324E16 +// opcode: xperm8 ; op1:x28; op2:x30; dest:x26; op1val:0x29324e16; op2val:0x68800000 +TEST_RR_OP(xperm8, x26, x28, x30, 0x00000000, 0x29324e16, 0x68800000, x2, 36, x14) + +inst_31: +// rs1==x1, rs2_val == 0xB7400000 and rs1_val == 0xBC5FB419 +// opcode: xperm8 ; op1:x1; op2:x7; dest:x28; op1val:0xbc5fb419; op2val:0xb7400000 +TEST_RR_OP(xperm8, x28, x1, x7, 0x00000000, 0xbc5fb419, 0xb7400000, x2, 40, x14) + +inst_32: +// rs2==x11, rs2_val == 0x5CE00000 and rs1_val == 0x8E92E1B8 +// opcode: xperm8 ; op1:x25; op2:x11; dest:x16; op1val:0x8e92e1b8; op2val:0x5ce00000 +TEST_RR_OP(xperm8, x16, x25, x11, 0x00000000, 0x8e92e1b8, 0x5ce00000, x2, 44, x14) + +inst_33: +// rd==x1, rs2_val == 0x49F00000 and rs1_val == 0x96A3B48B +// opcode: xperm8 ; op1:x31; op2:x15; dest:x1; op1val:0x96a3b48b; op2val:0x49f00000 +TEST_RR_OP(xperm8, x1, x31, x15, 0x00000000, 0x96a3b48b, 0x49f00000, x2, 48, x14) + +inst_34: +// rs2_val == 0x53D80000 and rs1_val == 0x0A095049 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa095049; op2val:0x53d80000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa095049, 0x53d80000, x2, 52, x14) + +inst_35: +// rs2_val == 0x2EC40000 and rs1_val == 0x6F6E71B7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6f6e71b7; op2val:0x2ec40000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6f6e71b7, 0x2ec40000, x2, 56, x14) + +inst_36: +// rs2_val == 0x8E860000 and rs1_val == 0x236CC43D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x236cc43d; op2val:0x8e860000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x236cc43d, 0x8e860000, x2, 60, x14) + +inst_37: +// rs2_val == 0x6FBF0000 and rs1_val == 0xE2ED8971 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe2ed8971; op2val:0x6fbf0000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe2ed8971, 0x6fbf0000, x2, 64, x14) + +inst_38: +// rs2_val == 0x354E8000 and rs1_val == 0x06FA7B3E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6fa7b3e; op2val:0x354e8000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6fa7b3e, 0x354e8000, x2, 68, x14) + +inst_39: +// rs2_val == 0xFB07C000 and rs1_val == 0x4143DA51 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4143da51; op2val:0xfb07c000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x4143da51, 0xfb07c000, x2, 72, x14) + +inst_40: +// rs2_val == 0xDFFA2000 and rs1_val == 0xCAC78511 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcac78511; op2val:0xdffa2000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xcac78511, 0xdffa2000, x2, 76, x14) + +inst_41: +// rs2_val == 0x45D1F000 and rs1_val == 0xDF880B11 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdf880b11; op2val:0x45d1f000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xdf880b11, 0x45d1f000, x2, 80, x14) + +inst_42: +// rs2_val == 0x9069A800 and rs1_val == 0xBD230058 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbd230058; op2val:0x9069a800 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xbd230058, 0x9069a800, x2, 84, x14) + +inst_43: +// rs2_val == 0xF5B1B400 and rs1_val == 0xF2597377 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf2597377; op2val:0xf5b1b400 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf2597377, 0xf5b1b400, x2, 88, x14) + +inst_44: +// rs2_val == 0x06B6DA00 and rs1_val == 0x5A8E7F31 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5a8e7f31; op2val:0x6b6da00 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5a8e7f31, 0x6b6da00, x2, 92, x14) + +inst_45: +// rs2_val == 0xBFB0F100 and rs1_val == 0x7A3621F5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7a3621f5; op2val:0xbfb0f100 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7a3621f5, 0xbfb0f100, x2, 96, x14) + +inst_46: +// rs2_val == 0xD838C880 and rs1_val == 0x1E3C492C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1e3c492c; op2val:0xd838c880 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1e3c492c, 0xd838c880, x2, 100, x14) + +inst_47: +// rs2_val == 0x5C46AEC0 and rs1_val == 0xD4FAF4B1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd4faf4b1; op2val:0x5c46aec0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd4faf4b1, 0x5c46aec0, x2, 104, x14) + +inst_48: +// rs2_val == 0xCF7AC620 and rs1_val == 0x27A16894 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x27a16894; op2val:0xcf7ac620 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x27a16894, 0xcf7ac620, x2, 108, x14) + +inst_49: +// rs2_val == 0x05C2F650 and rs1_val == 0x0A3EF19E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa3ef19e; op2val:0x5c2f650 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa3ef19e, 0x5c2f650, x2, 112, x14) + +inst_50: +// rs2_val == 0xEEC50588 and rs1_val == 0xCB8193EF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcb8193ef; op2val:0xeec50588 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xcb8193ef, 0xeec50588, x2, 116, x14) + +inst_51: +// rs2_val == 0xCA7160CC and rs1_val == 0x577F8847 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x577f8847; op2val:0xca7160cc +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x577f8847, 0xca7160cc, x2, 120, x14) + +inst_52: +// rs2_val == 0x60E30DA2 and rs1_val == 0x9B5EAF0A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9b5eaf0a; op2val:0x60e30da2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9b5eaf0a, 0x60e30da2, x2, 124, x14) + +inst_53: +// rs2_val == 0x76F86039 and rs1_val == 0x5D3BBCE0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5d3bbce0; op2val:0x76f86039 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5d3bbce0, 0x76f86039, x2, 128, x14) + +inst_54: +// rs1_val == 0x00000000 and rs2_val == 0xFD1032E8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfd1032e8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x0, 0xfd1032e8, x2, 132, x14) + +inst_55: +// rs1_val == 0x80000000 and rs2_val == 0x7B246C17 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x7b246c17 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x80000000, 0x7b246c17, x2, 136, x14) + +inst_56: +// rs1_val == 0x40000000 and rs2_val == 0x56F3EEF1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x56f3eef1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x40000000, 0x56f3eef1, x2, 140, x14) + +inst_57: +// rs1_val == 0xA0000000 and rs2_val == 0x75923260 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa0000000; op2val:0x75923260 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa0000000, 0x75923260, x2, 144, x14) + +inst_58: +// rs1_val == 0x10000000 and rs2_val == 0xB9D3087C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb9d3087c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x10000000, 0xb9d3087c, x2, 148, x14) + +inst_59: +// rs1_val == 0xA8000000 and rs2_val == 0x46CBD355 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa8000000; op2val:0x46cbd355 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa8000000, 0x46cbd355, x2, 152, x14) + +inst_60: +// rs1_val == 0xE4000000 and rs2_val == 0x4616E73D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe4000000; op2val:0x4616e73d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe4000000, 0x4616e73d, x2, 156, x14) + +inst_61: +// rs1_val == 0x8E000000 and rs2_val == 0x8CCAEC71 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8e000000; op2val:0x8ccaec71 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x8e000000, 0x8ccaec71, x2, 160, x14) + +inst_62: +// rs1_val == 0x13000000 and rs2_val == 0x9B774054 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x13000000; op2val:0x9b774054 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x13000000, 0x9b774054, x2, 164, x14) + +inst_63: +// rs1_val == 0x8B800000 and rs2_val == 0x6D5FCD18 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8b800000; op2val:0x6d5fcd18 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x8b800000, 0x6d5fcd18, x2, 168, x14) + +inst_64: +// rs1_val == 0x7EC00000 and rs2_val == 0x0696F561 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7ec00000; op2val:0x696f561 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7ec00000, 0x696f561, x2, 172, x14) + +inst_65: +// rs1_val == 0x3DA00000 and rs2_val == 0x6E1E98E2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3da00000; op2val:0x6e1e98e2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3da00000, 0x6e1e98e2, x2, 176, x14) + +inst_66: +// rs1_val == 0x20100000 and rs2_val == 0x2DEDB6A7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x20100000; op2val:0x2dedb6a7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x20100000, 0x2dedb6a7, x2, 180, x14) + +inst_67: +// rs1_val == 0x98380000 and rs2_val == 0x3C272728 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x98380000; op2val:0x3c272728 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x98380000, 0x3c272728, x2, 184, x14) + +inst_68: +// rs1_val == 0x80F40000 and rs2_val == 0x4F55C73D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x80f40000; op2val:0x4f55c73d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x80f40000, 0x4f55c73d, x2, 188, x14) + +inst_69: +// rs1_val == 0x43560000 and rs2_val == 0xB0AB577A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x43560000; op2val:0xb0ab577a +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x43560000, 0xb0ab577a, x2, 192, x14) + +inst_70: +// rs1_val == 0x62A90000 and rs2_val == 0x42F5D75E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x62a90000; op2val:0x42f5d75e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x62a90000, 0x42f5d75e, x2, 196, x14) + +inst_71: +// rs1_val == 0x60348000 and rs2_val == 0xB9F09825 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x60348000; op2val:0xb9f09825 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x60348000, 0xb9f09825, x2, 200, x14) + +inst_72: +// rs1_val == 0x5EF6C000 and rs2_val == 0x9BFAD94F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5ef6c000; op2val:0x9bfad94f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5ef6c000, 0x9bfad94f, x2, 204, x14) + +inst_73: +// rs1_val == 0x79DF6000 and rs2_val == 0x98918DD8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x79df6000; op2val:0x98918dd8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x79df6000, 0x98918dd8, x2, 208, x14) + +inst_74: +// rs1_val == 0x864C1000 and rs2_val == 0x9B811F47 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x864c1000; op2val:0x9b811f47 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x864c1000, 0x9b811f47, x2, 212, x14) + +inst_75: +// rs1_val == 0x735CB800 and rs2_val == 0xD0D18FB0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x735cb800; op2val:0xd0d18fb0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x735cb800, 0xd0d18fb0, x2, 216, x14) + +inst_76: +// rs1_val == 0x29554400 and rs2_val == 0x71992790 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x29554400; op2val:0x71992790 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x29554400, 0x71992790, x2, 220, x14) + +inst_77: +// rs1_val == 0xA9A56A00 and rs2_val == 0x8248F803 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa9a56a00; op2val:0x8248f803 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa9a56a00, 0x8248f803, x2, 224, x14) + +inst_78: +// rs1_val == 0xC3405D00 and rs2_val == 0xEB3D7873 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc3405d00; op2val:0xeb3d7873 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc3405d00, 0xeb3d7873, x2, 228, x14) + +inst_79: +// rs1_val == 0x394D8080 and rs2_val == 0xD7A7BF5E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x394d8080; op2val:0xd7a7bf5e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x394d8080, 0xd7a7bf5e, x2, 232, x14) + +inst_80: +// rs1_val == 0xC6677840 and rs2_val == 0xD1BA5C0F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc6677840; op2val:0xd1ba5c0f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc6677840, 0xd1ba5c0f, x2, 236, x14) + +inst_81: +// rs1_val == 0x70598E60 and rs2_val == 0xD19E3224 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x70598e60; op2val:0xd19e3224 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x70598e60, 0xd19e3224, x2, 240, x14) + +inst_82: +// rs1_val == 0x98A59F90 and rs2_val == 0x35D30D74 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x98a59f90; op2val:0x35d30d74 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x98a59f90, 0x35d30d74, x2, 244, x14) + +inst_83: +// rs1_val == 0xD306DEB8 and rs2_val == 0x70A76E49 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd306deb8; op2val:0x70a76e49 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd306deb8, 0x70a76e49, x2, 248, x14) + +inst_84: +// rs1_val == 0x18A01374 and rs2_val == 0x9FCDB9E1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x18a01374; op2val:0x9fcdb9e1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x18a01374, 0x9fcdb9e1, x2, 252, x14) + +inst_85: +// rs1_val == 0xC3667402 and rs2_val == 0x5FEFE911 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc3667402; op2val:0x5fefe911 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc3667402, 0x5fefe911, x2, 256, x14) + +inst_86: +// rs1_val == 0x797D76DF and rs2_val == 0x598B88DB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x797d76df; op2val:0x598b88db +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x797d76df, 0x598b88db, x2, 260, x14) + +inst_87: +// rs2_val == 0x0C04F662 and rs1_val == 0xB7E7669E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e; op2val:0xc04f662 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb7e7669e, 0xc04f662, x2, 264, x14) + +inst_88: +// rs2_val == 0xCD41CAD1 and rs1_val == 0xD24F0724 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd24f0724; op2val:0xcd41cad1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd24f0724, 0xcd41cad1, x2, 268, x14) + +inst_89: +// rs2_val == 0x1203965B and rs1_val == 0x585022A3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x585022a3; op2val:0x1203965b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x585022a3, 0x1203965b, x2, 272, x14) + +inst_90: +// rs2_val == 0x7A9AC0A7 and rs1_val == 0xEE8F948A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xee8f948a; op2val:0x7a9ac0a7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xee8f948a, 0x7a9ac0a7, x2, 276, x14) + +inst_91: +// rs2_val == 0x2AA8E42F and rs1_val == 0x2655FA99 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2655fa99; op2val:0x2aa8e42f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x2655fa99, 0x2aa8e42f, x2, 280, x14) + +inst_92: +// rs2_val == 0x211D785F and rs1_val == 0x0C96A183 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc96a183; op2val:0x211d785f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc96a183, 0x211d785f, x2, 284, x14) + +inst_93: +// rs2_val == 0x59DDE33F and rs1_val == 0x88F931F4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x88f931f4; op2val:0x59dde33f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x88f931f4, 0x59dde33f, x2, 288, x14) + +inst_94: +// rs2_val == 0x711E627F and rs1_val == 0x6F2BF862 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6f2bf862; op2val:0x711e627f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6f2bf862, 0x711e627f, x2, 292, x14) + +inst_95: +// rs2_val == 0x19835AFF and rs1_val == 0x5C6C32A5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5c6c32a5; op2val:0x19835aff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5c6c32a5, 0x19835aff, x2, 296, x14) + +inst_96: +// rs2_val == 0x088B3DFF and rs1_val == 0x58FC0342 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x58fc0342; op2val:0x88b3dff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x58fc0342, 0x88b3dff, x2, 300, x14) + +inst_97: +// rs2_val == 0x9A6DA3FF and rs1_val == 0x636A75E3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e3; op2val:0x9a6da3ff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x636a75e3, 0x9a6da3ff, x2, 304, x14) + +inst_98: +// rs2_val == 0x37E0D7FF and rs1_val == 0x4ED62428 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4ed62428; op2val:0x37e0d7ff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x4ed62428, 0x37e0d7ff, x2, 308, x14) + +inst_99: +// rs2_val == 0x5E59CFFF and rs1_val == 0xD2D12745 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd2d12745; op2val:0x5e59cfff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd2d12745, 0x5e59cfff, x2, 312, x14) + +inst_100: +// rs2_val == 0xDD129FFF and rs1_val == 0x0D770F3C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd770f3c; op2val:0xdd129fff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd770f3c, 0xdd129fff, x2, 316, x14) + +inst_101: +// rs2_val == 0x872EBFFF and rs1_val == 0x2311ACFB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2311acfb; op2val:0x872ebfff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x2311acfb, 0x872ebfff, x2, 320, x14) + +inst_102: +// rs2_val == 0x55367FFF and rs1_val == 0x0FB13BBC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfb13bbc; op2val:0x55367fff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfb13bbc, 0x55367fff, x2, 324, x14) + +inst_103: +// rs2_val == 0xFDD2FFFF and rs1_val == 0x8DFC2307 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8dfc2307; op2val:0xfdd2ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x8dfc2307, 0xfdd2ffff, x2, 328, x14) + +inst_104: +// rs2_val == 0x30BDFFFF and rs1_val == 0x7312BE6D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7312be6d; op2val:0x30bdffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7312be6d, 0x30bdffff, x2, 332, x14) + +inst_105: +// rs2_val == 0xA743FFFF and rs1_val == 0xC61B1FBF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf; op2val:0xa743ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc61b1fbf, 0xa743ffff, x2, 336, x14) + +inst_106: +// rs2_val == 0x9987FFFF and rs1_val == 0xEBDA5A4F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xebda5a4f; op2val:0x9987ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xebda5a4f, 0x9987ffff, x2, 340, x14) + +inst_107: +// rs2_val == 0x118FFFFF and rs1_val == 0xC215E193 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc215e193; op2val:0x118fffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc215e193, 0x118fffff, x2, 344, x14) + +inst_108: +// rs2_val == 0x65DFFFFF and rs1_val == 0x75EE935F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x75ee935f; op2val:0x65dfffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x75ee935f, 0x65dfffff, x2, 348, x14) + +inst_109: +// rs2_val == 0x6CBFFFFF and rs1_val == 0x09C16162 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9c16162; op2val:0x6cbfffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9c16162, 0x6cbfffff, x2, 352, x14) + +inst_110: +// rs2_val == 0x347FFFFF and rs1_val == 0xA4053175 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa4053175; op2val:0x347fffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa4053175, 0x347fffff, x2, 356, x14) + +inst_111: +// rs2_val == 0xC4FFFFFF and rs1_val == 0x499006C8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x499006c8; op2val:0xc4ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x499006c8, 0xc4ffffff, x2, 360, x14) + +inst_112: +// rs2_val == 0x41FFFFFF and rs1_val == 0x3C5B3EEE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3c5b3eee; op2val:0x41ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3c5b3eee, 0x41ffffff, x2, 364, x14) + +inst_113: +// rs2_val == 0x6BFFFFFF and rs1_val == 0xD95FD86A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd95fd86a; op2val:0x6bffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd95fd86a, 0x6bffffff, x2, 368, x14) + +inst_114: +// rs2_val == 0x87FFFFFF and rs1_val == 0x25784F4F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x25784f4f; op2val:0x87ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x25784f4f, 0x87ffffff, x2, 372, x14) + +inst_115: +// rs2_val == 0xCFFFFFFF and rs1_val == 0x082018FA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x82018fa; op2val:0xcfffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x82018fa, 0xcfffffff, x2, 376, x14) + +inst_116: +// rs2_val == 0x9FFFFFFF and rs1_val == 0x350CC530 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x350cc530; op2val:0x9fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x350cc530, 0x9fffffff, x2, 380, x14) + +inst_117: +// rs2_val == 0x3FFFFFFF and rs1_val == 0x7966A24E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7966a24e; op2val:0x3fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7966a24e, 0x3fffffff, x2, 384, x14) + +inst_118: +// rs2_val == 0x7FFFFFFF and rs1_val == 0x51D6D6DA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x51d6d6da; op2val:0x7fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x51d6d6da, 0x7fffffff, x2, 388, x14) + +inst_119: +// rs2_val == 0xFFFFFFFF and rs1_val == 0xD5A2038F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2038f; op2val:0xffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd5a2038f, 0xffffffff, x2, 392, x14) + +inst_120: +// rs1_val == 0xFF7746E6 and rs2_val == 0x4F829B65 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xff7746e6; op2val:0x4f829b65 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xff7746e6, 0x4f829b65, x2, 396, x14) + +inst_121: +// rs1_val == 0xF89A7241 and rs2_val == 0x00C2F091 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf89a7241; op2val:0xc2f091 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf89a7241, 0xc2f091, x2, 400, x14) + +inst_122: +// rs1_val == 0x11B36A93 and rs2_val == 0xB1F5D853 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x11b36a93; op2val:0xb1f5d853 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x11b36a93, 0xb1f5d853, x2, 404, x14) + +inst_123: +// rs1_val == 0xC9932457 and rs2_val == 0x39BE2172 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc9932457; op2val:0x39be2172 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc9932457, 0x39be2172, x2, 408, x14) + +inst_124: +// rs1_val == 0x4B9A6C8F and rs2_val == 0x316039EE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4b9a6c8f; op2val:0x316039ee +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x4b9a6c8f, 0x316039ee, x2, 412, x14) + +inst_125: +// rs1_val == 0x9541241F and rs2_val == 0x5761A866 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9541241f; op2val:0x5761a866 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9541241f, 0x5761a866, x2, 416, x14) + +inst_126: +// rs1_val == 0x94B431BF and rs2_val == 0x09E4D1F4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x94b431bf; op2val:0x9e4d1f4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x94b431bf, 0x9e4d1f4, x2, 420, x14) + +inst_127: +// rs1_val == 0xDC8FE97F and rs2_val == 0x9E03793F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdc8fe97f; op2val:0x9e03793f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xdc8fe97f, 0x9e03793f, x2, 424, x14) + +inst_128: +// rs1_val == 0xB903CEFF and rs2_val == 0x7F1071EC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb903ceff; op2val:0x7f1071ec +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb903ceff, 0x7f1071ec, x2, 428, x14) + +inst_129: +// rs1_val == 0xB494A5FF and rs2_val == 0x9A7EF9E4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb494a5ff; op2val:0x9a7ef9e4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb494a5ff, 0x9a7ef9e4, x2, 432, x14) + +inst_130: +// rs1_val == 0xE2DD83FF and rs2_val == 0x59C05BB9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe2dd83ff; op2val:0x59c05bb9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe2dd83ff, 0x59c05bb9, x2, 436, x14) + +inst_131: +// rs1_val == 0xBBAFD7FF and rs2_val == 0xDE451397 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbbafd7ff; op2val:0xde451397 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xbbafd7ff, 0xde451397, x2, 440, x14) + +inst_132: +// rs1_val == 0xCE5C4FFF and rs2_val == 0x40F27005 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xce5c4fff; op2val:0x40f27005 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xce5c4fff, 0x40f27005, x2, 444, x14) + +inst_133: +// rs1_val == 0x39935FFF and rs2_val == 0x24496FE3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x39935fff; op2val:0x24496fe3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x39935fff, 0x24496fe3, x2, 448, x14) + +inst_134: +// rs1_val == 0xEED7BFFF and rs2_val == 0xDE14BFF2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xeed7bfff; op2val:0xde14bff2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xeed7bfff, 0xde14bff2, x2, 452, x14) + +inst_135: +// rs1_val == 0x008E7FFF and rs2_val == 0xB808A677 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8e7fff; op2val:0xb808a677 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x8e7fff, 0xb808a677, x2, 456, x14) + +inst_136: +// rs1_val == 0x12C2FFFF and rs2_val == 0x76B1FD3D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x12c2ffff; op2val:0x76b1fd3d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x12c2ffff, 0x76b1fd3d, x2, 460, x14) + +inst_137: +// rs1_val == 0xE3A5FFFF and rs2_val == 0x5DCF019D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe3a5ffff; op2val:0x5dcf019d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe3a5ffff, 0x5dcf019d, x2, 464, x14) + +inst_138: +// rs1_val == 0x9B03FFFF and rs2_val == 0x47B7097B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9b03ffff; op2val:0x47b7097b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9b03ffff, 0x47b7097b, x2, 468, x14) + +inst_139: +// rs1_val == 0x5F07FFFF and rs2_val == 0x759F1B43 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5f07ffff; op2val:0x759f1b43 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5f07ffff, 0x759f1b43, x2, 472, x14) + +inst_140: +// rs1_val == 0x33CFFFFF and rs2_val == 0x5B331999 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x33cfffff; op2val:0x5b331999 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x33cfffff, 0x5b331999, x2, 476, x14) + +inst_141: +// rs1_val == 0x709FFFFF and rs2_val == 0x2D37DE81 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x709fffff; op2val:0x2d37de81 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x709fffff, 0x2d37de81, x2, 480, x14) + +inst_142: +// rs1_val == 0xD1BFFFFF and rs2_val == 0xFCB627AF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd1bfffff; op2val:0xfcb627af +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd1bfffff, 0xfcb627af, x2, 484, x14) + +inst_143: +// rs1_val == 0xAB7FFFFF and rs2_val == 0x1E0B4EE5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xab7fffff; op2val:0x1e0b4ee5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xab7fffff, 0x1e0b4ee5, x2, 488, x14) + +inst_144: +// rs1_val == 0x7CFFFFFF and rs2_val == 0xFB3E7196 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7cffffff; op2val:0xfb3e7196 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7cffffff, 0xfb3e7196, x2, 492, x14) + +inst_145: +// rs1_val == 0x59FFFFFF and rs2_val == 0xD9959A62 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x59ffffff; op2val:0xd9959a62 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x59ffffff, 0xd9959a62, x2, 496, x14) + +inst_146: +// rs1_val == 0xDBFFFFFF and rs2_val == 0xE08409F0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdbffffff; op2val:0xe08409f0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xdbffffff, 0xe08409f0, x2, 500, x14) + +inst_147: +// rs1_val == 0xF7FFFFFF and rs2_val == 0x258ECECB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0x258ececb +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf7ffffff, 0x258ececb, x2, 504, x14) + +inst_148: +// rs1_val == 0x6FFFFFFF and rs2_val == 0xFF7D5EC0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6fffffff; op2val:0xff7d5ec0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6fffffff, 0xff7d5ec0, x2, 508, x14) + +inst_149: +// rs1_val == 0x9FFFFFFF and rs2_val == 0x4B6EA010 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9fffffff; op2val:0x4b6ea010 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9fffffff, 0x4b6ea010, x2, 512, x14) + +inst_150: +// rs1_val == 0x3FFFFFFF and rs2_val == 0xD885BBAC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0xd885bbac +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3fffffff, 0xd885bbac, x2, 516, x14) + +inst_151: +// rs1_val == 0x7FFFFFFF and rs2_val == 0xBBE8F88D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0xbbe8f88d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7fffffff, 0xbbe8f88d, x2, 520, x14) + +inst_152: +// rs1_val == 0xFFFFFFFF and rs2_val == 0xE3D6E4B9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xe3d6e4b9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffffff, 0xe3d6e4b9, x2, 524, x14) + +inst_153: +// rs2_val == 0x970216FD and rs1_val == 0x0494B6D2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x494b6d2; op2val:0x970216fd +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x494b6d2, 0x970216fd, x2, 528, x14) + +inst_154: +// rs2_val == 0x5CB58B8F and rs1_val == 0xF2650B71 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf2650b71; op2val:0x5cb58b8f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf2650b71, 0x5cb58b8f, x2, 532, x14) + +inst_155: +// rs2_val == 0x27EFDA6C and rs1_val == 0x21AF214A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x21af214a; op2val:0x27efda6c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x21af214a, 0x27efda6c, x2, 536, x14) + +inst_156: +// rs2_val == 0x1D1EF7C0 and rs1_val == 0x482EA760 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x482ea760; op2val:0x1d1ef7c0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x482ea760, 0x1d1ef7c0, x2, 540, x14) + +inst_157: +// rs2_val == 0x0FC2A909 and rs1_val == 0x0F7A0443 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf7a0443; op2val:0xfc2a909 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf7a0443, 0xfc2a909, x2, 544, x14) + +inst_158: +// rs2_val == 0x04E9E4A6 and rs1_val == 0x69534048 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x69534048; op2val:0x4e9e4a6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x69534048, 0x4e9e4a6, x2, 548, x14) + +inst_159: +// rs2_val == 0x025FDCD7 and rs1_val == 0x043E3EF5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x43e3ef5; op2val:0x25fdcd7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x43e3ef5, 0x25fdcd7, x2, 552, x14) + +inst_160: +// rs2_val == 0x01782EBC and rs1_val == 0x12FAD802 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x12fad802; op2val:0x1782ebc +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x12fad802, 0x1782ebc, x2, 556, x14) + +inst_161: +// rs2_val == 0x00A39575 and rs1_val == 0x119B4FE5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x119b4fe5; op2val:0xa39575 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x119b4fe5, 0xa39575, x2, 560, x14) + +inst_162: +// rs2_val == 0x0049886F and rs1_val == 0x7DB224CB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7db224cb; op2val:0x49886f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7db224cb, 0x49886f, x2, 564, x14) + +inst_163: +// rs2_val == 0x0025693C and rs1_val == 0xB45F51C3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb45f51c3; op2val:0x25693c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb45f51c3, 0x25693c, x2, 568, x14) + +inst_164: +// rs2_val == 0x0018031A and rs1_val == 0x41536363 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x41536363; op2val:0x18031a +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x41536363, 0x18031a, x2, 572, x14) + +inst_165: +// rs2_val == 0x000A8267 and rs1_val == 0x1A953CCA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1a953cca; op2val:0xa8267 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1a953cca, 0xa8267, x2, 576, x14) + +inst_166: +// rs2_val == 0x00073010 and rs1_val == 0x14186EBF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x14186ebf; op2val:0x73010 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x14186ebf, 0x73010, x2, 580, x14) + +inst_167: +// rs2_val == 0x00038734 and rs1_val == 0xF33C1A7F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf33c1a7f; op2val:0x38734 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf33c1a7f, 0x38734, x2, 584, x14) + +inst_168: +// rs2_val == 0x0001EAB1 and rs1_val == 0x8DCE6F52 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8dce6f52; op2val:0x1eab1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x8dce6f52, 0x1eab1, x2, 588, x14) + +inst_169: +// rs2_val == 0x0000B8EC and rs1_val == 0x3096C6C8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3096c6c8; op2val:0xb8ec +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3096c6c8, 0xb8ec, x2, 592, x14) + +inst_170: +// rs2_val == 0x00007530 and rs1_val == 0x9C461CB5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9c461cb5; op2val:0x7530 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9c461cb5, 0x7530, x2, 596, x14) + +inst_171: +// rs2_val == 0x00003ED5 and rs1_val == 0x27756991 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x27756991; op2val:0x3ed5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x27756991, 0x3ed5, x2, 600, x14) + +inst_172: +// rs2_val == 0x00001055 and rs1_val == 0x62D74145 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x62d74145; op2val:0x1055 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x62d74145, 0x1055, x2, 604, x14) + +inst_173: +// rs2_val == 0x00000E9E and rs1_val == 0x931719FD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x931719fd; op2val:0xe9e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x931719fd, 0xe9e, x2, 608, x14) + +inst_174: +// rs2_val == 0x0000059B and rs1_val == 0x965768E0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x965768e0; op2val:0x59b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x965768e0, 0x59b, x2, 612, x14) + +inst_175: +// rs2_val == 0x00000208 and rs1_val == 0x74057241 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x74057241; op2val:0x208 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x74057241, 0x208, x2, 616, x14) + +inst_176: +// rs2_val == 0x000001E8 and rs1_val == 0x5E617F8E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5e617f8e; op2val:0x1e8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5e617f8e, 0x1e8, x2, 620, x14) + +inst_177: +// rs2_val == 0x000000D2 and rs1_val == 0x3E361858 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3e361858; op2val:0xd2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3e361858, 0xd2, x2, 624, x14) + +inst_178: +// rs2_val == 0x00000071 and rs1_val == 0x13041452 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x13041452; op2val:0x71 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x13041452, 0x71, x2, 628, x14) + +inst_179: +// rs2_val == 0x00000034 and rs1_val == 0x4BDBF090 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4bdbf090; op2val:0x34 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x4bdbf090, 0x34, x2, 632, x14) + +inst_180: +// rs2_val == 0x00000019 and rs1_val == 0x9C3ECB54 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9c3ecb54; op2val:0x19 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9c3ecb54, 0x19, x2, 636, x14) + +inst_181: +// rs2_val == 0x0000000B and rs1_val == 0x421E7A60 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x421e7a60; op2val:0xb +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x421e7a60, 0xb, x2, 640, x14) + +inst_182: +// rs2_val == 0x00000005 and rs1_val == 0x2577C1EC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2577c1ec; op2val:0x5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x2577c1ec, 0x5, x2, 644, x14) + +inst_183: +// rs2_val == 0x00000002 and rs1_val == 0x19AF685D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x19af685d; op2val:0x2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x19af685d, 0x2, x2, 648, x14) + +inst_184: +// rs2_val == 0x00000001 and rs1_val == 0x2FF36007 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2ff36007; op2val:0x1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x2ff36007, 0x1, x2, 652, x14) + +inst_185: +// rs2_val == 0x00000000 and rs1_val == 0xE286852C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe286852c; op2val:0x0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe286852c, 0x0, x2, 656, x14) + +inst_186: +// rs1_val == 0xC511488A and rs2_val == 0x97BDD982 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc511488a; op2val:0x97bdd982 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc511488a, 0x97bdd982, x2, 660, x14) + +inst_187: +// rs1_val == 0x65151C41 and rs2_val == 0x367E5D6D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x65151c41; op2val:0x367e5d6d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x65151c41, 0x367e5d6d, x2, 664, x14) + +inst_188: +// rs1_val == 0x24CA83B3 and rs2_val == 0x623D8EB7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x24ca83b3; op2val:0x623d8eb7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x24ca83b3, 0x623d8eb7, x2, 668, x14) + +inst_189: +// rs1_val == 0x1C3B66FB and rs2_val == 0x21870F0B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1c3b66fb; op2val:0x21870f0b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1c3b66fb, 0x21870f0b, x2, 672, x14) + +inst_190: +// rs1_val == 0x0A8A6FD0 and rs2_val == 0x82450164 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa8a6fd0; op2val:0x82450164 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa8a6fd0, 0x82450164, x2, 676, x14) + +inst_191: +// rs1_val == 0x069CA08C and rs2_val == 0x8F2DF760 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x69ca08c; op2val:0x8f2df760 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x69ca08c, 0x8f2df760, x2, 680, x14) + +inst_192: +// rs1_val == 0x03552C95 and rs2_val == 0x7CA07386 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3552c95; op2val:0x7ca07386 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3552c95, 0x7ca07386, x2, 684, x14) + +inst_193: +// rs1_val == 0x0174EA19 and rs2_val == 0x19DE2BC1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x174ea19; op2val:0x19de2bc1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x174ea19, 0x19de2bc1, x2, 688, x14) + +inst_194: +// rs1_val == 0x00A454F2 and rs2_val == 0xEC3FBF4D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa454f2; op2val:0xec3fbf4d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa454f2, 0xec3fbf4d, x2, 692, x14) + +inst_195: +// rs1_val == 0x007E9BEE and rs2_val == 0x164F1513 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7e9bee; op2val:0x164f1513 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7e9bee, 0x164f1513, x2, 696, x14) + +inst_196: +// rs1_val == 0x002C7CD0 and rs2_val == 0xACC6D8F2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2c7cd0; op2val:0xacc6d8f2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x2c7cd0, 0xacc6d8f2, x2, 700, x14) + +inst_197: +// rs1_val == 0x00177310 and rs2_val == 0xA123F501 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x177310; op2val:0xa123f501 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x177310, 0xa123f501, x2, 704, x14) + +inst_198: +// rs1_val == 0x00091609 and rs2_val == 0xB57A6A1D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x91609; op2val:0xb57a6a1d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x91609, 0xb57a6a1d, x2, 708, x14) + +inst_199: +// rs1_val == 0x00040BE0 and rs2_val == 0xE90794DF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x40be0; op2val:0xe90794df +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x40be0, 0xe90794df, x2, 712, x14) + +inst_200: +// rs1_val == 0x00028D1B and rs2_val == 0xAF5570EE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x28d1b; op2val:0xaf5570ee +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x28d1b, 0xaf5570ee, x2, 716, x14) + +inst_201: +// rs1_val == 0x0001FBE5 and rs2_val == 0xD8B9B45C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1fbe5; op2val:0xd8b9b45c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1fbe5, 0xd8b9b45c, x2, 720, x14) + +inst_202: +// rs1_val == 0x0000AAC1 and rs2_val == 0x1BA1192E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaac1; op2val:0x1ba1192e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xaac1, 0x1ba1192e, x2, 724, x14) + +inst_203: +// rs1_val == 0x000062C3 and rs2_val == 0x49FE85B0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x62c3; op2val:0x49fe85b0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x62c3, 0x49fe85b0, x2, 728, x14) + +inst_204: +// rs1_val == 0x000022FD and rs2_val == 0x4105CCA7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x22fd; op2val:0x4105cca7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x22fd, 0x4105cca7, x2, 732, x14) + +inst_205: +// rs1_val == 0x000016B3 and rs2_val == 0xD7185DDA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x16b3; op2val:0xd7185dda +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x16b3, 0xd7185dda, x2, 736, x14) + +inst_206: +// rs1_val == 0x00000A38 and rs2_val == 0xA7A11490 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa38; op2val:0xa7a11490 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa38, 0xa7a11490, x2, 740, x14) + +inst_207: +// rs1_val == 0x000006A7 and rs2_val == 0xA9964AEF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6a7; op2val:0xa9964aef +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6a7, 0xa9964aef, x2, 744, x14) + +inst_208: +// rs1_val == 0x000003B9 and rs2_val == 0x4B4D8474 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3b9; op2val:0x4b4d8474 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3b9, 0x4b4d8474, x2, 748, x14) + +inst_209: +// rs1_val == 0x00000190 and rs2_val == 0x76C468AE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x190; op2val:0x76c468ae +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x190, 0x76c468ae, x2, 752, x14) + +inst_210: +// rs1_val == 0x000000D4 and rs2_val == 0x09208A65 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd4; op2val:0x9208a65 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd4, 0x9208a65, x2, 756, x14) + +inst_211: +// rs1_val == 0x00000067 and rs2_val == 0x8743FEB6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x67; op2val:0x8743feb6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x67, 0x8743feb6, x2, 760, x14) + +inst_212: +// rs1_val == 0x00000039 and rs2_val == 0xA66B0D38 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x39; op2val:0xa66b0d38 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x39, 0xa66b0d38, x2, 764, x14) + +inst_213: +// rs1_val == 0x0000001C and rs2_val == 0xFB710734 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1c; op2val:0xfb710734 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1c, 0xfb710734, x2, 768, x14) + +inst_214: +// rs1_val == 0x0000000E and rs2_val == 0xA26B7F62 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe; op2val:0xa26b7f62 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe, 0xa26b7f62, x2, 772, x14) + +inst_215: +// rs1_val == 0x00000007 and rs2_val == 0x4DABB481 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x4dabb481 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7, 0x4dabb481, x2, 776, x14) + +inst_216: +// rs1_val == 0x00000003 and rs2_val == 0x2FA91425 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2fa91425 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3, 0x2fa91425, x2, 780, x14) + +inst_217: +// rs1_val == 0x00000001 and rs2_val == 0x965EDA32 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x965eda32 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1, 0x965eda32, x2, 784, x14) + +inst_218: +// rs1_val == 0x00000000 and rs2_val == 0xC7FDE805 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc7fde805 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x0, 0xc7fde805, x2, 788, x14) + +inst_219: +// rs2_val == 0x6D3F408C and rs1_val == 0xFFEC35FE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffec35fe; op2val:0x6d3f408c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffec35fe, 0x6d3f408c, x2, 792, x14) + +inst_220: +// rs2_val == 0x946A3674 and rs1_val == 0x976AD220 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x976ad220; op2val:0x946a3674 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x976ad220, 0x946a3674, x2, 796, x14) + +inst_221: +// rs2_val == 0xDC6113A4 and rs1_val == 0x5990FE96 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5990fe96; op2val:0xdc6113a4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x5990fe96, 0xdc6113a4, x2, 800, x14) + +inst_222: +// rs2_val == 0xE42A809C and rs1_val == 0xC96EFDC4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc96efdc4; op2val:0xe42a809c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc96efdc4, 0xe42a809c, x2, 804, x14) + +inst_223: +// rs2_val == 0xF1A25760 and rs1_val == 0xAB8534C1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xab8534c1; op2val:0xf1a25760 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xab8534c1, 0xf1a25760, x2, 808, x14) + +inst_224: +// rs2_val == 0xFB37BEC9 and rs1_val == 0xD1142724 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd1142724; op2val:0xfb37bec9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xd1142724, 0xfb37bec9, x2, 812, x14) + +inst_225: +// rs2_val == 0xFCE51A66 and rs1_val == 0xF65E7737 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf65e7737; op2val:0xfce51a66 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf65e7737, 0xfce51a66, x2, 816, x14) + +inst_226: +// rs2_val == 0xFEDEBB9C and rs1_val == 0x16CBC21C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x16cbc21c; op2val:0xfedebb9c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x16cbc21c, 0xfedebb9c, x2, 820, x14) + +inst_227: +// rs2_val == 0xFF69340A and rs1_val == 0xDBDD4DD9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdbdd4dd9; op2val:0xff69340a +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xdbdd4dd9, 0xff69340a, x2, 824, x14) + +inst_228: +// rs2_val == 0xFF9CF3F4 and rs1_val == 0x4BD90A77 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4bd90a77; op2val:0xff9cf3f4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x4bd90a77, 0xff9cf3f4, x2, 828, x14) + +inst_229: +// rs2_val == 0xFFC00793 and rs1_val == 0xCEBE24D9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcebe24d9; op2val:0xffc00793 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xcebe24d9, 0xffc00793, x2, 832, x14) + +inst_230: +// rs2_val == 0xFFEE1FC4 and rs1_val == 0xA0E0BD86 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa0e0bd86; op2val:0xffee1fc4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xa0e0bd86, 0xffee1fc4, x2, 836, x14) + +inst_231: +// rs2_val == 0xFFF06038 and rs1_val == 0x3CC279B3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3cc279b3; op2val:0xfff06038 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x3cc279b3, 0xfff06038, x2, 840, x14) + +inst_232: +// rs2_val == 0xFFF93D53 and rs1_val == 0x754F9B96 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x754f9b96; op2val:0xfff93d53 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x754f9b96, 0xfff93d53, x2, 844, x14) + +inst_233: +// rs2_val == 0xFFFC47E8 and rs1_val == 0x72745307 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x72745307; op2val:0xfffc47e8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x72745307, 0xfffc47e8, x2, 848, x14) + +inst_234: +// rs2_val == 0xFFFE7302 and rs1_val == 0xDCAE6D62 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdcae6d62; op2val:0xfffe7302 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xdcae6d62, 0xfffe7302, x2, 852, x14) + +inst_235: +// rs2_val == 0xFFFF1CE8 and rs1_val == 0x7C2C966D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7c2c966d; op2val:0xffff1ce8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7c2c966d, 0xffff1ce8, x2, 856, x14) + +inst_236: +// rs2_val == 0xFFFFB5C6 and rs1_val == 0x9BB4752D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9bb4752d; op2val:0xffffb5c6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x9bb4752d, 0xffffb5c6, x2, 860, x14) + +inst_237: +// rs2_val == 0xFFFFDFA4 and rs1_val == 0x17BE082F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x17be082f; op2val:0xffffdfa4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x17be082f, 0xffffdfa4, x2, 864, x14) + +inst_238: +// rs2_val == 0xFFFFEF0B and rs1_val == 0x109FF475 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x109ff475; op2val:0xffffef0b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x109ff475, 0xffffef0b, x2, 868, x14) + +inst_239: +// rs2_val == 0xFFFFF43F and rs1_val == 0x00B97EA6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb97ea6; op2val:0xfffff43f +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb97ea6, 0xfffff43f, x2, 872, x14) + +inst_240: +// rs2_val == 0xFFFFFB4A and rs1_val == 0xF956EC0B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf956ec0b; op2val:0xfffffb4a +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf956ec0b, 0xfffffb4a, x2, 876, x14) + +inst_241: +// rs2_val == 0xFFFFFDA4 and rs1_val == 0x70FC1AFC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x70fc1afc; op2val:0xfffffda4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x70fc1afc, 0xfffffda4, x2, 880, x14) + +inst_242: +// rs2_val == 0xFFFFFECB and rs1_val == 0x6348306E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6348306e; op2val:0xfffffecb +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6348306e, 0xfffffecb, x2, 884, x14) + +inst_243: +// rs2_val == 0xFFFFFF54 and rs1_val == 0x66B072B9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x66b072b9; op2val:0xffffff54 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x66b072b9, 0xffffff54, x2, 888, x14) + +inst_244: +// rs2_val == 0xFFFFFFA9 and rs1_val == 0x7FF822ED +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7ff822ed; op2val:0xffffffa9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x7ff822ed, 0xffffffa9, x2, 892, x14) + +inst_245: +// rs2_val == 0xFFFFFFC3 and rs1_val == 0xE918BE9F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe918be9f; op2val:0xffffffc3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe918be9f, 0xffffffc3, x2, 896, x14) + +inst_246: +// rs2_val == 0xFFFFFFE7 and rs1_val == 0xE4BAE7F6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe4bae7f6; op2val:0xffffffe7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe4bae7f6, 0xffffffe7, x2, 900, x14) + +inst_247: +// rs2_val == 0xFFFFFFF1 and rs1_val == 0xDE9A896F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xde9a896f; op2val:0xfffffff1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xde9a896f, 0xfffffff1, x2, 904, x14) + +inst_248: +// rs2_val == 0xFFFFFFF8 and rs1_val == 0x2881E531 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2881e531; op2val:0xfffffff8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x2881e531, 0xfffffff8, x2, 908, x14) + +inst_249: +// rs2_val == 0xFFFFFFFC and rs1_val == 0x1475F78D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1475f78d; op2val:0xfffffffc +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x1475f78d, 0xfffffffc, x2, 912, x14) + +inst_250: +// rs2_val == 0xFFFFFFFE and rs1_val == 0xE59CF78F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe59cf78f; op2val:0xfffffffe +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe59cf78f, 0xfffffffe, x2, 916, x14) + +inst_251: +// rs2_val == 0xFFFFFFFF and rs1_val == 0xB66B3284 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb66b3284; op2val:0xffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb66b3284, 0xffffffff, x2, 920, x14) + +inst_252: +// rs1_val == 0x6F4930C9 and rs2_val == 0x39422745 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6f4930c9; op2val:0x39422745 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x6f4930c9, 0x39422745, x2, 924, x14) + +inst_253: +// rs1_val == 0x85D97467 and rs2_val == 0x58FA6E1C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x85d97467; op2val:0x58fa6e1c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x85d97467, 0x58fa6e1c, x2, 928, x14) + +inst_254: +// rs1_val == 0xC70AFC93 and rs2_val == 0x2D143295 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc70afc93; op2val:0x2d143295 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc70afc93, 0x2d143295, x2, 932, x14) + +inst_255: +// rs1_val == 0xE911655F and rs2_val == 0xD230B46C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe911655f; op2val:0xd230b46c +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xe911655f, 0xd230b46c, x2, 936, x14) + +inst_256: +// rs1_val == 0xF4AB0A39 and rs2_val == 0x4D753AC1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf4ab0a39; op2val:0x4d753ac1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf4ab0a39, 0x4d753ac1, x2, 940, x14) + +inst_257: +// rs1_val == 0xF8BD4821 and rs2_val == 0x1E9667C2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf8bd4821; op2val:0x1e9667c2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xf8bd4821, 0x1e9667c2, x2, 944, x14) + +inst_258: +// rs1_val == 0xFCD7E667 and rs2_val == 0xAE4839A1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfcd7e667; op2val:0xae4839a1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfcd7e667, 0xae4839a1, x2, 948, x14) + +inst_259: +// rs1_val == 0xFE71CFDF and rs2_val == 0x6A013380 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfe71cfdf; op2val:0x6a013380 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfe71cfdf, 0x6a013380, x2, 952, x14) + +inst_260: +// rs1_val == 0xFF1C11AE and rs2_val == 0x59432A19 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xff1c11ae; op2val:0x59432a19 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xff1c11ae, 0x59432a19, x2, 956, x14) + +inst_261: +// rs1_val == 0xFF89799A and rs2_val == 0xCEB506F6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xff89799a; op2val:0xceb506f6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xff89799a, 0xceb506f6, x2, 960, x14) + +inst_262: +// rs1_val == 0xFFC80B13 and rs2_val == 0xC5EC6148 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffc80b13; op2val:0xc5ec6148 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffc80b13, 0xc5ec6148, x2, 964, x14) + +inst_263: +// rs1_val == 0xFFE94647 and rs2_val == 0x99EF1857 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffe94647; op2val:0x99ef1857 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffe94647, 0x99ef1857, x2, 968, x14) + +inst_264: +// rs1_val == 0xFFF263CF and rs2_val == 0x14B91C79 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfff263cf; op2val:0x14b91c79 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfff263cf, 0x14b91c79, x2, 972, x14) + +inst_265: +// rs1_val == 0xFFF919A1 and rs2_val == 0xA86B8A6E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfff919a1; op2val:0xa86b8a6e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfff919a1, 0xa86b8a6e, x2, 976, x14) + +inst_266: +// rs1_val == 0xFFFDE89D and rs2_val == 0x08208D09 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffde89d; op2val:0x8208d09 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffde89d, 0x8208d09, x2, 980, x14) + +inst_267: +// rs1_val == 0xFFFEC9D0 and rs2_val == 0x69B1DCBF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffec9d0; op2val:0x69b1dcbf +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffec9d0, 0x69b1dcbf, x2, 984, x14) + +inst_268: +// rs1_val == 0xFFFF5576 and rs2_val == 0x807DA245 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffff5576; op2val:0x807da245 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffff5576, 0x807da245, x2, 988, x14) + +inst_269: +// rs1_val == 0xFFFFB6DF and rs2_val == 0x95A4D257 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffb6df; op2val:0x95a4d257 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffb6df, 0x95a4d257, x2, 992, x14) + +inst_270: +// rs1_val == 0xFFFFC561 and rs2_val == 0x735C076B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffc561; op2val:0x735c076b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffc561, 0x735c076b, x2, 996, x14) + +inst_271: +// rs1_val == 0xFFFFEAB5 and rs2_val == 0xE5F0307E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffeab5; op2val:0xe5f0307e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffeab5, 0xe5f0307e, x2, 1000, x14) + +inst_272: +// rs1_val == 0xFFFFF602 and rs2_val == 0xE8DAC663 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff602; op2val:0xe8dac663 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffff602, 0xe8dac663, x2, 1004, x14) + +inst_273: +// rs1_val == 0xFFFFF8B1 and rs2_val == 0x0109C207 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff8b1; op2val:0x109c207 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffff8b1, 0x109c207, x2, 1008, x14) + +inst_274: +// rs1_val == 0xFFFFFCA0 and rs2_val == 0x600FECC1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffca0; op2val:0x600fecc1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffffca0, 0x600fecc1, x2, 1012, x14) + +inst_275: +// rs1_val == 0xFFFFFECC and rs2_val == 0xFB7F6F5D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffecc; op2val:0xfb7f6f5d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffffecc, 0xfb7f6f5d, x2, 1016, x14) + +inst_276: +// rs1_val == 0xFFFFFF6E and rs2_val == 0x5CD2875E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff6e; op2val:0x5cd2875e +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffff6e, 0x5cd2875e, x2, 1020, x14) + +inst_277: +// rs1_val == 0xFFFFFF84 and rs2_val == 0xACCA7F0D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff84; op2val:0xacca7f0d +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffff84, 0xacca7f0d, x2, 1024, x14) + +inst_278: +// rs1_val == 0xFFFFFFDD and rs2_val == 0x5AE6A228 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdd; op2val:0x5ae6a228 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffffdd, 0x5ae6a228, x2, 1028, x14) + +inst_279: +// rs1_val == 0xFFFFFFE7 and rs2_val == 0xFF1E5BEF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe7; op2val:0xff1e5bef +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xffffffe7, 0xff1e5bef, x2, 1032, x14) + +inst_280: +// rs1_val == 0xFFFFFFF4 and rs2_val == 0x137A9777 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff4; op2val:0x137a9777 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffffff4, 0x137a9777, x2, 1036, x14) + +inst_281: +// rs1_val == 0xFFFFFFFA and rs2_val == 0x854A9657 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffa; op2val:0x854a9657 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffffffa, 0x854a9657, x2, 1040, x14) + +inst_282: +// rs1_val == 0xFFFFFFFD and rs2_val == 0xCF84B683 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0xcf84b683 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffffffd, 0xcf84b683, x2, 1044, x14) + +inst_283: +// rs1_val == 0xFFFFFFFE and rs2_val == 0x93FDCAB8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x93fdcab8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xfffffffe, 0x93fdcab8, x2, 1048, x14) + +inst_284: +// rs1_val == 0x91766f62 and rs2_val == 0x5570084b +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x91766f62; op2val:0x5570084b +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0x91766f62, 0x5570084b, x2, 1052, x14) + +inst_285: +// rs1_val == 0xc0fe15dd and rs2_val == 0x9f053821 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc0fe15dd; op2val:0x9f053821 +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xc0fe15dd, 0x9f053821, x2, 1056, x14) + +inst_286: +// rs1_val == 0xb49c83dc and rs2_val == 0xbb61a9cd +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb49c83dc; op2val:0xbb61a9cd +TEST_RR_OP(xperm8, x12, x10, x11, 0x00000000, 0xb49c83dc, 0xbb61a9cd, x2, 1060, x14) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x8_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x8_1: + .fill 21*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 266*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END From e977033ed19e63f681b802ebed8123689d0899b5 Mon Sep 17 00:00:00 2001 From: phthinh Date: Wed, 8 Dec 2021 16:15:55 +0000 Subject: [PATCH 3/6] K_ext: add K extension device for ibex target --- riscv-target/ibex/device/rv32i_m/K | 1 + 1 file changed, 1 insertion(+) create mode 120000 riscv-target/ibex/device/rv32i_m/K diff --git a/riscv-target/ibex/device/rv32i_m/K b/riscv-target/ibex/device/rv32i_m/K new file mode 120000 index 000000000..c02fc7f06 --- /dev/null +++ b/riscv-target/ibex/device/rv32i_m/K @@ -0,0 +1 @@ +../rv32imc/ \ No newline at end of file From e29baf8342c83d504c684e9315d8aa11af4e7609 Mon Sep 17 00:00:00 2001 From: phthinh Date: Sat, 18 Dec 2021 21:20:52 +0000 Subject: [PATCH 4/6] rename K_unratified -> K in rv64i_m --- riscv-test-suite/rv64i_m/{K_unratified => K}/Makefile | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/Makefrag | 0 .../{K_unratified => K}/references/aes64ds-01.reference_output | 0 .../{K_unratified => K}/references/aes64ds-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes64dsm-01.reference_output | 0 .../{K_unratified => K}/references/aes64dsm-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes64es-01.reference_output | 0 .../{K_unratified => K}/references/aes64es-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes64esm-01.reference_output | 0 .../{K_unratified => K}/references/aes64esm-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes64im-01.reference_output | 0 .../{K_unratified => K}/references/aes64im-rwp1.reference_output | 0 .../{K_unratified => K}/references/aes64im-rwp2.reference_output | 0 .../{K_unratified => K}/references/aes64ks1i-01.reference_output | 0 .../{K_unratified => K}/references/aes64ks2-01.reference_output | 0 .../{K_unratified => K}/references/andn-01.reference_output | 0 .../{K_unratified => K}/references/clmul-01.reference_output | 0 .../{K_unratified => K}/references/clmulh-01.reference_output | 0 .../{K_unratified => K}/references/orn-01.reference_output | 0 .../{K_unratified => K}/references/pack-01.reference_output | 0 .../{K_unratified => K}/references/packh-01.reference_output | 0 .../{K_unratified => K}/references/packu-01.reference_output | 0 .../{K_unratified => K}/references/packuw-01.reference_output | 0 .../{K_unratified => K}/references/packw-01.reference_output | 0 .../{K_unratified => K}/references/rev.b-01.reference_output | 0 .../{K_unratified => K}/references/rev8-01.reference_output | 0 .../{K_unratified => K}/references/rev8.w-01.reference_output | 0 .../{K_unratified => K}/references/rol-01.reference_output | 0 .../{K_unratified => K}/references/rolw-01.reference_output | 0 .../{K_unratified => K}/references/ror-01.reference_output | 0 .../{K_unratified => K}/references/rori-01.reference_output | 0 .../{K_unratified => K}/references/roriw-01.reference_output | 0 .../{K_unratified => K}/references/rorw-01.reference_output | 0 .../{K_unratified => K}/references/sha256sig0-01.reference_output | 0 .../references/sha256sig0-rwp1.reference_output | 0 .../references/sha256sig0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha256sig1-01.reference_output | 0 .../references/sha256sig1-rwp1.reference_output | 0 .../references/sha256sig1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha256sum0-01.reference_output | 0 .../references/sha256sum0-rwp1.reference_output | 0 .../references/sha256sum0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha256sum1-01.reference_output | 0 .../references/sha256sum1-rwp1.reference_output | 0 .../references/sha256sum1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha512sig0-01.reference_output | 0 .../references/sha512sig0-rwp1.reference_output | 0 .../references/sha512sig0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha512sig1-01.reference_output | 0 .../references/sha512sig1-rwp1.reference_output | 0 .../references/sha512sig1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha512sum0-01.reference_output | 0 .../references/sha512sum0-rwp1.reference_output | 0 .../references/sha512sum0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sha512sum1-01.reference_output | 0 .../references/sha512sum1-rwp1.reference_output | 0 .../references/sha512sum1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sm3p0-01.reference_output | 0 .../{K_unratified => K}/references/sm3p0-rwp1.reference_output | 0 .../{K_unratified => K}/references/sm3p0-rwp2.reference_output | 0 .../{K_unratified => K}/references/sm3p1-01.reference_output | 0 .../{K_unratified => K}/references/sm3p1-rwp1.reference_output | 0 .../{K_unratified => K}/references/sm3p1-rwp2.reference_output | 0 .../{K_unratified => K}/references/sm4ed-01.reference_output | 0 .../{K_unratified => K}/references/sm4ed-rwp1.reference_output | 0 .../{K_unratified => K}/references/sm4ks-01.reference_output | 0 .../{K_unratified => K}/references/sm4ks-rwp1.reference_output | 0 .../{K_unratified => K}/references/xnor-01.reference_output | 0 .../{K_unratified => K}/references/xperm.b-01.reference_output | 0 .../{K_unratified => K}/references/xperm.n-01.reference_output | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ds-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ds-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64dsm-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64dsm-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64es-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64es-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64esm-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64esm-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64im-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64im-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64im-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ks1i-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ks2-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/andn-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/clmul-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/clmulh-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/orn-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/pack-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/packh-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/packu-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/packuw-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/packw-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rev.b-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rev8-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rev8.w-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rol-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rolw-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/ror-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rori-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/roriw-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/rorw-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig0-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sig0-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sig0-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig1-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sig1-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sig1-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum0-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sum0-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sum0-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum1-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sum1-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha256sum1-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig0-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sig0-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sig0-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig1-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sig1-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sig1-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum0-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sum0-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sum0-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum1-01.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sum1-rwp1.S | 0 .../rv64i_m/{K_unratified => K}/src/sha512sum1-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p0-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p0-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p0-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p1-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p1-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p1-rwp2.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ed-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ed-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ks-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ks-rwp1.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/xnor-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/xperm.b-01.S | 0 riscv-test-suite/rv64i_m/{K_unratified => K}/src/xperm.n-01.S | 0 138 files changed, 0 insertions(+), 0 deletions(-) rename riscv-test-suite/rv64i_m/{K_unratified => K}/Makefile (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/Makefrag (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64ds-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64ds-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64dsm-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64dsm-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64es-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64es-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64esm-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64esm-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64im-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64im-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64im-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64ks1i-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/aes64ks2-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/andn-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/clmul-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/clmulh-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/orn-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/pack-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/packh-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/packu-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/packuw-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/packw-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rev.b-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rev8-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rev8.w-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rol-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rolw-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/ror-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rori-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/roriw-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/rorw-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sig0-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sig0-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sig0-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sig1-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sig1-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sig1-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sum0-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sum0-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sum0-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sum1-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sum1-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha256sum1-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sig0-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sig0-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sig0-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sig1-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sig1-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sig1-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sum0-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sum0-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sum0-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sum1-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sum1-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sha512sum1-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm3p0-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm3p0-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm3p0-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm3p1-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm3p1-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm3p1-rwp2.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm4ed-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm4ed-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm4ks-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/sm4ks-rwp1.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/xnor-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/xperm.b-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/references/xperm.n-01.reference_output (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ds-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ds-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64dsm-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64dsm-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64es-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64es-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64esm-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64esm-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64im-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64im-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64im-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ks1i-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/aes64ks2-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/andn-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/clmul-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/clmulh-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/orn-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/pack-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/packh-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/packu-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/packuw-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/packw-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rev.b-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rev8-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rev8.w-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rol-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rolw-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/ror-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rori-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/roriw-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/rorw-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig0-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig0-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig0-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig1-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig1-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sig1-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum0-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum0-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum0-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum1-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum1-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha256sum1-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig0-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig0-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig0-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig1-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig1-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sig1-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum0-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum0-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum0-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum1-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum1-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sha512sum1-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p0-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p0-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p0-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p1-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p1-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm3p1-rwp2.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ed-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ed-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ks-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/sm4ks-rwp1.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/xnor-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/xperm.b-01.S (100%) rename riscv-test-suite/rv64i_m/{K_unratified => K}/src/xperm.n-01.S (100%) diff --git a/riscv-test-suite/rv64i_m/K_unratified/Makefile b/riscv-test-suite/rv64i_m/K/Makefile similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/Makefile rename to riscv-test-suite/rv64i_m/K/Makefile diff --git a/riscv-test-suite/rv64i_m/K_unratified/Makefrag b/riscv-test-suite/rv64i_m/K/Makefrag similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/Makefrag rename to riscv-test-suite/rv64i_m/K/Makefrag diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64ds-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64ds-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64ds-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64ds-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64ds-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64dsm-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64dsm-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64dsm-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64dsm-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64dsm-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64es-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64es-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64es-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64es-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64es-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64es-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64es-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64esm-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64esm-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64esm-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64esm-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64esm-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64im-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64im-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64im-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64im-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64im-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64im-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64im-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64im-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks1i-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64ks1i-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64ks1i-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64ks1i-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/aes64ks2-01.reference_output b/riscv-test-suite/rv64i_m/K/references/aes64ks2-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/aes64ks2-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/aes64ks2-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/andn-01.reference_output b/riscv-test-suite/rv64i_m/K/references/andn-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/andn-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/andn-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/clmul-01.reference_output b/riscv-test-suite/rv64i_m/K/references/clmul-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/clmul-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/clmul-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/clmulh-01.reference_output b/riscv-test-suite/rv64i_m/K/references/clmulh-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/clmulh-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/clmulh-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/orn-01.reference_output b/riscv-test-suite/rv64i_m/K/references/orn-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/orn-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/orn-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/pack-01.reference_output b/riscv-test-suite/rv64i_m/K/references/pack-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/pack-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/pack-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/packh-01.reference_output b/riscv-test-suite/rv64i_m/K/references/packh-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/packh-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/packh-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/packu-01.reference_output b/riscv-test-suite/rv64i_m/K/references/packu-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/packu-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/packu-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/packuw-01.reference_output b/riscv-test-suite/rv64i_m/K/references/packuw-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/packuw-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/packuw-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/packw-01.reference_output b/riscv-test-suite/rv64i_m/K/references/packw-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/packw-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/packw-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rev.b-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rev.b-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rev.b-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rev.b-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rev8-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rev8-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rev8-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rev8-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rev8.w-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rev8.w-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rev8.w-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rev8.w-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rol-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rol-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rol-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rol-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rolw-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rolw-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rolw-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rolw-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/ror-01.reference_output b/riscv-test-suite/rv64i_m/K/references/ror-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/ror-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/ror-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rori-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rori-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rori-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rori-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/roriw-01.reference_output b/riscv-test-suite/rv64i_m/K/references/roriw-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/roriw-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/roriw-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/rorw-01.reference_output b/riscv-test-suite/rv64i_m/K/references/rorw-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/rorw-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/rorw-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sig0-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sig0-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sig0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sig0-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sig0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sig0-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sig0-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sig1-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sig1-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sig1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sig1-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sig1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sig1-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sig1-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sum0-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sum0-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sum0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sum0-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sum0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sum0-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sum0-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sum1-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sum1-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sum1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sum1-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha256sum1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha256sum1-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha256sum1-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sig0-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sig0-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sig0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sig0-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sig0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sig0-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sig0-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sig1-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sig1-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sig1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sig1-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sig1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sig1-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sig1-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sum0-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sum0-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sum0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sum0-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sum0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sum0-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sum0-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sum1-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sum1-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sum1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sum1-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sha512sum1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sha512sum1-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sha512sum1-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sm3p0-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm3p0-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sm3p0-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm3p0-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sm3p0-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm3p0-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm3p0-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sm3p1-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm3p1-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sm3p1-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm3p1-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp2.reference_output b/riscv-test-suite/rv64i_m/K/references/sm3p1-rwp2.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm3p1-rwp2.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm3p1-rwp2.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sm4ed-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm4ed-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sm4ed-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm4ed-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm4ed-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-01.reference_output b/riscv-test-suite/rv64i_m/K/references/sm4ks-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm4ks-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-rwp1.reference_output b/riscv-test-suite/rv64i_m/K/references/sm4ks-rwp1.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/sm4ks-rwp1.reference_output rename to riscv-test-suite/rv64i_m/K/references/sm4ks-rwp1.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/xnor-01.reference_output b/riscv-test-suite/rv64i_m/K/references/xnor-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/xnor-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/xnor-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/xperm.b-01.reference_output b/riscv-test-suite/rv64i_m/K/references/xperm.b-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/xperm.b-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/xperm.b-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/references/xperm.n-01.reference_output b/riscv-test-suite/rv64i_m/K/references/xperm.n-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/references/xperm.n-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/xperm.n-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S b/riscv-test-suite/rv64i_m/K/src/aes64ds-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64ds-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S b/riscv-test-suite/rv64i_m/K/src/aes64ds-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64ds-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/aes64ds-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S b/riscv-test-suite/rv64i_m/K/src/aes64dsm-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64dsm-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S b/riscv-test-suite/rv64i_m/K/src/aes64dsm-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64dsm-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/aes64dsm-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S b/riscv-test-suite/rv64i_m/K/src/aes64es-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64es-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64es-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S b/riscv-test-suite/rv64i_m/K/src/aes64es-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64es-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/aes64es-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S b/riscv-test-suite/rv64i_m/K/src/aes64esm-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64esm-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S b/riscv-test-suite/rv64i_m/K/src/aes64esm-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64esm-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/aes64esm-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S b/riscv-test-suite/rv64i_m/K/src/aes64im-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64im-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64im-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S b/riscv-test-suite/rv64i_m/K/src/aes64im-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/aes64im-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S b/riscv-test-suite/rv64i_m/K/src/aes64im-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64im-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/aes64im-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S b/riscv-test-suite/rv64i_m/K/src/aes64ks1i-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64ks1i-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64ks1i-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S b/riscv-test-suite/rv64i_m/K/src/aes64ks2-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/aes64ks2-01.S rename to riscv-test-suite/rv64i_m/K/src/aes64ks2-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S b/riscv-test-suite/rv64i_m/K/src/andn-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/andn-01.S rename to riscv-test-suite/rv64i_m/K/src/andn-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S b/riscv-test-suite/rv64i_m/K/src/clmul-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/clmul-01.S rename to riscv-test-suite/rv64i_m/K/src/clmul-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S b/riscv-test-suite/rv64i_m/K/src/clmulh-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/clmulh-01.S rename to riscv-test-suite/rv64i_m/K/src/clmulh-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S b/riscv-test-suite/rv64i_m/K/src/orn-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/orn-01.S rename to riscv-test-suite/rv64i_m/K/src/orn-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S b/riscv-test-suite/rv64i_m/K/src/pack-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/pack-01.S rename to riscv-test-suite/rv64i_m/K/src/pack-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S b/riscv-test-suite/rv64i_m/K/src/packh-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/packh-01.S rename to riscv-test-suite/rv64i_m/K/src/packh-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S b/riscv-test-suite/rv64i_m/K/src/packu-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/packu-01.S rename to riscv-test-suite/rv64i_m/K/src/packu-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S b/riscv-test-suite/rv64i_m/K/src/packuw-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/packuw-01.S rename to riscv-test-suite/rv64i_m/K/src/packuw-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S b/riscv-test-suite/rv64i_m/K/src/packw-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/packw-01.S rename to riscv-test-suite/rv64i_m/K/src/packw-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S b/riscv-test-suite/rv64i_m/K/src/rev.b-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rev.b-01.S rename to riscv-test-suite/rv64i_m/K/src/rev.b-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S b/riscv-test-suite/rv64i_m/K/src/rev8-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rev8-01.S rename to riscv-test-suite/rv64i_m/K/src/rev8-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S b/riscv-test-suite/rv64i_m/K/src/rev8.w-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rev8.w-01.S rename to riscv-test-suite/rv64i_m/K/src/rev8.w-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S b/riscv-test-suite/rv64i_m/K/src/rol-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rol-01.S rename to riscv-test-suite/rv64i_m/K/src/rol-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S b/riscv-test-suite/rv64i_m/K/src/rolw-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rolw-01.S rename to riscv-test-suite/rv64i_m/K/src/rolw-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S b/riscv-test-suite/rv64i_m/K/src/ror-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/ror-01.S rename to riscv-test-suite/rv64i_m/K/src/ror-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S b/riscv-test-suite/rv64i_m/K/src/rori-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rori-01.S rename to riscv-test-suite/rv64i_m/K/src/rori-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S b/riscv-test-suite/rv64i_m/K/src/roriw-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/roriw-01.S rename to riscv-test-suite/rv64i_m/K/src/roriw-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S b/riscv-test-suite/rv64i_m/K/src/rorw-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/rorw-01.S rename to riscv-test-suite/rv64i_m/K/src/rorw-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S b/riscv-test-suite/rv64i_m/K/src/sha256sig0-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-01.S rename to riscv-test-suite/rv64i_m/K/src/sha256sig0-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha256sig0-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha256sig0-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha256sig0-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sig0-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha256sig0-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S b/riscv-test-suite/rv64i_m/K/src/sha256sig1-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-01.S rename to riscv-test-suite/rv64i_m/K/src/sha256sig1-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha256sig1-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha256sig1-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha256sig1-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sig1-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha256sig1-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S b/riscv-test-suite/rv64i_m/K/src/sha256sum0-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-01.S rename to riscv-test-suite/rv64i_m/K/src/sha256sum0-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha256sum0-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha256sum0-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha256sum0-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sum0-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha256sum0-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S b/riscv-test-suite/rv64i_m/K/src/sha256sum1-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-01.S rename to riscv-test-suite/rv64i_m/K/src/sha256sum1-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha256sum1-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha256sum1-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha256sum1-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha256sum1-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha256sum1-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S b/riscv-test-suite/rv64i_m/K/src/sha512sig0-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-01.S rename to riscv-test-suite/rv64i_m/K/src/sha512sig0-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha512sig0-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha512sig0-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha512sig0-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sig0-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha512sig0-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S b/riscv-test-suite/rv64i_m/K/src/sha512sig1-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-01.S rename to riscv-test-suite/rv64i_m/K/src/sha512sig1-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha512sig1-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha512sig1-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha512sig1-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sig1-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha512sig1-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S b/riscv-test-suite/rv64i_m/K/src/sha512sum0-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-01.S rename to riscv-test-suite/rv64i_m/K/src/sha512sum0-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha512sum0-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha512sum0-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha512sum0-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sum0-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha512sum0-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S b/riscv-test-suite/rv64i_m/K/src/sha512sum1-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-01.S rename to riscv-test-suite/rv64i_m/K/src/sha512sum1-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sha512sum1-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sha512sum1-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sha512sum1-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sha512sum1-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sha512sum1-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S b/riscv-test-suite/rv64i_m/K/src/sm3p0-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-01.S rename to riscv-test-suite/rv64i_m/K/src/sm3p0-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sm3p0-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sm3p0-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sm3p0-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm3p0-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sm3p0-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S b/riscv-test-suite/rv64i_m/K/src/sm3p1-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-01.S rename to riscv-test-suite/rv64i_m/K/src/sm3p1-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sm3p1-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sm3p1-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S b/riscv-test-suite/rv64i_m/K/src/sm3p1-rwp2.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm3p1-rwp2.S rename to riscv-test-suite/rv64i_m/K/src/sm3p1-rwp2.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S b/riscv-test-suite/rv64i_m/K/src/sm4ed-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-01.S rename to riscv-test-suite/rv64i_m/K/src/sm4ed-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sm4ed-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm4ed-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sm4ed-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S b/riscv-test-suite/rv64i_m/K/src/sm4ks-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-01.S rename to riscv-test-suite/rv64i_m/K/src/sm4ks-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S b/riscv-test-suite/rv64i_m/K/src/sm4ks-rwp1.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/sm4ks-rwp1.S rename to riscv-test-suite/rv64i_m/K/src/sm4ks-rwp1.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S b/riscv-test-suite/rv64i_m/K/src/xnor-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/xnor-01.S rename to riscv-test-suite/rv64i_m/K/src/xnor-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S b/riscv-test-suite/rv64i_m/K/src/xperm.b-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/xperm.b-01.S rename to riscv-test-suite/rv64i_m/K/src/xperm.b-01.S diff --git a/riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S b/riscv-test-suite/rv64i_m/K/src/xperm.n-01.S similarity index 100% rename from riscv-test-suite/rv64i_m/K_unratified/src/xperm.n-01.S rename to riscv-test-suite/rv64i_m/K/src/xperm.n-01.S From 8b79068548195678fdcb218a22370861b1e2c292 Mon Sep 17 00:00:00 2001 From: phthinh Date: Sat, 18 Dec 2021 21:42:13 +0000 Subject: [PATCH 5/6] update xperm* instructions and delete packu/packuw instrucitonsfor K crypto in rv64i_m --- riscv-test-suite/rv64i_m/K/Makefrag | 6 +- .../K/references/packu-01.reference_output | 1044 ------ .../K/references/packuw-01.reference_output | 1052 ------ ...ence_output => xperm4-01.reference_output} | 0 ...ence_output => xperm8-01.reference_output} | 0 riscv-test-suite/rv64i_m/K/src/packu-01.S | 2696 ---------------- riscv-test-suite/rv64i_m/K/src/packuw-01.S | 2711 ---------------- riscv-test-suite/rv64i_m/K/src/xperm.b-01.S | 2816 ----------------- riscv-test-suite/rv64i_m/K/src/xperm.n-01.S | 2816 ----------------- riscv-test-suite/rv64i_m/K/src/xperm4-01.S | 2816 +++++++++++++++++ riscv-test-suite/rv64i_m/K/src/xperm8-01.S | 2816 +++++++++++++++++ 11 files changed, 5634 insertions(+), 13139 deletions(-) delete mode 100644 riscv-test-suite/rv64i_m/K/references/packu-01.reference_output delete mode 100644 riscv-test-suite/rv64i_m/K/references/packuw-01.reference_output rename riscv-test-suite/rv64i_m/K/references/{xperm.n-01.reference_output => xperm4-01.reference_output} (100%) rename riscv-test-suite/rv64i_m/K/references/{xperm.b-01.reference_output => xperm8-01.reference_output} (100%) delete mode 100644 riscv-test-suite/rv64i_m/K/src/packu-01.S delete mode 100644 riscv-test-suite/rv64i_m/K/src/packuw-01.S delete mode 100644 riscv-test-suite/rv64i_m/K/src/xperm.b-01.S delete mode 100644 riscv-test-suite/rv64i_m/K/src/xperm.n-01.S create mode 100644 riscv-test-suite/rv64i_m/K/src/xperm4-01.S create mode 100644 riscv-test-suite/rv64i_m/K/src/xperm8-01.S diff --git a/riscv-test-suite/rv64i_m/K/Makefrag b/riscv-test-suite/rv64i_m/K/Makefrag index 95f2ca326..893b66a9d 100644 --- a/riscv-test-suite/rv64i_m/K/Makefrag +++ b/riscv-test-suite/rv64i_m/K/Makefrag @@ -43,8 +43,6 @@ rv64k_sc_tests = \ orn-01 \ pack-01 \ packh-01 \ - packu-01 \ - packuw-01 \ packw-01 \ rev.b-01 \ rev8-01 \ @@ -90,8 +88,8 @@ rv64k_sc_tests = \ sm4ks-01 \ sm4ks-rwp1 \ xnor-01 \ - xperm.b-01 \ - xperm.n-01 \ + xperm8-01 \ + xperm4-01 \ rv64k_tests = $(addsuffix .elf, $(rv64k_sc_tests)) diff --git a/riscv-test-suite/rv64i_m/K/references/packu-01.reference_output b/riscv-test-suite/rv64i_m/K/references/packu-01.reference_output deleted file mode 100644 index 105e64990..000000000 --- a/riscv-test-suite/rv64i_m/K/references/packu-01.reference_output +++ /dev/null @@ -1,1044 +0,0 @@ -ffffffff -ffffffff -00000000 -00000000 -6f061d6d -80000000 -d2eeeb1c -40000000 -485246bf -a0000000 -d50bd94a -30000000 -88627494 -38000000 -2405b307 -4c000000 -b1410cba -82000000 -de81bcad -69000000 -bf28804a -cc800000 -fd8bf412 -19400000 -063bf2da -20a00000 -811d9484 -5c500000 -8cb7ed4f -cd280000 -666fafe7 -eb240000 -fdb7038b -cfb60000 -a4e23852 -118f0000 -718e177d -3b0b8000 -42bea775 -3da84000 -e91ede43 -a742a000 -d2039372 -a3def000 -e8dec4b7 -3af37800 -eed7c61c -4d64cc00 -4eab740b -f37b4e00 -2466b460 -efe74900 -4256f18f -e0776780 -8533dc75 -fa56aa40 -ca355a09 -60c9f220 -22fed7db -af36f110 -b6de8bcf -03339908 -b9fbd369 -5c67c8fc -324c464b -c706e0c2 -70fd0c20 -efe091e3 -755cd0bf -cb7cdda3 -a31e74cf -51885e99 -300d9b71 -87503d9d -cb0acb58 -1ac7983c -fd5324a4 -1857bc0a -a46ee1f1 -b9545b91 -4bff93c1 -ffe1759c -e3ac0343 -d12c4a6d -75bd36c7 -4978c517 -ea894c29 -ec557c3c -46b7549d -7fab9b08 -7e107814 -af8fda61 -57e9f6c2 -707df5a4 -f3c9fbed -924d5d8c -1078e539 -200dc762 -57b0d4c1 -415dc3e7 -9812a134 -448e60ef -d367d9f1 -ad881be6 -1f8b62c2 -d3262066 -ab4a4d5c -955964c5 -5cbaf664 -0b66a666 -d497855d -610ea259 -97db1001 -c19961dd -d63ef4de -4c414415 -1baf44cf -fc44172c -eab762a9 -985875b0 -9b90f7ca -fcd87651 -cbd297d6 -443a809e -da53e667 -b914351d -77a061ba -922ec2bf -a6c87383 -0e1db424 -33439fc4 -7f8c74b1 -00000000 -1a323609 -80000000 -ed4eced7 -40000000 -29cb52af -20000000 -0ae5de17 -d0000000 -b725f591 -58000000 -d5f381d6 -fc000000 -56ffe58d -42000000 -699492c9 -77000000 -25f013ba -65800000 -48659245 -46c00000 -491f1b5a -56e00000 -8b57454a -56b00000 -2b9c75b6 -47380000 -045abe77 -35440000 -a9cc49b7 -09320000 -75cdcd80 -cd550000 -b2b64c4b -fd428000 -6eb5568b -8e8a4000 -5dd1ee29 -1370a000 -f19c9fda -1408b000 -74fb05dc -e87af800 -9f7c62bb -dba90400 -5d98418d -01d61e00 -b21e137c -6e905700 -afc08ace -2e84d280 -52d700ac -c70a28c0 -94a7a5ea -baa4f320 -4fe6a6f6 -5c106a30 -cadb5bec -230b9f88 -cc381e10 -8d60807c -903636cf -2d47691a -fae216dc -fabf0a7b -6aeb7dbd -11b6621f -6f6e71b7 -486e4b47 -440d8382 -60e99d0e -b1b0d8fe -f547af48 -855efa74 -9254a04d -0bc66a8a -adf58064 -29828207 -01dae235 -80904b4c -b1ff9d60 -27a16894 -179fafe7 -fbdb4de8 -fbcf7628 -805c2f63 -e9fd4b63 -577f8847 -42dc4354 -f29c5831 -ed4764dd -2e9115b6 -51b0f5ab -2ae568ba -a544ade7 -db04a838 -925c46cb -5e4945ed -1ae589fb -096ebec4 -1d2acd14 -387be8b8 -9c9d5a52 -0b21bbba -2b20055d -073465b8 -ae39ed63 -409bd305 -d7f893c3 -b0ab577a -19b3bff3 -42f5d75e -f09825a4 -f7ab62a8 -01555a45 -8a88c067 -532e2cc5 -f8a88518 -fb7523b0 -8248f803 -382cc710 -331b2fb3 -4c52cfc2 -e7edd867 -3dcbe162 -fa60dbd6 -fe4544f6 -7b87a9e2 -44dcda6a -248174e5 -33bfb0e8 -7af0702b -dedbf20a -ef6855ed -07020244 -b93ac7ee -a3a30485 -cc31d1dc -0368a583 -ef900fb8 -a0fa6a24 -7d572379 -1606f8cc -f5858bcc -81294a71 -ea7bd402 -9ca99acf -b95bf2e9 -0440ea57 -097ceae8 -6c456bfc -67212b9d -64ad8cd4 -9da9065d -757e5228 -bd7a5054 -c6592aad -6cb1be29 -7fb1ac68 -6f3b6fa6 -06e1dd67 -249808d0 -b8f66a4f -1a5b9ccd -c8738f37 -f4ee2270 -66a4e1f8 -8e2b5c7e -6673663c -355695e6 -7de7f426 -f4f31a2b -f17dc31d -28f20e42 -1b607a8d -5415a043 -04ef0623 -590c01c4 -853ed27f -004fb0b2 -eb9a5398 -03eb3f6c -d183808a -e30aa425 -1ff934cb -d756c0f0 -3bbc81ce -b5bb3b24 -8b898000 -e52fb081 -1ab5ffe4 -710733fe -19a55fa9 -cee6c948 -c0a83d81 -1e903ad2 -292268c6 -a6e915bd -36370fcc -be4349e3 -e820ba0f -3ea485f7 -4e0aa561 -c680322f -2c9aaa4c -9ef4ce9f -1c8073cf -83653ebf -cc11f70e -6ffd7d7f -0c9dc3ec -365ee0ff -8df80a8d -1ce551ff -e05474e1 -a10263ff -4b6ae0ab -4cc607ff -884a2af8 -00d36fff -1475d76c -7b40dfff -729d8fd2 -6a0f3fff -c407e2a9 -1fe47fff -5697ebd5 -dbeeffff -69f35da3 -9269ffff -9230a15a -93fbffff -e057776c -1ab7ffff -b9e861c8 -222fffff -17c5da8e -489fffff -b888d020 -5a3fffff -ee8eb1b1 -ea7fffff -0341ea23 -82ffffff -6d5c2871 -b1ffffff -9bae401e -c3ffffff -9241b7ed -87ffffff -3e610134 -8fffffff -39c88ec0 -9fffffff -a67ce511 -bfffffff -24b6b671 -7fffffff -6dae4547 -ffffffff -0168ef24 -220746cc -30d2ec9f -2dc04d35 -c42a14b6 -b6cf3de4 -27b2bdda -8964663c -2815a915 -7bea8a85 -fe0022c2 -da80ddef -424f6311 -54fad640 -4d0957fb -86bddb7c -448bfe11 -c8ea69a8 -67dfca77 -633f9e36 -c312e040 -1c029006 -9f440f98 -b36964f8 -5569dab7 -a09c74e3 -03fd3b8c -ddc89919 -0d5574b4 -07c8adbb -8ba43677 -42c1be6c -231d058c -4cace191 -8c0f5cbf -0d4c58ab -b7e7669e -9edfcc1b -4399ec2a -d24f0724 -7a9ac0a7 -5f249e4d -0143ac65 -ae7c7ae4 -e31f9713 -bd5a3c08 -cdbd527d -529d62b6 -59dde331 -4ba49966 -e05e8c5f -fd670591 -19835a0d -7dabb700 -636a75e3 -088b3e9e -280088db -4ed62428 -4a18738a -1ca7bd1f -fdd2cb40 -63c2504c -c61b1fbf -30bff192 -0bacfc76 -ebda5a4f -65cb60bd -1635b51a -a4053173 -6ce859bb -3e87b427 -499006c8 -6cc30f6f -3c5b3eee -25784f5f -d95fd86a -27b23dbf -e91014a0 -d5a2037f -01769a3c -e81fdcff -85a300e0 -ff7745ff -1f1baf6a -708903ff -86ce51bd -a4be27ff -b1f5d853 -31602fff -2ad60725 -ba4a1fff -5761a866 -7f103fff -09e4d1f4 -f8a77fff -9a7ef9e4 -da88ffff -a827f5a3 -2449ffff -59c05bb9 -6c9bffff -de14bff2 -6e27ffff -63c2a48f -31cfffff -5dcf019d -215fffff -90bb3480 -433fffff -47b7097b -857fffff -40d90a1e -acffffff -4b1634e1 -6dffffff -fcb627af -3bffffff -abb4da1c -67ffffff -41b79d35 -2fffffff -d38cadcd -dfffffff -fe339eca -bfffffff -07ac5fed -7fffffff -2ff7c0fc -ffffffff -a6ea1c0d -8138093c -f192d0d7 -bd21a956 -5d8a9ea9 -932d823f -2537437f -b3852a64 -17f8388b -567b159a -0de1b952 -9fbfcb0a -07571fe6 -7805ec94 -037adfd3 -2f5031f8 -01f2bae5 -c3e60e90 -00c42276 -421d9b0a -007fb916 -f088bed0 -0037193e -03f26964 -00172f2a -9ad8e8b1 -000fcb68 -d8219c9d -00070446 -6e19ce13 -0003db77 -6410ff87 -00013ef0 -764a44b4 -0000ac0b -946c61bc -000067a5 -fd846420 -000028a1 -1d6d2a93 -00001bf9 -9199165c -00000f99 -1b2e2cd7 -0000044e -d53c269b -00000213 -c21668aa -00000112 -5c7fb02d -000000a4 -aeb0a94c -00000075 -cc81f272 -0000002d -2041c033 -00000011 -f0f1d8db -00000008 -426e6ddf -00000006 -e715dfe5 -00000002 -db54e659 -00000001 -a3729599 -00000000 -6fd08d91 -00000000 -1ad9c6d8 -00000000 -05d54cb2 -00000000 -9e2e5be5 -00000000 -866534cd -00000000 -56f55245 -00000000 -44eb31e4 -00000000 -7db4d3b5 -00000000 -3372969f -00000000 -a38d0f39 -00000000 -b06670aa -00000000 -23f7d227 -00000000 -887aae6a -00000000 -106607dc -00000000 -c4aaf35a -00000000 -0981abb6 -00000000 -db14a009 -00000000 -eea93b6f -00000000 -fa342b15 -00000000 -b7b8b1a0 -00000000 -3473f51f -00000000 -57d53e43 -00000000 -2141c6d1 -00000000 -e7ff25b9 -00000000 -28c0d4ae -00000000 -0942c3fb -00000000 -418bfbb0 -00000000 -af3aeaa3 -00000000 -1d849e2b -00000000 -44339c10 -00000000 -746fe5b9 -00000000 -9064dbd9 -00000000 -880f73bb -9211a8d8 -60301309 -520235bc -2cfcc396 -d4b59c05 -1b4c0859 -735dc327 -086b6a04 -faf8dfcd -06720c54 -d945bbf3 -02d51bff -51054839 -01554174 -ea0a668a -00a746a4 -a2592b9d -005a6296 -53b3b0ff -00260fdd -5e320f4a -00159ecb -05b4d756 -000dc63d -07a04e64 -00066900 -8a8f7aef -0002b3db -94b953ed -0001bc44 -e13a0996 -0000f1b2 -9bdeb398 -00006766 -a98a372e -0000239d -dd0c8b94 -00001fa0 -ea3d9be7 -00000c1f -13446df8 -000006e3 -7b73ccf8 -000002fa -b372c56b -0000012b -ec7038c9 -000000aa -fb019df4 -0000004a -1799e728 -00000028 -0beddb07 -00000015 -44e9e4a5 -0000000e -ea3a0683 -00000006 -9d42f670 -00000002 -119b4fe5 -00000001 -98b20411 -00000000 -64409ddb -00000000 -f6724ba0 -00000000 -0c228266 -00000000 -e1d7300f -00000000 -b9b338eb -00000000 -a2f7647a -00000000 -12ca3f70 -00000000 -9c3ecb54 -00000000 -36971e1b -00000000 -93b3a3d9 -00000000 -050684bf -00000000 -65151c40 -00000000 -21870f0b -00000000 -0f552c94 -00000000 -2274ea18 -00000000 -f6cdb2f8 -00000000 -563e9bed -00000000 -6b77730f -00000000 -78511608 -00000000 -36a80bdf -00000000 -4a789cb3 -00000000 -c42b7170 -00000000 -834c687a -00000000 -b53302fc -00000000 -15c1d2df -00000000 -a3ea284d -00000000 -76c468ae -00000000 -e12b2b8f -00000000 -8743feb6 -00000000 -a66b0d38 -00000000 -2fa91425 -00000000 -309d6b79 -00000000 -7734d7c1 -2bb9daa6 -2dc86f6d -f01528ec -b2de7072 -74a8eaec -dabfc6d7 -fcc1650f -e3ff5ec4 -61d4c262 -f7fe676e -bee2ee6e -fbde6f8e -6d80feee -fd5d359f -efb5b593 -feb171e3 -ee412da7 -ff4f4d10 -09322235 -ffa80c91 -c9763989 -ffdb1391 -0c64d280 -ffe25182 -ac79fc27 -fff0ffc4 -513ee651 -fff8e49c -612faf5e -fffcd94d -36334245 -fffee5ce -2a9cc14f -ffff34a3 -279ee973 -ffffb7e9 -2dd60261 -ffffc1b6 -071c80a0 -ffffeb07 -76b4a3dd -fffff54d -1d95cdb0 -fffff905 -6b3d9c59 -fffffcc0 -891b8273 -fffffe5b -b68a272a -ffffff0f -ce80f7b1 -ffffff8d -897d9fc5 -ffffffdf -75f32b50 -ffffffee -239853f9 -fffffff3 -08d6920b -fffffffa -94038f33 -fffffffc -a9632e3d -fffffffe -1141b67f -ffffffff -faa97965 -ffffffff -d6ac851f -ffffffff -07f5e51d -ffffffff -9875bad1 -ffffffff -339863c4 -ffffffff -c988a7cc -ffffffff -5bc1c366 -ffffffff -132a7176 -ffffffff -498db88f -ffffffff -c313bc1f -ffffffff -b1834066 -ffffffff -aa467bbe -ffffffff -9b9aaad1 -ffffffff -e4367cca -ffffffff -3c2c0e92 -ffffffff -b264d045 -ffffffff -6baeea37 -ffffffff -e0f003e9 -ffffffff -f69b0ae6 -ffffffff -29ade05f -ffffffff -13e6d903 -ffffffff -0af2ee97 -ffffffff -2a5dc0a0 -ffffffff -e6a4d5ca -ffffffff -a422af66 -ffffffff -755961a9 -ffffffff -9aaacd63 -ffffffff -9c1f741f -ffffffff -5902a9db -ffffffff -1694bd33 -ffffffff -dd4ec4d8 -ffffffff -ef677106 -ffffffff -313971c0 -0cd5d8e2 -aec15274 -dec85b0d -d9157bee -93017690 -e9907c4b -647981b7 -f1ccaecf -fbdf8f97 -fab2993a -f37e27a3 -fc6f9f6b -0da64fcf -fe11d383 -3da82c98 -ff0d9d60 -9c3d087c -ffbe66b1 -a6c5e214 -ffd1f3aa -d6220b4f -ffeb78cc -fe0a9c6c -fff6f2fc -da70525a -fffa3d4f -b9fef1d6 -fffd1160 -67913217 -fffeb3be -81aa70ac -ffff2dd5 -c2680192 -ffffae62 -5916d281 -ffffc752 -3322358c -ffffe41c -a08b84f3 -fffff595 -8e368ce0 -fffff9e2 -b8f4169f -fffffc47 -ffec35fe -fffffe96 -6d3f408b -ffffff3f -c96efdc4 -ffffffa4 -242a809b -ffffffc5 -f65e7737 -ffffffe9 -e380a176 -fffffff1 -f65cf3f3 -fffffffb -9e7e1fc3 -fffffffd -85fcd601 -fffffffe -6c5e1578 -ffffffff -72745307 -ffffffff -7c2c966d -ffffffff -9bb4752d -ffffffff -0cf25923 -ffffffff -df3c45b4 -ffffffff -8f0846a2 -ffffffff -66b072b9 -ffffffff -2a933ad3 -ffffffff -8525e8a8 -ffffffff -9535971c -ffffffff -3e1c8521 -ffffffff -b66b3284 -ffffffff -53fbff6c -ffffffff -c70afc92 -ffffffff -dd68f201 -ffffffff -7213516d -ffffffff -18bb28e9 -ffffffff -265039f6 -ffffffff -14b91c79 -ffffffff -0973e89c -ffffffff -d798c9cf -ffffffff -95a4d257 -ffffffff -735c076b -ffffffff -894deab4 -ffffffff -953b00b0 -ffffffff -f829d29f -ffffffff -a96ec2b3 -ffffffff -432779ee -ffffffff -61b0ee09 -ffffffff -ff1e5bef -ffffffff -137a9777 -ffffffff -2904cdef -ffffffff -34c2da80 -5ba2c7dd -00000000 diff --git a/riscv-test-suite/rv64i_m/K/references/packuw-01.reference_output b/riscv-test-suite/rv64i_m/K/references/packuw-01.reference_output deleted file mode 100644 index 063e4e3aa..000000000 --- a/riscv-test-suite/rv64i_m/K/references/packuw-01.reference_output +++ /dev/null @@ -1,1052 +0,0 @@ -ffffffff -ffffffff -5ae15ae1 -00000000 -0000c386 -00000000 -00002af4 -00000000 -00002502 -00000000 -0000392e -00000000 -000080e4 -00000000 -0000cec7 -00000000 -00005d00 -00000000 -00000b81 -00000000 -000083e2 -00000000 -000046e4 -00000000 -0000242d -00000000 -0000d8d8 -00000000 -0000c1f3 -00000000 -0000d770 -00000000 -00000581 -00000000 -0000d8bc -00000000 -0000e812 -00000000 -00003d32 -00000000 -00002037 -00000000 -00008744 -00000000 -0000875e -00000000 -000017d8 -00000000 -000087f9 -00000000 -00000d42 -00000000 -00002de4 -00000000 -0000d630 -00000000 -00000000 -00000000 -00004e7b -00000000 -00000000 -00000000 -0000c8cd -00000000 -0000c062 -00000000 -00006449 -00000000 -80004f63 -ffffffff -c0001f3c -ffffffff -20003bb3 -00000000 -70002bed -00000000 -e8007c49 -ffffffff -2400a855 -00000000 -3a002450 -00000000 -fd006656 -ffffffff -02809929 -00000000 -4d40ff60 -00000000 -10a093c2 -00000000 -9890a1c1 -ffffffff -a8f8408b -ffffffff -db8caa8d -ffffffff -8c625c96 -ffffffff -526b7c28 -00000000 -282856f6 -00000000 -3aaf5710 -00000000 -ba453a81 -ffffffff -54c322fe -00000000 -9d41fcd2 -ffffffff -29458b08 -00000000 -b6c0072f -ffffffff -91100e72 -ffffffff -98df3b50 -ffffffff -3f6ad6cb -00000000 -8f82f66f -ffffffff -48b4aeb5 -00000000 -12916373 -00000000 -33ec4b1d -00000000 -323e0196 -00000000 -af5b8947 -ffffffff -e92a0000 -ffffffff -4bed0000 -00000000 -32060000 -00000000 -4ef40000 -00000000 -d2360000 -ffffffff -34800000 -00000000 -43600000 -00000000 -60e00000 -00000000 -522a0000 -00000000 -994a0000 -ffffffff -5d620000 -00000000 -cd070000 -ffffffff -4ea40000 -00000000 -bb750000 -ffffffff -709e0000 -00000000 -3ff70000 -00000000 -e7160000 -ffffffff -b03f0000 -ffffffff -5c3d0000 -00000000 -18cc0000 -00000000 -575d0000 -00000000 -7ce10000 -00000000 -6f9f0000 -00000000 -4f830000 -00000000 -244d0000 -00000000 -5b130000 -00000000 -64e70000 -00000000 -305e0000 -00000000 -61250000 -00000000 -07e80000 -00000000 -62910000 -00000000 -58f40000 -00000000 -0a090000 -00000000 -33448000 -00000000 -e2edc000 -ffffffff -00f16000 -00000000 -48f7f000 -00000000 -df889800 -ffffffff -bd23ec00 -ffffffff -86f97600 -ffffffff -80eb8b00 -ffffffff -1db6fb80 -00000000 -02352c40 -00000000 -cd26c220 -ffffffff -01b57b70 -00000000 -5d3b0cc8 -00000000 -fd1096fc -ffffffff -f570dde6 -ffffffff -7592d357 -00000000 -461647f9 -00000000 -8ccaf9c7 -ffffffff -6d5f7952 -00000000 -2ded1ce9 -00000000 -1d24e301 -00000000 -ddbaac86 -ffffffff -a9e1de16 -ffffffff -152906bf -00000000 -62730e07 -00000000 -6c57d295 -00000000 -a97b6ec1 -ffffffff -d19ef0f1 -ffffffff -56f5f0f5 -00000000 -253292d9 -00000000 -5fef058f -00000000 -598b797d -00000000 -77270aab -00000000 -b3aa8f64 -ffffffff -3d561d19 -00000000 -b5ff7d3a -ffffffff -14d58228 -00000000 -f81a963a -ffffffff -dd93740e -ffffffff -66eedfe4 -00000000 -8652af69 -ffffffff -09fcb2fe -00000000 -6409e10e -00000000 -d92f914a -ffffffff -30d0e881 -00000000 -e6370ae9 -ffffffff -f8dd0b72 -ffffffff -be5f303c -ffffffff -70c2832c -00000000 -d5810c99 -ffffffff -14933e0a -00000000 -8d376dd5 -ffffffff -cc6f1f89 -ffffffff -bddf6d64 -ffffffff -5cbf3161 -00000000 -3e7f6fb0 -00000000 -b4ffa1d0 -ffffffff -71ff6be0 -00000000 -b3ffdb0b -ffffffff -57ff5d11 -00000000 -2fff7a44 -00000000 -9fff9354 -ffffffff -3fff9949 -00000000 -7fff4e2e -00000000 -fffff719 -ffffffff -ffff15a0 -ffffffff -ffffb733 -ffffffff -ffff6aed -ffffffff -ffff6d2d -ffffffff -ffffbffb -ffffffff -ffff27b0 -ffffffff -ffff14a7 -ffffffff -ffff2f6a -ffffffff -ffff1121 -ffffffff -fffff96e -ffffffff -ffff8fbf -ffffffff -ffff2552 -ffffffff -ffff0f99 -ffffffff -ffffb68c -ffffffff -ffff13e5 -ffffffff -ffff47cd -ffffffff -ffff8364 -ffffffff -ffffd091 -ffffffff -ffff7642 -ffffffff -ffff2017 -ffffffff -ffff9738 -ffffffff -ffff5843 -ffffffff -ffff25fb -ffffffff -ffffbd94 -ffffffff -fffffe18 -ffffffff -ffff386e -ffffffff -ffff0274 -ffffffff -ffff180c -ffffffff -ffff41e5 -ffffffff -ffff275b -ffffffff -ffffae5f -ffffffff -ffffb0f9 -ffffffff -96c01a56 -ffffffff -8033d4d6 -ffffffff -602531a3 -00000000 -f54142ee -ffffffff -cd096a88 -ffffffff -f3d1efef -ffffffff -46b8883e -00000000 -00f0733f -00000000 -269e63dd -00000000 -ff034ef7 -ffffffff -0a71eb18 -00000000 -b74d2919 -ffffffff -cf1effe7 -ffffffff -4572ba18 -00000000 -ee845f67 -ffffffff -4c40f63c -00000000 -65849d02 -00000000 -e5c7d6f1 -ffffffff -64a10c03 -00000000 -cd415857 -ffffffff -ee8f16ef -ffffffff -de38e6df -ffffffff -8b9fe4bf -ffffffff -0c96f77f -00000000 -88f90aff -ffffffff -955295ff -ffffffff -5c6c23ff -00000000 -4a7a97ff -00000000 -37e0cfff -00000000 -0d771fff -00000000 -8dfc3fff -ffffffff -4e6e7fff -00000000 -998dffff -ffffffff -75eeffff -00000000 -59cfffff -00000000 -9793ffff -ffffffff -9687ffff -ffffffff -d2feffff -ffffffff -b6d7ffff -ffffffff -0929ffff -00000000 -784affff -00000000 -4f82ffff -00000000 -17b8ffff -00000000 -8b16ffff -ffffffff -39beffff -00000000 -91e4ffff -ffffffff -975affff -ffffffff -b432ffff -ffffffff -b76dffff -ffffffff -cd9cffff -ffffffff -eed7ffff -ffffffff -76b1ffff -00000000 -b398ffff -ffffffff -3c46ffff -00000000 -9b01ffff -ffffffff -5b33ffff -00000000 -2d37ffff -00000000 -bf97ffff -ffffffff -6df8ffff -00000000 -e084ffff -ffffffff -432fffff -00000000 -03b1ffff -00000000 -4b6effff -00000000 -bbe8ffff -ffffffff -2f8bffff -00000000 -41b266cc -00000000 -1d611ba9 -00000000 -c301fae6 -ffffffff -a8e63695 -ffffffff -3b6b4c82 -00000000 -02b6e717 -00000000 -cc1e4d3b -ffffffff -67deb8fe -00000000 -e94a6e80 -ffffffff -91d6c32c -ffffffff -e4a7a11f -ffffffff -1844cad7 -00000000 -2e6731f3 -00000000 -99d60a76 -ffffffff -6d2b5ac5 -00000000 -c3c453aa -ffffffff -226bae53 -00000000 -ed481862 -ffffffff -2c282874 -00000000 -25842f3d -00000000 -0995e7b4 -00000000 -cd877b69 -ffffffff -80b6af88 -ffffffff -b467a279 -ffffffff -e94ff7e7 -ffffffff -189791e4 -00000000 -671caf6a -00000000 -8b14b470 -ffffffff -18d6d508 -00000000 -50dd1690 -00000000 -3b8f58fc -00000000 -8795962e -ffffffff -e03088b4 -ffffffff -7b1be0f4 -00000000 -31b07fb2 -00000000 -1cdefa2f -00000000 -0bce6b66 -00000000 -06a179fe -00000000 -03212080 -00000000 -01e46776 -00000000 -00b01f36 -00000000 -00557f65 -00000000 -00218fc0 -00000000 -001ff2fb -00000000 -000bea7f -00000000 -00072c42 -00000000 -0003de17 -00000000 -00016be1 -00000000 -00001530 -00000000 -0000b7e0 -00000000 -0000ca71 -00000000 -0000167c -00000000 -0000ec9a -00000000 -0000fb7a -00000000 -0000f1ba -00000000 -00006352 -00000000 -0000b325 -00000000 -0000c196 -00000000 -0000b6d3 -00000000 -000079a2 -00000000 -000013f5 -00000000 -0000a111 -00000000 -0000d465 -00000000 -000067ba -00000000 -0000caa0 -00000000 -47f4d427 -00000000 -73d561ee -00000000 -36cd4671 -00000000 -1ce2d265 -00000000 -f333b612 -ffffffff -e54922dc -ffffffff -ebb9e159 -ffffffff -c12fcdc0 -ffffffff -32d1aa78 -00000000 -3dd124aa -00000000 -02e5f517 -00000000 -7b1f99ed -00000000 -83b884c9 -ffffffff -d69f70c6 -ffffffff -b1b4ba6b -ffffffff -41d81488 -00000000 -032f6111 -00000000 -9ffd92a3 -ffffffff -07bf5a11 -00000000 -f6a08bcc -ffffffff -128abb01 -00000000 -1328f678 -00000000 -5b83798c -00000000 -08fba82c -00000000 -7349236e -00000000 -21af482e -00000000 -0f7a7219 -00000000 -11b4bfbd -00000000 -ead847bc -ffffffff -9da93a78 -ffffffff -fa284b1e -ffffffff -e7a26bba -ffffffff -b45fbd08 -ffffffff -83295bea -ffffffff -6be43a81 -00000000 -63611891 -00000000 -3fdf0bf8 -00000000 -952e0711 -ffffffff -62dc028d -00000000 -c5ce011e -ffffffff -257700c7 -00000000 -a44f0073 -ffffffff -e2860034 -ffffffff -1dd3001a -00000000 -c4ff0009 -ffffffff -02cd0007 -00000000 -1e340002 -00000000 -03e00001 -00000000 -45100000 -00000000 -65bd0000 -00000000 -0d650000 -00000000 -00230000 -00000000 -d8b90000 -ffffffff -902a0000 -ffffffff -3acb0000 -00000000 -154c0000 -00000000 -a9960000 -ffffffff -3bd00000 -00000000 -c7320000 -ffffffff -30b10000 -00000000 -d4ea0000 -ffffffff -9d950000 -ffffffff -cb000000 -ffffffff -965e0000 -ffffffff -c7fd0000 -ffffffff -7443aa01 -00000000 -dab03591 -ffffffff -89016562 -ffffffff -dcf030d2 -ffffffff -b828d69f -ffffffff -2d79f38e -00000000 -1a5974bc -00000000 -6f6db918 -00000000 -08800282 -00000000 -e9e6b8b0 -ffffffff -9c23eb74 -ffffffff -f831859b -ffffffff -0e220da5 -00000000 -e41bf044 -ffffffff -85148e73 -ffffffff -b3b2fb56 -ffffffff -7d6163ad -00000000 -81b47825 -ffffffff -9bfac5f0 -ffffffff -e7782407 -ffffffff -4caed9e0 -00000000 -dbc1b5c0 -ffffffff -36c3d788 -00000000 -a2463601 -ffffffff -cf4c255c -ffffffff -49aa9e49 -00000000 -27521ac2 -00000000 -40d01755 -00000000 -a0a1120f -ffffffff -748c2f8d -00000000 -9fc76056 -ffffffff -a97cbd00 -ffffffff -1fe862a1 -00000000 -af89c478 -ffffffff -c1650715 -ffffffff -efadc2cc -ffffffff -f7fc95a6 -ffffffff -f8d3d216 -ffffffff -fc15fc9a -ffffffff -feac0c20 -ffffffff -ff5b06ae -ffffffff -ff8737c4 -ffffffff -ffcea311 -ffffffff -ffef62eb -ffffffff -fff30320 -ffffffff -fff879a6 -ffffffff -fffcd971 -ffffffff -fffe1e4e -ffffffff -ffffe3d4 -ffffffff -ffffb4c8 -ffffffff -ffff5408 -ffffffff -ffffc099 -ffffffff -ffff7ddd -ffffffff -ffff6dc3 -ffffffff -ffffd9ad -ffffffff -ffff0d45 -ffffffff -ffffcb29 -ffffffff -ffff6ed2 -ffffffff -ffff8347 -ffffffff -fffffc6c -ffffffff -ffff7886 -ffffffff -ffffe183 -ffffffff -ffff596f -ffffffff -ffffca11 -ffffffff -ffffdc17 -ffffffff -9408a85a -ffffffff -53a285d7 -00000000 -e35656df -ffffffff -041bea37 -00000000 -92673115 -ffffffff -12ea91ed -00000000 -ef8c4ec6 -ffffffff -2fb54846 -00000000 -658312e9 -00000000 -53e1042d -00000000 -bbc9b87c -ffffffff -9a599651 -ffffffff -725f7b8b -00000000 -5956a3b6 -00000000 -437c81ed -00000000 -4b43a0fb -00000000 -6c965c37 -00000000 -0e24c5b3 -00000000 -a6fa35a7 -ffffffff -c8634411 -ffffffff -be527297 -ffffffff -85bfe335 -ffffffff -af33fc7c -ffffffff -31d4fc61 -00000000 -eb69985a -ffffffff -7a32fb37 -00000000 -fbd20bd6 -ffffffff -4a106f73 -00000000 -1dcaafe0 -00000000 -52b4bd59 -00000000 -e8d7a647 -ffffffff -754fb9e9 -00000000 -ec327569 -ffffffff -ccad955d -ffffffff -1bd7d7be -00000000 -109fe046 -00000000 -090af141 -00000000 -2a71fba1 -00000000 -e5e2fc9e -ffffffff -1011fe23 -00000000 -458dff7d -00000000 -67a0ff83 -00000000 -51c5ffc7 -00000000 -8b7bffe2 -ffffffff -58fafff2 -00000000 -2c9ffffb -00000000 -2daffffc -00000000 -6a01fffe -00000000 -c5ecffff -ffffffff -99efffff -ffffffff -dae9ffff -ffffffff -3d06ffff -00000000 -280bffff -00000000 -a729ffff -ffffffff -8c8affff -ffffffff -4d88ffff -00000000 -0b54ffff -00000000 -3d48ffff -00000000 -4d98ffff -00000000 -accaffff -ffffffff -5ae6ffff -00000000 -bedcffff -ffffffff -53e8ffff -00000000 -cf84ffff -ffffffff -03ccffff -00000000 -00005ae1 -00000000 -0000392e -00000000 -0000ab72 -00000000 -0000d964 -00000000 -00000000 -00000000 diff --git a/riscv-test-suite/rv64i_m/K/references/xperm.n-01.reference_output b/riscv-test-suite/rv64i_m/K/references/xperm4-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K/references/xperm.n-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/xperm4-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K/references/xperm.b-01.reference_output b/riscv-test-suite/rv64i_m/K/references/xperm8-01.reference_output similarity index 100% rename from riscv-test-suite/rv64i_m/K/references/xperm.b-01.reference_output rename to riscv-test-suite/rv64i_m/K/references/xperm8-01.reference_output diff --git a/riscv-test-suite/rv64i_m/K/src/packu-01.S b/riscv-test-suite/rv64i_m/K/src/packu-01.S deleted file mode 100644 index 6e96f15cd..000000000 --- a/riscv-test-suite/rv64i_m/K/src/packu-01.S +++ /dev/null @@ -1,2696 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packu instruction of the RISC-V extension for the packu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",packu) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",packu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x8, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packu ; op1:x6; op2:x6; dest:x8; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(packu, x8, x6, x6, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x4, 0, x5) - -inst_1: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packu ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(packu, x0, x0, x0, 0x0000000000000000, 0x0, 0x0, x4, 8, x5) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x3, rs2==x12, rd==x22, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packu ; op1:x3; op2:x12; dest:x22; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packu, x22, x3, x12, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x4, 16, x5) - -inst_3: -// rs1 == rd != rs2, rs1==x24, rs2==x16, rd==x24, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: packu ; op1:x24; op2:x16; dest:x24; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(packu, x24, x24, x16, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x4, 24, x5) - -inst_4: -// rs2 == rd != rs1, rs1==x20, rs2==x2, rd==x2, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: packu ; op1:x20; op2:x2; dest:x2; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(packu, x2, x20, x2, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x4, 32, x5) - -inst_5: -// rs1==x13, rs2==x11, rd==x16, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packu ; op1:x13; op2:x11; dest:x16; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(packu, x16, x13, x11, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x4, 40, x5) - -inst_6: -// rs1==x9, rs2==x7, rd==x27, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: packu ; op1:x9; op2:x7; dest:x27; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(packu, x27, x9, x7, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x4, 48, x5) - -inst_7: -// rs1==x19, rs2==x26, rd==x18, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: packu ; op1:x19; op2:x26; dest:x18; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(packu, x18, x19, x26, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x4, 56, x5) - -inst_8: -// rs1==x14, rs2==x20, rd==x15, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: packu ; op1:x14; op2:x20; dest:x15; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(packu, x15, x14, x20, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x4, 64, x5) - -inst_9: -// rs1==x28, rs2==x25, rd==x11, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: packu ; op1:x28; op2:x25; dest:x11; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(packu, x11, x28, x25, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 72, x5) - -inst_10: -// rs1==x2, rs2==x14, rd==x29, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: packu ; op1:x2; op2:x14; dest:x29; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(packu, x29, x2, x14, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x4, 80, x5) - -inst_11: -// rs1==x31, rs2==x9, rd==x14, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packu ; op1:x31; op2:x9; dest:x14; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(packu, x14, x31, x9, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x4, 88, x5) - -inst_12: -// rs1==x16, rs2==x19, rd==x3, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: packu ; op1:x16; op2:x19; dest:x3; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(packu, x3, x16, x19, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x4, 96, x5) - -inst_13: -// rs1==x27, rs2==x15, rd==x13, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packu ; op1:x27; op2:x15; dest:x13; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packu, x13, x27, x15, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x4, 104, x5) - -inst_14: -// rs1==x11, rs2==x22, rd==x28, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: packu ; op1:x11; op2:x22; dest:x28; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(packu, x28, x11, x22, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x4, 112, x5) - -inst_15: -// rs1==x21, rs2==x29, rd==x10, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: packu ; op1:x21; op2:x29; dest:x10; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(packu, x10, x21, x29, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x4, 120, x5) - -inst_16: -// rs1==x15, rs2==x17, rd==x1, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: packu ; op1:x15; op2:x17; dest:x1; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(packu, x1, x15, x17, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x4, 128, x5) - -inst_17: -// rs1==x1, rs2==x23, rd==x5, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: packu ; op1:x1; op2:x23; dest:x5; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(packu, x5, x1, x23, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x4, 136, x14) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_18: -// rs1==x22, rs2==x24, rd==x12, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packu ; op1:x22; op2:x24; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(packu, x12, x22, x24, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x2, 0, x14) - -inst_19: -// rs1==x18, rs2==x1, rd==x25, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: packu ; op1:x18; op2:x1; dest:x25; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(packu, x25, x18, x1, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x2, 8, x14) - -inst_20: -// rs1==x7, rs2==x21, rd==x17, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: packu ; op1:x7; op2:x21; dest:x17; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(packu, x17, x7, x21, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x2, 16, x14) - -inst_21: -// rs1==x26, rs2==x8, rd==x30, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: packu ; op1:x26; op2:x8; dest:x30; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(packu, x30, x26, x8, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x2, 24, x14) - -inst_22: -// rs1==x17, rs2==x27, rd==x31, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: packu ; op1:x17; op2:x27; dest:x31; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(packu, x31, x17, x27, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x2, 32, x14) - -inst_23: -// rs1==x23, rs2==x4, rd==x6, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: packu ; op1:x23; op2:x4; dest:x6; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(packu, x6, x23, x4, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x2, 40, x14) - -inst_24: -// rs1==x29, rs2==x10, rd==x19, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: packu ; op1:x29; op2:x10; dest:x19; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(packu, x19, x29, x10, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x2, 48, x14) - -inst_25: -// rs1==x4, rs2==x13, rd==x26, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: packu ; op1:x4; op2:x13; dest:x26; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(packu, x26, x4, x13, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x2, 56, x14) - -inst_26: -// rs1==x10, rs2==x3, rd==x23, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: packu ; op1:x10; op2:x3; dest:x23; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(packu, x23, x10, x3, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x2, 64, x14) - -inst_27: -// rs1==x8, rs2==x31, rd==x7, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packu ; op1:x8; op2:x31; dest:x7; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(packu, x7, x8, x31, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x2, 72, x14) - -inst_28: -// rs1==x12, rs2==x30, rd==x9, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packu ; op1:x12; op2:x30; dest:x9; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(packu, x9, x12, x30, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x2, 80, x14) - -inst_29: -// rs1==x30, rs2==x18, rd==x20, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: packu ; op1:x30; op2:x18; dest:x20; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(packu, x20, x30, x18, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x2, 88, x14) - -inst_30: -// rs1==x25, rs2==x5, rd==x21, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packu ; op1:x25; op2:x5; dest:x21; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packu, x21, x25, x5, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x2, 96, x14) - -inst_31: -// rs1==x5, rs2==x28, rd==x4, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: packu ; op1:x5; op2:x28; dest:x4; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(packu, x4, x5, x28, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x2, 104, x14) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x2, 112, x14) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x2, 120, x1) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x2, 128, x1) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x2, 136, x1) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x2, 144, x1) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x2, 152, x1) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x2, 160, x1) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x2, 168, x1) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x2, 176, x1) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x2, 184, x1) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x2, 192, x1) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x2, 200, x1) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x2, 208, x1) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x2, 216, x1) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x2, 224, x1) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x2, 232, x1) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x2, 240, x1) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x2, 248, x1) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x2, 256, x1) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x2, 264, x1) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x2, 272, x1) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x2, 280, x1) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x2, 288, x1) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x2, 296, x1) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x2, 304, x1) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x2, 312, x1) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x2, 320, x1) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x2, 328, x1) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x2, 336, x1) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x2, 344, x1) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x2, 352, x1) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x2, 360, x1) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x2, 368, x1) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x2, 376, x1) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x2, 384, x1) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x2, 392, x1) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x2, 400, x1) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x2, 408, x1) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x2, 416, x1) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x2, 424, x1) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x2, 432, x1) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x2, 440, x1) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x2, 448, x1) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x2, 456, x1) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x2, 464, x1) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x2, 472, x1) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x2, 480, x1) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x2, 488, x1) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x2, 496, x1) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x2, 504, x1) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x2, 512, x1) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x2, 520, x1) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x2, 528, x1) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x2, 536, x1) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x2, 544, x1) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x2, 552, x1) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x2, 560, x1) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x2, 568, x1) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x2, 576, x1) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x2, 584, x1) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x2, 592, x1) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x2, 600, x1) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x2, 608, x1) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x2, 616, x1) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x2, 624, x1) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x2, 632, x1) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x2, 640, x1) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x2, 648, x1) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x2, 656, x1) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x2, 664, x1) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x2, 672, x1) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x2, 680, x1) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x2, 688, x1) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x2, 696, x1) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x2, 704, x1) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x2, 712, x1) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x2, 720, x1) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x2, 728, x1) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x2, 736, x1) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x2, 744, x1) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x2, 752, x1) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x2, 760, x1) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x2, 768, x1) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x2, 776, x1) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x2, 784, x1) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x2, 792, x1) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x2, 800, x1) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x2, 808, x1) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x2, 816, x1) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x2, 824, x1) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x2, 832, x1) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x2, 840, x1) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x2, 848, x1) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x2, 856, x1) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x2, 864, x1) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x2, 872, x1) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x2, 880, x1) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x2, 888, x1) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x2, 896, x1) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x2, 904, x1) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x2, 912, x1) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x2, 920, x1) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x2, 928, x1) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x2, 936, x1) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x2, 944, x1) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x2, 952, x1) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x2, 960, x1) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x2, 968, x1) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x2, 976, x1) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x2, 984, x1) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x2, 992, x1) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x2, 1000, x1) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x2, 1008, x1) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x2, 1016, x1) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x2, 1024, x1) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x2, 1032, x1) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x2, 1040, x1) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x2, 1048, x1) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x2, 1056, x1) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x2, 1064, x1) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x2, 1072, x1) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x2, 1080, x1) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x2, 1088, x1) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x2, 1096, x1) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x2, 1104, x1) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x2, 1112, x1) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x2, 1120, x1) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x2, 1128, x1) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x2, 1136, x1) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x2, 1144, x1) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x2, 1152, x1) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x2, 1160, x1) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x2, 1168, x1) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x2, 1176, x1) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x2, 1184, x1) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x2, 1192, x1) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x2, 1200, x1) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x2, 1208, x1) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x2, 1216, x1) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x2, 1224, x1) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x2, 1232, x1) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x2, 1240, x1) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x2, 1248, x1) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x2, 1256, x1) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x2, 1264, x1) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x2, 1272, x1) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x2, 1280, x1) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x2, 1288, x1) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x2, 1296, x1) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x2, 1304, x1) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x2, 1312, x1) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x2, 1320, x1) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x2, 1328, x1) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x2, 1336, x1) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x2, 1344, x1) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x2, 1352, x1) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x2, 1360, x1) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x2, 1368, x1) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x2, 1376, x1) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x2, 1384, x1) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x2, 1392, x1) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x2, 1400, x1) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x2, 1408, x1) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x2, 1416, x1) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x2, 1424, x1) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x2, 1432, x1) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x2, 1440, x1) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x2, 1448, x1) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x2, 1456, x1) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x2, 1464, x1) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x2, 1472, x1) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x2, 1480, x1) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x2, 1488, x1) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x2, 1496, x1) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x2, 1504, x1) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x2, 1512, x1) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x2, 1520, x1) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x2, 1528, x1) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x2, 1536, x1) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x2, 1544, x1) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x2, 1552, x1) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x2, 1560, x1) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x2, 1568, x1) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x2, 1576, x1) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x2, 1584, x1) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x2, 1592, x1) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x2, 1600, x1) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x2, 1608, x1) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x2, 1616, x1) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x2, 1624, x1) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x2, 1632, x1) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x2, 1640, x1) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x2, 1648, x1) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x2, 1656, x1) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x2, 1664, x1) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x2, 1672, x1) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x2, 1680, x1) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x2, 1688, x1) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x2, 1696, x1) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x2, 1704, x1) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x2, 1712, x1) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x2, 1720, x1) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x2, 1728, x1) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x2, 1736, x1) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x2, 1744, x1) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x2, 1752, x1) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x2, 1760, x1) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x2, 1768, x1) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x2, 1776, x1) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x2, 1784, x1) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x2, 1792, x1) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x2, 1800, x1) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x2, 1808, x1) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x2, 1816, x1) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x2, 1824, x1) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x2, 1832, x1) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x2, 1840, x1) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x2, 1848, x1) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x2, 1856, x1) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x2, 1864, x1) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x2, 1872, x1) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x2, 1880, x1) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x2, 1888, x1) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x2, 1896, x1) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x2, 1904, x1) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x2, 1912, x1) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x2, 1920, x1) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x2, 1928, x1) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x2, 1936, x1) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x2, 1944, x1) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x2, 1952, x1) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x2, 1960, x1) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x2, 1968, x1) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x2, 1976, x1) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x2, 1984, x1) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x2, 1992, x1) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x2, 2000, x1) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x2, 2008, x1) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x2, 2016, x1) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x2, 2024, x1) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x2, 2032, x1) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x2, 2040, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x2, 0, x1) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x2, 8, x1) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x2, 16, x1) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x2, 24, x1) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x2, 32, x1) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x2, 40, x1) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x2, 48, x1) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x2, 56, x1) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x2, 64, x1) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x2, 72, x1) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x2, 80, x1) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x2, 88, x1) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x2, 96, x1) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x2, 104, x1) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x2, 112, x1) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x2, 120, x1) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x2, 128, x1) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x2, 136, x1) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x2, 144, x1) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x2, 152, x1) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x2, 160, x1) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x2, 168, x1) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x2, 176, x1) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x2, 184, x1) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x2, 192, x1) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x2, 200, x1) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x2, 208, x1) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x2, 216, x1) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x2, 224, x1) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x2, 232, x1) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x2, 240, x1) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x2, 248, x1) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x2, 256, x1) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x2, 264, x1) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x2, 272, x1) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x2, 280, x1) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x2, 288, x1) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x2, 296, x1) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x2, 304, x1) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x2, 312, x1) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x2, 320, x1) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x2, 328, x1) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x2, 336, x1) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x2, 344, x1) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x2, 352, x1) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x2, 360, x1) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x2, 368, x1) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x2, 376, x1) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x2, 384, x1) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x2, 392, x1) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x2, 400, x1) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x2, 408, x1) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x2, 416, x1) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x2, 424, x1) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x2, 432, x1) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x2, 440, x1) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x2, 448, x1) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x2, 456, x1) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x2, 464, x1) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x2, 472, x1) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x2, 480, x1) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x2, 488, x1) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x2, 496, x1) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x2, 504, x1) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x2, 512, x1) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x2, 520, x1) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x2, 528, x1) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x2, 536, x1) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x2, 544, x1) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x2, 552, x1) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x2, 560, x1) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x2, 568, x1) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x2, 576, x1) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x2, 584, x1) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x2, 592, x1) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x2, 600, x1) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x2, 608, x1) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x2, 616, x1) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x2, 624, x1) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x2, 632, x1) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x2, 640, x1) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x2, 648, x1) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x2, 656, x1) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x2, 664, x1) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x2, 672, x1) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x2, 680, x1) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x2, 688, x1) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x2, 696, x1) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x2, 704, x1) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x2, 712, x1) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x2, 720, x1) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x2, 728, x1) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x2, 736, x1) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x2, 744, x1) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x2, 752, x1) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x2, 760, x1) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x2, 768, x1) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x2, 776, x1) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x2, 784, x1) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x2, 792, x1) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x2, 800, x1) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x2, 808, x1) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x2, 816, x1) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x2, 824, x1) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x2, 832, x1) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x2, 840, x1) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x2, 848, x1) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x2, 856, x1) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x2, 864, x1) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x2, 872, x1) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x2, 880, x1) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x2, 888, x1) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x2, 896, x1) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x2, 904, x1) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x2, 912, x1) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x2, 920, x1) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x2, 928, x1) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x2, 936, x1) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x2, 944, x1) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x2, 952, x1) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x2, 960, x1) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x2, 968, x1) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x2, 976, x1) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x2, 984, x1) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x2, 992, x1) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x2, 1000, x1) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x2, 1008, x1) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x2, 1016, x1) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x2, 1024, x1) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x2, 1032, x1) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x2, 1040, x1) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x2, 1048, x1) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x2, 1056, x1) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x2, 1064, x1) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x2, 1072, x1) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x2, 1080, x1) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x2, 1088, x1) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x2, 1096, x1) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x2, 1104, x1) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x2, 1112, x1) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x2, 1120, x1) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x2, 1128, x1) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x2, 1136, x1) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x2, 1144, x1) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x2, 1152, x1) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x2, 1160, x1) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x2, 1168, x1) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x2, 1176, x1) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x2, 1184, x1) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x2, 1192, x1) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x2, 1200, x1) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x2, 1208, x1) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x2, 1216, x1) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x2, 1224, x1) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x2, 1232, x1) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x2, 1240, x1) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x2, 1248, x1) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x2, 1256, x1) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x2, 1264, x1) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x2, 1272, x1) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x2, 1280, x1) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x2, 1288, x1) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x2, 1296, x1) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x2, 1304, x1) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x2, 1312, x1) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x2, 1320, x1) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x2, 1328, x1) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x2, 1336, x1) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x2, 1344, x1) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x2, 1352, x1) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x2, 1360, x1) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x2, 1368, x1) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x2, 1376, x1) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x2, 1384, x1) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x2, 1392, x1) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x2, 1400, x1) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x2, 1408, x1) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x2, 1416, x1) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x2, 1424, x1) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x2, 1432, x1) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x2, 1440, x1) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x2, 1448, x1) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x2, 1456, x1) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x2, 1464, x1) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x2, 1472, x1) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x2, 1480, x1) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x2, 1488, x1) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x2, 1496, x1) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x2, 1504, x1) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x2, 1512, x1) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x2, 1520, x1) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x2, 1528, x1) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x2, 1536, x1) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x2, 1544, x1) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x2, 1552, x1) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x2, 1560, x1) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x2, 1568, x1) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x2, 1576, x1) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x2, 1584, x1) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x2, 1592, x1) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x2, 1600, x1) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x2, 1608, x1) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x2, 1616, x1) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x2, 1624, x1) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x2, 1632, x1) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x2, 1640, x1) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x2, 1648, x1) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x2, 1656, x1) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x2, 1664, x1) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x2, 1672, x1) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x2, 1680, x1) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x2, 1688, x1) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x2, 1696, x1) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x2, 1704, x1) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x2, 1712, x1) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x2, 1720, x1) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x2, 1728, x1) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x2, 1736, x1) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x2, 1744, x1) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x2, 1752, x1) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x2, 1760, x1) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x2, 1768, x1) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x2, 1776, x1) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x2, 1784, x1) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x2, 1792, x1) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x2, 1800, x1) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x2, 1808, x1) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x2, 1816, x1) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x2, 1824, x1) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x2, 1832, x1) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x2, 1840, x1) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x2, 1848, x1) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x2, 1856, x1) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x2, 1864, x1) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x2, 1872, x1) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x2, 1880, x1) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x2, 1888, x1) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x2, 1896, x1) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x2, 1904, x1) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x2, 1912, x1) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x2, 1920, x1) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x2, 1928, x1) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x2, 1936, x1) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x2, 1944, x1) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x2, 1952, x1) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x2, 1960, x1) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x2, 1968, x1) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packu ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(packu, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x2, 1976, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 248*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/K/src/packuw-01.S b/riscv-test-suite/rv64i_m/K/src/packuw-01.S deleted file mode 100644 index 84bc2ca74..000000000 --- a/riscv-test-suite/rv64i_m/K/src/packuw-01.S +++ /dev/null @@ -1,2711 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 20 05:32:05 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the packuw instruction of the RISC-V extension for the packuw covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",packuw) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKs.*);def TEST_CASE_1=True;",packuw) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKn.*);def TEST_CASE_1=True;",packuw) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*RV64.*I.*ZKb.*);def TEST_CASE_1=True;",packuw) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 == rs2 != rd, rs1==x9, rs2==x9, rd==x27, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packuw ; op1:x9; op2:x9; dest:x27; op1val:0xffffffffffffffff; op2val:0xffffffffffffffff -TEST_RR_OP(packuw, x27, x9, x9, 0x0000000000000000, 0xffffffffffffffff, 0xffffffffffffffff, x12, 0, x16) - -inst_1: -// rs1 == rs2 == rd, rs1==x25, rs2==x25, rd==x25, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packuw ; op1:x25; op2:x25; dest:x25; op1val:0x5ba2c7dd5ae10604; op2val:0x5ba2c7dd5ae10604 -TEST_RR_OP(packuw, x25, x25, x25, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x5ba2c7dd5ae10604, x12, 8, x16) - -inst_2: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x27, rd==x13, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: packuw ; op1:x10; op2:x27; dest:x13; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(packuw, x13, x10, x27, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x12, 16, x16) - -inst_3: -// rs1 == rd != rs2, rs1==x30, rs2==x3, rd==x30, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: packuw ; op1:x30; op2:x3; dest:x30; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(packuw, x30, x30, x3, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x12, 24, x16) - -inst_4: -// rs2 == rd != rs1, rs1==x27, rs2==x2, rd==x2, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: packuw ; op1:x27; op2:x2; dest:x2; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(packuw, x2, x27, x2, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x12, 32, x16) - -inst_5: -// rs1==x5, rs2==x0, rd==x9, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packuw ; op1:x5; op2:x0; dest:x9; op1val:0xd50bd94a392e6fa3; op2val:0x0 -TEST_RR_OP(packuw, x9, x5, x0, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x0, x12, 40, x16) - -inst_6: -// rs1==x3, rs2==x4, rd==x1, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: packuw ; op1:x3; op2:x4; dest:x1; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(packuw, x1, x3, x4, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x12, 48, x16) - -inst_7: -// rs1==x1, rs2==x18, rd==x10, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: packuw ; op1:x1; op2:x18; dest:x10; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(packuw, x10, x1, x18, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x12, 56, x16) - -inst_8: -// rs1==x24, rs2==x7, rd==x17, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: packuw ; op1:x24; op2:x7; dest:x17; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(packuw, x17, x24, x7, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x12, 64, x16) - -inst_9: -// rs1==x13, rs2==x26, rd==x31, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: packuw ; op1:x13; op2:x26; dest:x31; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(packuw, x31, x13, x26, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x12, 72, x16) - -inst_10: -// rs1==x14, rs2==x1, rd==x7, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: packuw ; op1:x14; op2:x1; dest:x7; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(packuw, x7, x14, x1, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x12, 80, x16) - -inst_11: -// rs1==x31, rs2==x30, rd==x29, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: packuw ; op1:x31; op2:x30; dest:x29; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(packuw, x29, x31, x30, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x12, 88, x16) - -inst_12: -// rs1==x29, rs2==x17, rd==x20, rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: packuw ; op1:x29; op2:x17; dest:x20; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(packuw, x20, x29, x17, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x12, 96, x16) - -inst_13: -// rs1==x11, rs2==x5, rd==x14, rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: packuw ; op1:x11; op2:x5; dest:x14; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(packuw, x14, x11, x5, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x12, 104, x16) - -inst_14: -// rs1==x26, rs2==x8, rd==x5, rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: packuw ; op1:x26; op2:x8; dest:x5; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(packuw, x5, x26, x8, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x12, 112, x16) - -inst_15: -// rs1==x28, rs2==x13, rd==x23, rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: packuw ; op1:x28; op2:x13; dest:x23; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(packuw, x23, x28, x13, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x12, 120, x16) - -inst_16: -// rs1==x15, rs2==x21, rd==x6, rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: packuw ; op1:x15; op2:x21; dest:x6; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(packuw, x6, x15, x21, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x12, 128, x16) - -inst_17: -// rs1==x16, rs2==x10, rd==x8, rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: packuw ; op1:x16; op2:x10; dest:x8; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(packuw, x8, x16, x10, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x12, 136, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_18: -// rs1==x4, rs2==x31, rd==x3, rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: packuw ; op1:x4; op2:x31; dest:x3; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(packuw, x3, x4, x31, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x1, 0, x5) - -inst_19: -// rs1==x2, rs2==x29, rd==x22, rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: packuw ; op1:x2; op2:x29; dest:x22; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(packuw, x22, x2, x29, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x1, 8, x5) - -inst_20: -// rs1==x7, rs2==x15, rd==x16, rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: packuw ; op1:x7; op2:x15; dest:x16; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(packuw, x16, x7, x15, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x1, 16, x5) - -inst_21: -// rs1==x17, rs2==x19, rd==x24, rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: packuw ; op1:x17; op2:x19; dest:x24; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(packuw, x24, x17, x19, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x1, 24, x5) - -inst_22: -// rs1==x21, rs2==x20, rd==x28, rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: packuw ; op1:x21; op2:x20; dest:x28; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(packuw, x28, x21, x20, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x1, 32, x5) - -inst_23: -// rs1==x8, rs2==x11, rd==x19, rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: packuw ; op1:x8; op2:x11; dest:x19; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(packuw, x19, x8, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x1, 40, x5) - -inst_24: -// rs1==x6, rs2==x16, rd==x26, rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: packuw ; op1:x6; op2:x16; dest:x26; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(packuw, x26, x6, x16, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x1, 48, x5) - -inst_25: -// rs1==x22, rs2==x6, rd==x12, rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: packuw ; op1:x22; op2:x6; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(packuw, x12, x22, x6, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x1, 56, x5) - -inst_26: -// rs1==x23, rs2==x22, rd==x11, rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: packuw ; op1:x23; op2:x22; dest:x11; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(packuw, x11, x23, x22, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x1, 64, x5) - -inst_27: -// rs1==x18, rs2==x12, rd==x21, rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: packuw ; op1:x18; op2:x12; dest:x21; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(packuw, x21, x18, x12, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x1, 72, x5) - -inst_28: -// rs1==x0, rs2==x24, rd==x15, rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packuw ; op1:x0; op2:x24; dest:x15; op1val:0x0; op2val:0x60c9f22000000000 -TEST_RR_OP(packuw, x15, x0, x24, 0x0000000000000000, 0x0, 0x60c9f22000000000, x1, 80, x5) - -inst_29: -// rs1==x19, rs2==x23, rd==x4, rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: packuw ; op1:x19; op2:x23; dest:x4; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(packuw, x4, x19, x23, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x1, 88, x5) - -inst_30: -// rs1==x12, rs2==x14, rd==x0, rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packuw ; op1:x12; op2:x14; dest:x0; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packuw, x0, x12, x14, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 96, x5) - -inst_31: -// rs1==x20, rs2==x28, rd==x18, rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: packuw ; op1:x20; op2:x28; dest:x18; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(packuw, x18, x20, x28, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x1, 104, x5) - -inst_32: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x1, 112, x5) - -inst_33: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x1, 120, x5) - -inst_34: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x1, 128, x5) - -inst_35: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x1, 136, x5) - -inst_36: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x1, 144, x5) - -inst_37: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x1, 152, x5) - -inst_38: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x1, 160, x5) - -inst_39: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x1, 168, x5) - -inst_40: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x1, 176, x5) - -inst_41: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x1, 184, x5) - -inst_42: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x1, 192, x5) - -inst_43: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x1, 200, x5) - -inst_44: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x1, 208, x5) - -inst_45: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x1, 216, x5) - -inst_46: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x1, 224, x5) - -inst_47: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x1, 232, x5) - -inst_48: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x1, 240, x5) - -inst_49: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x1, 248, x5) - -inst_50: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x1, 256, x5) - -inst_51: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x1, 264, x5) - -inst_52: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x1, 272, x5) - -inst_53: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x1, 280, x5) - -inst_54: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x1, 288, x5) - -inst_55: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x1, 296, x5) - -inst_56: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x1, 304, x5) - -inst_57: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x1, 312, x5) - -inst_58: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x1, 320, x5) - -inst_59: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x1, 328, x5) - -inst_60: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x1, 336, x5) - -inst_61: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x1, 344, x5) - -inst_62: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x1, 352, x5) - -inst_63: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x1, 360, x5) - -inst_64: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x1, 368, x5) - -inst_65: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x1, 376, x5) - -inst_66: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x1, 384, x5) - -inst_67: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x1, 392, x5) - -inst_68: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x1, 400, x5) - -inst_69: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x1, 408, x5) - -inst_70: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x1, 416, x5) - -inst_71: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x1, 424, x5) - -inst_72: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x1, 432, x5) - -inst_73: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x1, 440, x5) - -inst_74: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x1, 448, x5) - -inst_75: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x1, 456, x5) - -inst_76: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x1, 464, x5) - -inst_77: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x1, 472, x5) - -inst_78: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x1, 480, x5) - -inst_79: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x1, 488, x5) - -inst_80: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x1, 496, x5) - -inst_81: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x1, 504, x5) - -inst_82: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x1, 512, x5) - -inst_83: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x1, 520, x5) - -inst_84: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x1, 528, x5) - -inst_85: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x1, 536, x5) - -inst_86: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x1, 544, x5) - -inst_87: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x1, 552, x5) - -inst_88: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x1, 560, x5) - -inst_89: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x1, 568, x5) - -inst_90: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x1, 576, x5) - -inst_91: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x1, 584, x5) - -inst_92: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x1, 592, x5) - -inst_93: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x1, 600, x5) - -inst_94: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x1, 608, x5) - -inst_95: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x1, 616, x5) - -inst_96: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x1, 624, x5) - -inst_97: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x1, 632, x5) - -inst_98: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x1, 640, x5) - -inst_99: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x1, 648, x5) - -inst_100: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x1, 656, x5) - -inst_101: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x1, 664, x5) - -inst_102: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x1, 672, x5) - -inst_103: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x1, 680, x5) - -inst_104: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x1, 688, x5) - -inst_105: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x1, 696, x5) - -inst_106: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x1, 704, x5) - -inst_107: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x1, 712, x5) - -inst_108: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x1, 720, x5) - -inst_109: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x1, 728, x5) - -inst_110: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x1, 736, x5) - -inst_111: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x1, 744, x5) - -inst_112: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x1, 752, x5) - -inst_113: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x1, 760, x5) - -inst_114: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x1, 768, x5) - -inst_115: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x1, 776, x5) - -inst_116: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x1, 784, x5) - -inst_117: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x1, 792, x5) - -inst_118: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x1, 800, x5) - -inst_119: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x1, 808, x5) - -inst_120: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x1, 816, x5) - -inst_121: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x1, 824, x5) - -inst_122: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x1, 832, x5) - -inst_123: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x1, 840, x5) - -inst_124: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x1, 848, x5) - -inst_125: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x1, 856, x5) - -inst_126: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x1, 864, x5) - -inst_127: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x1, 872, x5) - -inst_128: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x1, 880, x5) - -inst_129: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x1, 888, x5) - -inst_130: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x1, 896, x5) - -inst_131: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x1, 904, x5) - -inst_132: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x1, 912, x5) - -inst_133: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x1, 920, x5) - -inst_134: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x1, 928, x5) - -inst_135: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x1, 936, x5) - -inst_136: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x1, 944, x5) - -inst_137: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x1, 952, x5) - -inst_138: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x1, 960, x5) - -inst_139: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x1, 968, x5) - -inst_140: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x1, 976, x5) - -inst_141: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x1, 984, x5) - -inst_142: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x1, 992, x5) - -inst_143: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x1, 1000, x5) - -inst_144: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x1, 1008, x5) - -inst_145: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x1, 1016, x5) - -inst_146: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x1, 1024, x5) - -inst_147: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x1, 1032, x5) - -inst_148: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x1, 1040, x5) - -inst_149: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x1, 1048, x5) - -inst_150: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x1, 1056, x5) - -inst_151: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x1, 1064, x5) - -inst_152: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x1, 1072, x5) - -inst_153: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x1, 1080, x5) - -inst_154: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x1, 1088, x5) - -inst_155: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x1, 1096, x5) - -inst_156: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x1, 1104, x5) - -inst_157: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x1, 1112, x5) - -inst_158: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x1, 1120, x5) - -inst_159: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x1, 1128, x5) - -inst_160: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x1, 1136, x5) - -inst_161: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x1, 1144, x5) - -inst_162: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x1, 1152, x5) - -inst_163: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x1, 1160, x5) - -inst_164: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x1, 1168, x5) - -inst_165: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x1, 1176, x5) - -inst_166: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x1, 1184, x5) - -inst_167: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x1, 1192, x5) - -inst_168: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x1, 1200, x5) - -inst_169: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x1, 1208, x5) - -inst_170: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x1, 1216, x5) - -inst_171: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x1, 1224, x5) - -inst_172: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x1, 1232, x5) - -inst_173: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x1, 1240, x5) - -inst_174: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x1, 1248, x5) - -inst_175: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x1, 1256, x5) - -inst_176: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x1, 1264, x5) - -inst_177: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x1, 1272, x5) - -inst_178: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x1, 1280, x5) - -inst_179: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x1, 1288, x5) - -inst_180: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x1, 1296, x5) - -inst_181: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x1, 1304, x5) - -inst_182: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x1, 1312, x5) - -inst_183: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x1, 1320, x5) - -inst_184: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x1, 1328, x5) - -inst_185: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x1, 1336, x5) - -inst_186: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x1, 1344, x5) - -inst_187: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x1, 1352, x5) - -inst_188: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x1, 1360, x5) - -inst_189: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x1, 1368, x5) - -inst_190: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x1, 1376, x5) - -inst_191: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x1, 1384, x5) - -inst_192: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x1, 1392, x5) - -inst_193: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x1, 1400, x5) - -inst_194: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x1, 1408, x5) - -inst_195: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x1, 1416, x5) - -inst_196: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x1, 1424, x5) - -inst_197: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x1, 1432, x5) - -inst_198: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x1, 1440, x5) - -inst_199: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x1, 1448, x5) - -inst_200: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x1, 1456, x5) - -inst_201: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x1, 1464, x5) - -inst_202: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x1, 1472, x5) - -inst_203: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x1, 1480, x5) - -inst_204: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x1, 1488, x5) - -inst_205: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x1, 1496, x5) - -inst_206: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x1, 1504, x5) - -inst_207: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x1, 1512, x5) - -inst_208: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x1, 1520, x5) - -inst_209: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x1, 1528, x5) - -inst_210: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x1, 1536, x5) - -inst_211: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x1, 1544, x5) - -inst_212: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x1, 1552, x5) - -inst_213: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x1, 1560, x5) - -inst_214: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x1, 1568, x5) - -inst_215: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x1, 1576, x5) - -inst_216: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x1, 1584, x5) - -inst_217: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x1, 1592, x5) - -inst_218: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x1, 1600, x5) - -inst_219: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x1, 1608, x5) - -inst_220: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x1, 1616, x5) - -inst_221: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x1, 1624, x5) - -inst_222: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x1, 1632, x5) - -inst_223: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x1, 1640, x5) - -inst_224: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x1, 1648, x5) - -inst_225: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x1, 1656, x5) - -inst_226: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x1, 1664, x5) - -inst_227: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x1, 1672, x5) - -inst_228: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x1, 1680, x5) - -inst_229: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x1, 1688, x5) - -inst_230: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x1, 1696, x5) - -inst_231: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x1, 1704, x5) - -inst_232: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x1, 1712, x5) - -inst_233: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x1, 1720, x5) - -inst_234: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x1, 1728, x5) - -inst_235: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x1, 1736, x5) - -inst_236: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x1, 1744, x5) - -inst_237: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x1, 1752, x5) - -inst_238: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x1, 1760, x5) - -inst_239: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x1, 1768, x5) - -inst_240: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x1, 1776, x5) - -inst_241: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x1, 1784, x5) - -inst_242: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x1, 1792, x5) - -inst_243: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x1, 1800, x5) - -inst_244: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x1, 1808, x5) - -inst_245: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x1, 1816, x5) - -inst_246: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x1, 1824, x5) - -inst_247: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x1, 1832, x5) - -inst_248: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x1, 1840, x5) - -inst_249: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x1, 1848, x5) - -inst_250: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x1, 1856, x5) - -inst_251: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x1, 1864, x5) - -inst_252: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x1, 1872, x5) - -inst_253: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x1, 1880, x5) - -inst_254: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x1, 1888, x5) - -inst_255: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x1, 1896, x5) - -inst_256: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x1, 1904, x5) - -inst_257: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x1, 1912, x5) - -inst_258: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x1, 1920, x5) - -inst_259: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x1, 1928, x5) - -inst_260: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x1, 1936, x5) - -inst_261: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x1, 1944, x5) - -inst_262: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x1, 1952, x5) - -inst_263: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x1, 1960, x5) - -inst_264: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x1, 1968, x5) - -inst_265: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x1, 1976, x5) - -inst_266: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x1, 1984, x5) - -inst_267: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x1, 1992, x5) - -inst_268: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x1, 2000, x5) - -inst_269: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x1, 2008, x5) - -inst_270: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x1, 2016, x5) - -inst_271: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x1, 2024, x5) - -inst_272: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x1, 2032, x5) - -inst_273: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x1, 2040, x5) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_274: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x1, 0, x5) - -inst_275: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x1, 8, x5) - -inst_276: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x1, 16, x5) - -inst_277: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x1, 24, x5) - -inst_278: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x1, 32, x5) - -inst_279: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x1, 40, x5) - -inst_280: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x1, 48, x5) - -inst_281: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x1, 56, x5) - -inst_282: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x1, 64, x5) - -inst_283: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x1, 72, x5) - -inst_284: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x1, 80, x5) - -inst_285: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x1, 88, x5) - -inst_286: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x1, 96, x5) - -inst_287: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x1, 104, x5) - -inst_288: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x1, 112, x5) - -inst_289: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x1, 120, x5) - -inst_290: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x1, 128, x5) - -inst_291: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x1, 136, x5) - -inst_292: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x1, 144, x5) - -inst_293: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x1, 152, x5) - -inst_294: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x1, 160, x5) - -inst_295: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x1, 168, x5) - -inst_296: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x1, 176, x5) - -inst_297: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x1, 184, x5) - -inst_298: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x1, 192, x5) - -inst_299: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x1, 200, x5) - -inst_300: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x1, 208, x5) - -inst_301: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x1, 216, x5) - -inst_302: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x1, 224, x5) - -inst_303: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x1, 232, x5) - -inst_304: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x1, 240, x5) - -inst_305: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x1, 248, x5) - -inst_306: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x1, 256, x5) - -inst_307: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x1, 264, x5) - -inst_308: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x1, 272, x5) - -inst_309: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x1, 280, x5) - -inst_310: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x1, 288, x5) - -inst_311: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x1, 296, x5) - -inst_312: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x1, 304, x5) - -inst_313: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x1, 312, x5) - -inst_314: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x1, 320, x5) - -inst_315: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x1, 328, x5) - -inst_316: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x1, 336, x5) - -inst_317: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x1, 344, x5) - -inst_318: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x1, 352, x5) - -inst_319: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x1, 360, x5) - -inst_320: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x1, 368, x5) - -inst_321: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x1, 376, x5) - -inst_322: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x1, 384, x5) - -inst_323: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x1, 392, x5) - -inst_324: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x1, 400, x5) - -inst_325: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x1, 408, x5) - -inst_326: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x1, 416, x5) - -inst_327: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x1, 424, x5) - -inst_328: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x1, 432, x5) - -inst_329: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x1, 440, x5) - -inst_330: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x1, 448, x5) - -inst_331: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x1, 456, x5) - -inst_332: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x1, 464, x5) - -inst_333: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x1, 472, x5) - -inst_334: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x1, 480, x5) - -inst_335: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x1, 488, x5) - -inst_336: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x1, 496, x5) - -inst_337: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x1, 504, x5) - -inst_338: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x1, 512, x5) - -inst_339: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x1, 520, x5) - -inst_340: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x1, 528, x5) - -inst_341: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x1, 536, x5) - -inst_342: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x1, 544, x5) - -inst_343: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x1, 552, x5) - -inst_344: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x1, 560, x5) - -inst_345: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x1, 568, x5) - -inst_346: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x1, 576, x5) - -inst_347: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x1, 584, x5) - -inst_348: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x1, 592, x5) - -inst_349: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x1, 600, x5) - -inst_350: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x1, 608, x5) - -inst_351: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x1, 616, x5) - -inst_352: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x1, 624, x5) - -inst_353: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x1, 632, x5) - -inst_354: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x1, 640, x5) - -inst_355: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x1, 648, x5) - -inst_356: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x1, 656, x5) - -inst_357: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x1, 664, x5) - -inst_358: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x1, 672, x5) - -inst_359: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x1, 680, x5) - -inst_360: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x1, 688, x5) - -inst_361: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x1, 696, x5) - -inst_362: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x1, 704, x5) - -inst_363: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x1, 712, x5) - -inst_364: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x1, 720, x5) - -inst_365: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x1, 728, x5) - -inst_366: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x1, 736, x5) - -inst_367: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x1, 744, x5) - -inst_368: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x1, 752, x5) - -inst_369: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x1, 760, x5) - -inst_370: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x1, 768, x5) - -inst_371: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x1, 776, x5) - -inst_372: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x1, 784, x5) - -inst_373: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x1, 792, x5) - -inst_374: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x1, 800, x5) - -inst_375: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x1, 808, x5) - -inst_376: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x1, 816, x5) - -inst_377: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x1, 824, x5) - -inst_378: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x1, 832, x5) - -inst_379: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x1, 840, x5) - -inst_380: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x1, 848, x5) - -inst_381: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x1, 856, x5) - -inst_382: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x1, 864, x5) - -inst_383: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x1, 872, x5) - -inst_384: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x1, 880, x5) - -inst_385: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x1, 888, x5) - -inst_386: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x1, 896, x5) - -inst_387: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x1, 904, x5) - -inst_388: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x1, 912, x5) - -inst_389: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x1, 920, x5) - -inst_390: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x1, 928, x5) - -inst_391: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x1, 936, x5) - -inst_392: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x1, 944, x5) - -inst_393: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x1, 952, x5) - -inst_394: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x1, 960, x5) - -inst_395: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x1, 968, x5) - -inst_396: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x1, 976, x5) - -inst_397: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x1, 984, x5) - -inst_398: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x1, 992, x5) - -inst_399: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x1, 1000, x5) - -inst_400: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x1, 1008, x5) - -inst_401: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x1, 1016, x5) - -inst_402: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x1, 1024, x5) - -inst_403: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x1, 1032, x5) - -inst_404: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x1, 1040, x5) - -inst_405: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x1, 1048, x5) - -inst_406: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x1, 1056, x5) - -inst_407: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x1, 1064, x5) - -inst_408: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x1, 1072, x5) - -inst_409: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x1, 1080, x5) - -inst_410: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x1, 1088, x5) - -inst_411: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x1, 1096, x5) - -inst_412: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x1, 1104, x5) - -inst_413: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x1, 1112, x5) - -inst_414: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x1, 1120, x5) - -inst_415: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x1, 1128, x5) - -inst_416: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x1, 1136, x5) - -inst_417: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x1, 1144, x5) - -inst_418: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x1, 1152, x5) - -inst_419: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x1, 1160, x5) - -inst_420: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x1, 1168, x5) - -inst_421: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x1, 1176, x5) - -inst_422: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x1, 1184, x5) - -inst_423: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x1, 1192, x5) - -inst_424: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x1, 1200, x5) - -inst_425: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x1, 1208, x5) - -inst_426: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x1, 1216, x5) - -inst_427: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x1, 1224, x5) - -inst_428: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x1, 1232, x5) - -inst_429: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x1, 1240, x5) - -inst_430: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x1, 1248, x5) - -inst_431: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x1, 1256, x5) - -inst_432: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x1, 1264, x5) - -inst_433: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x1, 1272, x5) - -inst_434: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x1, 1280, x5) - -inst_435: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x1, 1288, x5) - -inst_436: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x1, 1296, x5) - -inst_437: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x1, 1304, x5) - -inst_438: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x1, 1312, x5) - -inst_439: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x1, 1320, x5) - -inst_440: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x1, 1328, x5) - -inst_441: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x1, 1336, x5) - -inst_442: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x1, 1344, x5) - -inst_443: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x1, 1352, x5) - -inst_444: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x1, 1360, x5) - -inst_445: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x1, 1368, x5) - -inst_446: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x1, 1376, x5) - -inst_447: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x1, 1384, x5) - -inst_448: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x1, 1392, x5) - -inst_449: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x1, 1400, x5) - -inst_450: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x1, 1408, x5) - -inst_451: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x1, 1416, x5) - -inst_452: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x1, 1424, x5) - -inst_453: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x1, 1432, x5) - -inst_454: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x1, 1440, x5) - -inst_455: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x1, 1448, x5) - -inst_456: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x1, 1456, x5) - -inst_457: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x1, 1464, x5) - -inst_458: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x1, 1472, x5) - -inst_459: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x1, 1480, x5) - -inst_460: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x1, 1488, x5) - -inst_461: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x1, 1496, x5) - -inst_462: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x1, 1504, x5) - -inst_463: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x1, 1512, x5) - -inst_464: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x1, 1520, x5) - -inst_465: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x1, 1528, x5) - -inst_466: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x1, 1536, x5) - -inst_467: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x1, 1544, x5) - -inst_468: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x1, 1552, x5) - -inst_469: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x1, 1560, x5) - -inst_470: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x1, 1568, x5) - -inst_471: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x1, 1576, x5) - -inst_472: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x1, 1584, x5) - -inst_473: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x1, 1592, x5) - -inst_474: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x1, 1600, x5) - -inst_475: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x1, 1608, x5) - -inst_476: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x1, 1616, x5) - -inst_477: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x1, 1624, x5) - -inst_478: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x1, 1632, x5) - -inst_479: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x1, 1640, x5) - -inst_480: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x1, 1648, x5) - -inst_481: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x1, 1656, x5) - -inst_482: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x1, 1664, x5) - -inst_483: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x1, 1672, x5) - -inst_484: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x1, 1680, x5) - -inst_485: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x1, 1688, x5) - -inst_486: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x1, 1696, x5) - -inst_487: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x1, 1704, x5) - -inst_488: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x1, 1712, x5) - -inst_489: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x1, 1720, x5) - -inst_490: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x1, 1728, x5) - -inst_491: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x1, 1736, x5) - -inst_492: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x1, 1744, x5) - -inst_493: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x1, 1752, x5) - -inst_494: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x1, 1760, x5) - -inst_495: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x1, 1768, x5) - -inst_496: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x1, 1776, x5) - -inst_497: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x1, 1784, x5) - -inst_498: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x1, 1792, x5) - -inst_499: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x1, 1800, x5) - -inst_500: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x1, 1808, x5) - -inst_501: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x1, 1816, x5) - -inst_502: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x1, 1824, x5) - -inst_503: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x1, 1832, x5) - -inst_504: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x1, 1840, x5) - -inst_505: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x1, 1848, x5) - -inst_506: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x1, 1856, x5) - -inst_507: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x1, 1864, x5) - -inst_508: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x1, 1872, x5) - -inst_509: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x1, 1880, x5) - -inst_510: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x1, 1888, x5) - -inst_511: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x1, 1896, x5) - -inst_512: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x1, 1904, x5) - -inst_513: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x1, 1912, x5) - -inst_514: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x1, 1920, x5) - -inst_515: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x1, 1928, x5) - -inst_516: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x1, 1936, x5) - -inst_517: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x1, 1944, x5) - -inst_518: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x1, 1952, x5) - -inst_519: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x1, 1960, x5) - -inst_520: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x1, 1968, x5) - -inst_521: -// rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x1, 1976, x5) - -inst_522: -// rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x1, 1984, x5) - -inst_523: -// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x1, 1992, x5) - -inst_524: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: packuw ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(packuw, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x1, 2000, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 18*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 251*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/K/src/xperm.b-01.S b/riscv-test-suite/rv64i_m/K/src/xperm.b-01.S deleted file mode 100644 index 5f1f7e668..000000000 --- a/riscv-test-suite/rv64i_m/K/src/xperm.b-01.S +++ /dev/null @@ -1,2816 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 27 16:46:33 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xperm.b instruction of the RISC-V extension for the xperm.b covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm.b) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x9, rs2==x4, rd==x25, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: xperm.b ; op1:x9; op2:x4; dest:x25; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(xperm.b, x25, x9, x4, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x6, 0, x10) - -inst_1: -// rs1 == rs2 == rd, rs1==x22, rs2==x22, rd==x22, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.b ; op1:x22; op2:x22; dest:x22; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(xperm.b, x22, x22, x22, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x6, 8, x10) - -inst_2: -// rs1 == rd != rs2, rs1==x24, rs2==x9, rd==x24, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: xperm.b ; op1:x24; op2:x9; dest:x24; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(xperm.b, x24, x24, x9, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x6, 16, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x18, rs2==x18, rd==x17, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.b ; op1:x18; op2:x18; dest:x17; op1val:0x1f7d946f17168ab3; op2val:0x1f7d946f17168ab3 -TEST_RR_OP(xperm.b, x17, x18, x18, 0x0000000000000000, 0x1f7d946f17168ab3, 0x1f7d946f17168ab3, x6, 24, x10) - -inst_4: -// rs2 == rd != rs1, rs1==x8, rs2==x28, rd==x28, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: xperm.b ; op1:x8; op2:x28; dest:x28; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(xperm.b, x28, x8, x28, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x6, 32, x10) - -inst_5: -// rs1==x15, rs2==x3, rd==x29, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: xperm.b ; op1:x15; op2:x3; dest:x29; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(xperm.b, x29, x15, x3, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x6, 40, x10) - -inst_6: -// rs1==x14, rs2==x19, rd==x2, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: xperm.b ; op1:x14; op2:x19; dest:x2; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(xperm.b, x2, x14, x19, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x6, 48, x10) - -inst_7: -// rs1==x30, rs2==x27, rd==x26, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: xperm.b ; op1:x30; op2:x27; dest:x26; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(xperm.b, x26, x30, x27, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x6, 56, x10) - -inst_8: -// rs1==x17, rs2==x24, rd==x30, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: xperm.b ; op1:x17; op2:x24; dest:x30; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(xperm.b, x30, x17, x24, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x6, 64, x10) - -inst_9: -// rs1==x27, rs2==x14, rd==x5, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: xperm.b ; op1:x27; op2:x14; dest:x5; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(xperm.b, x5, x27, x14, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x6, 72, x10) - -inst_10: -// rs1==x20, rs2==x0, rd==x9, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: xperm.b ; op1:x20; op2:x0; dest:x9; op1val:0x4113ee60952acffe; op2val:0x0 -TEST_RR_OP(xperm.b, x9, x20, x0, 0x0000000000000000, 0x4113ee60952acffe, 0x0, x6, 80, x10) - -inst_11: -// rs1==x5, rs2==x20, rd==x11, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: xperm.b ; op1:x5; op2:x20; dest:x11; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(xperm.b, x11, x5, x20, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x6, 88, x10) - -inst_12: -// rs1==x0, rs2==x21, rd==x31, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: xperm.b ; op1:x0; op2:x21; dest:x31; op1val:0x0; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(xperm.b, x31, x0, x21, 0x0000000000000000, 0x0, 0xd05668ae0fdb82bc, x6, 96, x10) - -inst_13: -// rs1==x7, rs2==x13, rd==x1, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: xperm.b ; op1:x7; op2:x13; dest:x1; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(xperm.b, x1, x7, x13, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x6, 104, x10) - -inst_14: -// rs1==x29, rs2==x5, rd==x12, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: xperm.b ; op1:x29; op2:x5; dest:x12; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(xperm.b, x12, x29, x5, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x6, 112, x10) - -inst_15: -// rs1==x25, rs2==x26, rd==x21, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: xperm.b ; op1:x25; op2:x26; dest:x21; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(xperm.b, x21, x25, x26, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x6, 120, x5) - -inst_16: -// rs1==x13, rs2==x11, rd==x19, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: xperm.b ; op1:x13; op2:x11; dest:x19; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(xperm.b, x19, x13, x11, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x6, 128, x5) - -inst_17: -// rs1==x19, rs2==x17, rd==x15, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: xperm.b ; op1:x19; op2:x17; dest:x15; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(xperm.b, x15, x19, x17, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x6, 136, x5) - -inst_18: -// rs1==x31, rs2==x1, rd==x18, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: xperm.b ; op1:x31; op2:x1; dest:x18; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(xperm.b, x18, x31, x1, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x6, 144, x5) - -inst_19: -// rs1==x12, rs2==x31, rd==x4, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: xperm.b ; op1:x12; op2:x31; dest:x4; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(xperm.b, x4, x12, x31, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x6, 152, x5) - -inst_20: -// rs1==x2, rs2==x29, rd==x7, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: xperm.b ; op1:x2; op2:x29; dest:x7; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(xperm.b, x7, x2, x29, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x6, 160, x5) - -inst_21: -// rs1==x4, rs2==x25, rd==x16, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: xperm.b ; op1:x4; op2:x25; dest:x16; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(xperm.b, x16, x4, x25, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x6, 168, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_22: -// rs1==x11, rs2==x23, rd==x27, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: xperm.b ; op1:x11; op2:x23; dest:x27; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(xperm.b, x27, x11, x23, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x4, 0, x5) - -inst_23: -// rs1==x10, rs2==x30, rd==x3, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: xperm.b ; op1:x10; op2:x30; dest:x3; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(xperm.b, x3, x10, x30, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x4, 8, x5) - -inst_24: -// rs1==x3, rs2==x6, rd==x8, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: xperm.b ; op1:x3; op2:x6; dest:x8; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(xperm.b, x8, x3, x6, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x4, 16, x5) - -inst_25: -// rs1==x26, rs2==x2, rd==x13, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: xperm.b ; op1:x26; op2:x2; dest:x13; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(xperm.b, x13, x26, x2, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x4, 24, x5) - -inst_26: -// rs1==x1, rs2==x16, rd==x20, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: xperm.b ; op1:x1; op2:x16; dest:x20; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(xperm.b, x20, x1, x16, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x4, 32, x5) - -inst_27: -// rs1==x23, rs2==x8, rd==x6, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: xperm.b ; op1:x23; op2:x8; dest:x6; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(xperm.b, x6, x23, x8, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x4, 40, x5) - -inst_28: -// rs1==x6, rs2==x7, rd==x10, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: xperm.b ; op1:x6; op2:x7; dest:x10; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(xperm.b, x10, x6, x7, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x4, 48, x5) - -inst_29: -// rs1==x16, rs2==x12, rd==x0, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xperm.b ; op1:x16; op2:x12; dest:x0; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xperm.b, x0, x16, x12, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 56, x5) - -inst_30: -// rs1==x28, rs2==x15, rd==x14, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: xperm.b ; op1:x28; op2:x15; dest:x14; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(xperm.b, x14, x28, x15, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x4, 64, x5) - -inst_31: -// rs1==x21, rs2==x10, rd==x23, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: xperm.b ; op1:x21; op2:x10; dest:x23; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(xperm.b, x23, x21, x10, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x4, 72, x5) - -inst_32: -// rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x4, 80, x5) - -inst_33: -// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x4, 88, x5) - -inst_34: -// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x4, 96, x5) - -inst_35: -// rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x4, 104, x5) - -inst_36: -// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x4, 112, x5) - -inst_37: -// rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x4, 120, x5) - -inst_38: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x4, 128, x5) - -inst_39: -// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x4, 136, x5) - -inst_40: -// rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x4, 144, x5) - -inst_41: -// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x4, 152, x5) - -inst_42: -// rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x4, 160, x5) - -inst_43: -// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x4, 168, x5) - -inst_44: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x4, 176, x5) - -inst_45: -// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x4, 184, x5) - -inst_46: -// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x4, 192, x5) - -inst_47: -// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x4, 200, x5) - -inst_48: -// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x4, 208, x5) - -inst_49: -// rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x4, 216, x5) - -inst_50: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x4, 224, x5) - -inst_51: -// rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x4, 232, x5) - -inst_52: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x4, 240, x5) - -inst_53: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x4, 248, x5) - -inst_54: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x4, 256, x5) - -inst_55: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x4, 264, x5) - -inst_56: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x4, 272, x5) - -inst_57: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x4, 280, x5) - -inst_58: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x4, 288, x5) - -inst_59: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x4, 296, x5) - -inst_60: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x4, 304, x5) - -inst_61: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x4, 312, x5) - -inst_62: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x4, 320, x5) - -inst_63: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x4, 328, x5) - -inst_64: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x4, 336, x5) - -inst_65: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x4, 344, x5) - -inst_66: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x4, 352, x5) - -inst_67: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x4, 360, x5) - -inst_68: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x4, 368, x5) - -inst_69: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x4, 376, x5) - -inst_70: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x4, 384, x5) - -inst_71: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x4, 392, x5) - -inst_72: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x4, 400, x5) - -inst_73: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x4, 408, x5) - -inst_74: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x4, 416, x5) - -inst_75: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x4, 424, x5) - -inst_76: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x4, 432, x5) - -inst_77: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x4, 440, x5) - -inst_78: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x4, 448, x5) - -inst_79: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x4, 456, x5) - -inst_80: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x4, 464, x5) - -inst_81: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x4, 472, x5) - -inst_82: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x4, 480, x5) - -inst_83: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x4, 488, x5) - -inst_84: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x4, 496, x5) - -inst_85: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x4, 504, x5) - -inst_86: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x4, 512, x5) - -inst_87: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x4, 520, x5) - -inst_88: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x4, 528, x5) - -inst_89: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x4, 536, x5) - -inst_90: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x4, 544, x5) - -inst_91: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x4, 552, x5) - -inst_92: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x4, 560, x5) - -inst_93: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x4, 568, x5) - -inst_94: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x4, 576, x5) - -inst_95: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x4, 584, x5) - -inst_96: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x4, 592, x5) - -inst_97: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x4, 600, x5) - -inst_98: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x4, 608, x5) - -inst_99: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x4, 616, x5) - -inst_100: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x4, 624, x5) - -inst_101: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x4, 632, x5) - -inst_102: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x4, 640, x5) - -inst_103: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x4, 648, x5) - -inst_104: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x4, 656, x5) - -inst_105: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x4, 664, x5) - -inst_106: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x4, 672, x5) - -inst_107: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x4, 680, x5) - -inst_108: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x4, 688, x5) - -inst_109: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x4, 696, x5) - -inst_110: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x4, 704, x5) - -inst_111: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x4, 712, x5) - -inst_112: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x4, 720, x5) - -inst_113: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x4, 728, x5) - -inst_114: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x4, 736, x5) - -inst_115: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x4, 744, x5) - -inst_116: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x4, 752, x5) - -inst_117: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x4, 760, x5) - -inst_118: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x4, 768, x5) - -inst_119: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x4, 776, x5) - -inst_120: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x4, 784, x5) - -inst_121: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x4, 792, x5) - -inst_122: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x4, 800, x5) - -inst_123: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x4, 808, x5) - -inst_124: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x4, 816, x5) - -inst_125: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x4, 824, x5) - -inst_126: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x4, 832, x5) - -inst_127: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x4, 840, x5) - -inst_128: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x4, 848, x5) - -inst_129: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x4, 856, x5) - -inst_130: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x4, 864, x5) - -inst_131: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x4, 872, x5) - -inst_132: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x4, 880, x5) - -inst_133: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x4, 888, x5) - -inst_134: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x4, 896, x5) - -inst_135: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x4, 904, x5) - -inst_136: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x4, 912, x5) - -inst_137: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x4, 920, x5) - -inst_138: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x4, 928, x5) - -inst_139: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x4, 936, x5) - -inst_140: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x4, 944, x5) - -inst_141: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x4, 952, x5) - -inst_142: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x4, 960, x5) - -inst_143: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x4, 968, x5) - -inst_144: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x4, 976, x5) - -inst_145: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x4, 984, x5) - -inst_146: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x4, 992, x5) - -inst_147: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x4, 1000, x5) - -inst_148: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x4, 1008, x5) - -inst_149: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x4, 1016, x5) - -inst_150: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x4, 1024, x5) - -inst_151: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x4, 1032, x5) - -inst_152: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x4, 1040, x5) - -inst_153: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x4, 1048, x5) - -inst_154: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x4, 1056, x5) - -inst_155: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x4, 1064, x5) - -inst_156: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x4, 1072, x5) - -inst_157: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x4, 1080, x5) - -inst_158: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x4, 1088, x5) - -inst_159: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x4, 1096, x5) - -inst_160: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x4, 1104, x5) - -inst_161: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x4, 1112, x5) - -inst_162: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x4, 1120, x5) - -inst_163: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x4, 1128, x5) - -inst_164: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x4, 1136, x5) - -inst_165: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x4, 1144, x5) - -inst_166: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x4, 1152, x5) - -inst_167: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x4, 1160, x5) - -inst_168: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x4, 1168, x5) - -inst_169: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x4, 1176, x5) - -inst_170: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x4, 1184, x5) - -inst_171: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x4, 1192, x5) - -inst_172: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x4, 1200, x5) - -inst_173: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x4, 1208, x5) - -inst_174: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x4, 1216, x5) - -inst_175: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x4, 1224, x5) - -inst_176: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x4, 1232, x5) - -inst_177: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x4, 1240, x5) - -inst_178: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x4, 1248, x5) - -inst_179: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x4, 1256, x5) - -inst_180: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x4, 1264, x5) - -inst_181: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x4, 1272, x5) - -inst_182: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x4, 1280, x5) - -inst_183: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x4, 1288, x5) - -inst_184: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x4, 1296, x5) - -inst_185: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x4, 1304, x5) - -inst_186: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x4, 1312, x5) - -inst_187: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x4, 1320, x5) - -inst_188: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x4, 1328, x5) - -inst_189: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x4, 1336, x5) - -inst_190: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x4, 1344, x5) - -inst_191: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x4, 1352, x5) - -inst_192: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x4, 1360, x5) - -inst_193: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x4, 1368, x5) - -inst_194: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x4, 1376, x5) - -inst_195: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x4, 1384, x5) - -inst_196: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x4, 1392, x5) - -inst_197: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x4, 1400, x5) - -inst_198: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x4, 1408, x5) - -inst_199: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x4, 1416, x5) - -inst_200: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x4, 1424, x5) - -inst_201: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x4, 1432, x5) - -inst_202: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x4, 1440, x5) - -inst_203: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x4, 1448, x5) - -inst_204: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x4, 1456, x5) - -inst_205: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x4, 1464, x5) - -inst_206: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x4, 1472, x5) - -inst_207: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x4, 1480, x5) - -inst_208: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x4, 1488, x5) - -inst_209: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x4, 1496, x5) - -inst_210: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x4, 1504, x5) - -inst_211: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x4, 1512, x5) - -inst_212: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x4, 1520, x5) - -inst_213: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x4, 1528, x5) - -inst_214: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x4, 1536, x5) - -inst_215: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x4, 1544, x5) - -inst_216: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x4, 1552, x5) - -inst_217: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x4, 1560, x5) - -inst_218: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x4, 1568, x5) - -inst_219: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x4, 1576, x5) - -inst_220: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x4, 1584, x5) - -inst_221: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x4, 1592, x5) - -inst_222: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x4, 1600, x5) - -inst_223: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x4, 1608, x5) - -inst_224: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x4, 1616, x5) - -inst_225: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x4, 1624, x5) - -inst_226: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x4, 1632, x5) - -inst_227: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x4, 1640, x5) - -inst_228: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x4, 1648, x5) - -inst_229: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x4, 1656, x5) - -inst_230: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x4, 1664, x5) - -inst_231: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x4, 1672, x5) - -inst_232: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x4, 1680, x5) - -inst_233: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x4, 1688, x5) - -inst_234: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x4, 1696, x5) - -inst_235: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x4, 1704, x5) - -inst_236: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x4, 1712, x5) - -inst_237: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x4, 1720, x5) - -inst_238: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x4, 1728, x5) - -inst_239: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x4, 1736, x5) - -inst_240: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x4, 1744, x5) - -inst_241: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x4, 1752, x5) - -inst_242: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x4, 1760, x5) - -inst_243: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x4, 1768, x5) - -inst_244: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x4, 1776, x5) - -inst_245: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x4, 1784, x5) - -inst_246: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x4, 1792, x5) - -inst_247: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x4, 1800, x5) - -inst_248: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x4, 1808, x5) - -inst_249: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x4, 1816, x5) - -inst_250: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x4, 1824, x5) - -inst_251: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x4, 1832, x5) - -inst_252: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x4, 1840, x5) - -inst_253: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x4, 1848, x5) - -inst_254: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x4, 1856, x5) - -inst_255: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x4, 1864, x5) - -inst_256: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x4, 1872, x5) - -inst_257: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x4, 1880, x5) - -inst_258: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x4, 1888, x5) - -inst_259: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x4, 1896, x5) - -inst_260: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x4, 1904, x5) - -inst_261: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x4, 1912, x5) - -inst_262: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x4, 1920, x5) - -inst_263: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x4, 1928, x5) - -inst_264: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x4, 1936, x5) - -inst_265: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x4, 1944, x5) - -inst_266: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x4, 1952, x5) - -inst_267: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x4, 1960, x5) - -inst_268: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x4, 1968, x5) - -inst_269: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x4, 1976, x5) - -inst_270: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x4, 1984, x5) - -inst_271: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x4, 1992, x5) - -inst_272: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x4, 2000, x5) - -inst_273: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x4, 2008, x5) - -inst_274: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x4, 2016, x5) - -inst_275: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x4, 2024, x5) - -inst_276: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x4, 2032, x5) - -inst_277: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x4, 2040, x5) -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_278: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x4, 0, x5) - -inst_279: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x4, 8, x5) - -inst_280: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x4, 16, x5) - -inst_281: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x4, 24, x5) - -inst_282: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x4, 32, x5) - -inst_283: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x4, 40, x5) - -inst_284: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x4, 48, x5) - -inst_285: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x4, 56, x5) - -inst_286: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x4, 64, x5) - -inst_287: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x4, 72, x5) - -inst_288: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x4, 80, x5) - -inst_289: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x4, 88, x5) - -inst_290: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x4, 96, x5) - -inst_291: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x4, 104, x5) - -inst_292: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x4, 112, x5) - -inst_293: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x4, 120, x5) - -inst_294: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x4, 128, x5) - -inst_295: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x4, 136, x5) - -inst_296: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x4, 144, x5) - -inst_297: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x4, 152, x5) - -inst_298: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x4, 160, x5) - -inst_299: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x4, 168, x5) - -inst_300: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x4, 176, x5) - -inst_301: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x4, 184, x5) - -inst_302: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x4, 192, x5) - -inst_303: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x4, 200, x5) - -inst_304: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x4, 208, x5) - -inst_305: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x4, 216, x5) - -inst_306: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x4, 224, x5) - -inst_307: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x4, 232, x5) - -inst_308: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x4, 240, x5) - -inst_309: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x4, 248, x5) - -inst_310: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x4, 256, x5) - -inst_311: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x4, 264, x5) - -inst_312: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x4, 272, x5) - -inst_313: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x4, 280, x5) - -inst_314: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x4, 288, x5) - -inst_315: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x4, 296, x5) - -inst_316: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x4, 304, x5) - -inst_317: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x4, 312, x5) - -inst_318: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x4, 320, x5) - -inst_319: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x4, 328, x5) - -inst_320: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x4, 336, x5) - -inst_321: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x4, 344, x5) - -inst_322: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x4, 352, x5) - -inst_323: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x4, 360, x5) - -inst_324: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x4, 368, x5) - -inst_325: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x4, 376, x5) - -inst_326: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x4, 384, x5) - -inst_327: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x4, 392, x5) - -inst_328: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x4, 400, x5) - -inst_329: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x4, 408, x5) - -inst_330: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x4, 416, x5) - -inst_331: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x4, 424, x5) - -inst_332: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x4, 432, x5) - -inst_333: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x4, 440, x5) - -inst_334: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x4, 448, x5) - -inst_335: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x4, 456, x5) - -inst_336: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x4, 464, x5) - -inst_337: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x4, 472, x5) - -inst_338: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x4, 480, x5) - -inst_339: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x4, 488, x5) - -inst_340: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x4, 496, x5) - -inst_341: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x4, 504, x5) - -inst_342: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x4, 512, x5) - -inst_343: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x4, 520, x5) - -inst_344: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x4, 528, x5) - -inst_345: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x4, 536, x5) - -inst_346: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x4, 544, x5) - -inst_347: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x4, 552, x5) - -inst_348: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x4, 560, x5) - -inst_349: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x4, 568, x5) - -inst_350: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x4, 576, x5) - -inst_351: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x4, 584, x5) - -inst_352: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x4, 592, x5) - -inst_353: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x4, 600, x5) - -inst_354: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x4, 608, x5) - -inst_355: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x4, 616, x5) - -inst_356: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x4, 624, x5) - -inst_357: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x4, 632, x5) - -inst_358: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x4, 640, x5) - -inst_359: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x4, 648, x5) - -inst_360: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x4, 656, x5) - -inst_361: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x4, 664, x5) - -inst_362: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x4, 672, x5) - -inst_363: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x4, 680, x5) - -inst_364: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x4, 688, x5) - -inst_365: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x4, 696, x5) - -inst_366: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x4, 704, x5) - -inst_367: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x4, 712, x5) - -inst_368: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x4, 720, x5) - -inst_369: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x4, 728, x5) - -inst_370: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x4, 736, x5) - -inst_371: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x4, 744, x5) - -inst_372: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x4, 752, x5) - -inst_373: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x4, 760, x5) - -inst_374: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x4, 768, x5) - -inst_375: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x4, 776, x5) - -inst_376: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x4, 784, x5) - -inst_377: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x4, 792, x5) - -inst_378: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x4, 800, x5) - -inst_379: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x4, 808, x5) - -inst_380: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x4, 816, x5) - -inst_381: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x4, 824, x5) - -inst_382: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x4, 832, x5) - -inst_383: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x4, 840, x5) - -inst_384: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x4, 848, x5) - -inst_385: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x4, 856, x5) - -inst_386: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x4, 864, x5) - -inst_387: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x4, 872, x5) - -inst_388: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x4, 880, x5) - -inst_389: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x4, 888, x5) - -inst_390: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x4, 896, x5) - -inst_391: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x4, 904, x5) - -inst_392: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x4, 912, x5) - -inst_393: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x4, 920, x5) - -inst_394: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x4, 928, x5) - -inst_395: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x4, 936, x5) - -inst_396: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x4, 944, x5) - -inst_397: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x4, 952, x5) - -inst_398: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x4, 960, x5) - -inst_399: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x4, 968, x5) - -inst_400: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x4, 976, x5) - -inst_401: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x4, 984, x5) - -inst_402: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x4, 992, x5) - -inst_403: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x4, 1000, x5) - -inst_404: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x4, 1008, x5) - -inst_405: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x4, 1016, x5) - -inst_406: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x4, 1024, x5) - -inst_407: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x4, 1032, x5) - -inst_408: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x4, 1040, x5) - -inst_409: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x4, 1048, x5) - -inst_410: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x4, 1056, x5) - -inst_411: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x4, 1064, x5) - -inst_412: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x4, 1072, x5) - -inst_413: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x4, 1080, x5) - -inst_414: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x4, 1088, x5) - -inst_415: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x4, 1096, x5) - -inst_416: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x4, 1104, x5) - -inst_417: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x4, 1112, x5) - -inst_418: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x4, 1120, x5) - -inst_419: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x4, 1128, x5) - -inst_420: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x4, 1136, x5) - -inst_421: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x4, 1144, x5) - -inst_422: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x4, 1152, x5) - -inst_423: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x4, 1160, x5) - -inst_424: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x4, 1168, x5) - -inst_425: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x4, 1176, x5) - -inst_426: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x4, 1184, x5) - -inst_427: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x4, 1192, x5) - -inst_428: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x4, 1200, x5) - -inst_429: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x4, 1208, x5) - -inst_430: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x4, 1216, x5) - -inst_431: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x4, 1224, x5) - -inst_432: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x4, 1232, x5) - -inst_433: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x4, 1240, x5) - -inst_434: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x4, 1248, x5) - -inst_435: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x4, 1256, x5) - -inst_436: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x4, 1264, x5) - -inst_437: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x4, 1272, x5) - -inst_438: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x4, 1280, x5) - -inst_439: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x4, 1288, x5) - -inst_440: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x4, 1296, x5) - -inst_441: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x4, 1304, x5) - -inst_442: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x4, 1312, x5) - -inst_443: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x4, 1320, x5) - -inst_444: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x4, 1328, x5) - -inst_445: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x4, 1336, x5) - -inst_446: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x4, 1344, x5) - -inst_447: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x4, 1352, x5) - -inst_448: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x4, 1360, x5) - -inst_449: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x4, 1368, x5) - -inst_450: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x4, 1376, x5) - -inst_451: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x4, 1384, x5) - -inst_452: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x4, 1392, x5) - -inst_453: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x4, 1400, x5) - -inst_454: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x4, 1408, x5) - -inst_455: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x4, 1416, x5) - -inst_456: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x4, 1424, x5) - -inst_457: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x4, 1432, x5) - -inst_458: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x4, 1440, x5) - -inst_459: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x4, 1448, x5) - -inst_460: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x4, 1456, x5) - -inst_461: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x4, 1464, x5) - -inst_462: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x4, 1472, x5) - -inst_463: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x4, 1480, x5) - -inst_464: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x4, 1488, x5) - -inst_465: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x4, 1496, x5) - -inst_466: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x4, 1504, x5) - -inst_467: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x4, 1512, x5) - -inst_468: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x4, 1520, x5) - -inst_469: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x4, 1528, x5) - -inst_470: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x4, 1536, x5) - -inst_471: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x4, 1544, x5) - -inst_472: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x4, 1552, x5) - -inst_473: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x4, 1560, x5) - -inst_474: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x4, 1568, x5) - -inst_475: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x4, 1576, x5) - -inst_476: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x4, 1584, x5) - -inst_477: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x4, 1592, x5) - -inst_478: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x4, 1600, x5) - -inst_479: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x4, 1608, x5) - -inst_480: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x4, 1616, x5) - -inst_481: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x4, 1624, x5) - -inst_482: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x4, 1632, x5) - -inst_483: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x4, 1640, x5) - -inst_484: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x4, 1648, x5) - -inst_485: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x4, 1656, x5) - -inst_486: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x4, 1664, x5) - -inst_487: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x4, 1672, x5) - -inst_488: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x4, 1680, x5) - -inst_489: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x4, 1688, x5) - -inst_490: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x4, 1696, x5) - -inst_491: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x4, 1704, x5) - -inst_492: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x4, 1712, x5) - -inst_493: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x4, 1720, x5) - -inst_494: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x4, 1728, x5) - -inst_495: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x4, 1736, x5) - -inst_496: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x4, 1744, x5) - -inst_497: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x4, 1752, x5) - -inst_498: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x4, 1760, x5) - -inst_499: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x4, 1768, x5) - -inst_500: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x4, 1776, x5) - -inst_501: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x4, 1784, x5) - -inst_502: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x4, 1792, x5) - -inst_503: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x4, 1800, x5) - -inst_504: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x4, 1808, x5) - -inst_505: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x4, 1816, x5) - -inst_506: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x4, 1824, x5) - -inst_507: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x4, 1832, x5) - -inst_508: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x4, 1840, x5) - -inst_509: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x4, 1848, x5) - -inst_510: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x4, 1856, x5) - -inst_511: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x4, 1864, x5) - -inst_512: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x4, 1872, x5) - -inst_513: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x4, 1880, x5) - -inst_514: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x4, 1888, x5) - -inst_515: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x4, 1896, x5) - -inst_516: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x4, 1904, x5) - -inst_517: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x4, 1912, x5) - -inst_518: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x4, 1920, x5) - -inst_519: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x4, 1928, x5) - -inst_520: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x4, 1936, x5) - -inst_521: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x4, 1944, x5) - -inst_522: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x4, 1952, x5) - -inst_523: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x4, 1960, x5) - -inst_524: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x4, 1968, x5) - -inst_525: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x4, 1976, x5) - -inst_526: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x4, 1984, x5) - -inst_527: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x4, 1992, x5) - -inst_528: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x4, 2000, x5) - -inst_529: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x4, 2008, x5) - -inst_530: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x4, 2016, x5) - -inst_531: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x4, 2024, x5) - -inst_532: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x4, 2032, x5) - -inst_533: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x4, 2040, x5) -RVTEST_SIGBASE( x4,signature_x4_2) - -inst_534: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x4, 0, x5) - -inst_535: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x4, 8, x5) - -inst_536: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x4, 16, x5) - -inst_537: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x4, 24, x5) - -inst_538: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x4, 32, x5) - -inst_539: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x4, 40, x5) - -inst_540: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x4, 48, x5) - -inst_541: -// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x4, 56, x5) - -inst_542: -// rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x4, 64, x5) - -inst_543: -// rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x4, 72, x5) - -inst_544: -// rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xperm.b ; op1:x10; op2:x11; dest:x12; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xperm.b, x12, x10, x11, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 80, x5) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x4_2: - .fill 11*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/K/src/xperm.n-01.S b/riscv-test-suite/rv64i_m/K/src/xperm.n-01.S deleted file mode 100644 index 1f02df067..000000000 --- a/riscv-test-suite/rv64i_m/K/src/xperm.n-01.S +++ /dev/null @@ -1,2816 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.4.5 -// timestamp : Thu May 27 16:46:33 2021 GMT -// usage : riscv_ctg \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ -// --base-isa rv64i \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xperm.n instruction of the RISC-V extension for the xperm.n covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV64I") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm.n) - -RVTEST_SIGBASE( x11,signature_x11_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x15, rs2==x16, rd==x29, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 -// opcode: xperm.n ; op1:x15; op2:x16; dest:x29; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 -TEST_RR_OP(xperm.n, x29, x15, x16, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x11, 0, x18) - -inst_1: -// rs1 == rs2 == rd, rs1==x22, rs2==x22, rd==x22, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.n ; op1:x22; op2:x22; dest:x22; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed -TEST_RR_OP(xperm.n, x22, x22, x22, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x11, 8, x18) - -inst_2: -// rs1 == rd != rs2, rs1==x8, rs2==x9, rd==x8, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 -// opcode: xperm.n ; op1:x8; op2:x9; dest:x8; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 -TEST_RR_OP(xperm.n, x8, x8, x9, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x11, 16, x18) - -inst_3: -// rs1 == rs2 != rd, rs1==x29, rs2==x29, rd==x31, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.n ; op1:x29; op2:x29; dest:x31; op1val:0x1f7d946f17168ab3; op2val:0x1f7d946f17168ab3 -TEST_RR_OP(xperm.n, x31, x29, x29, 0x0000000000000000, 0x1f7d946f17168ab3, 0x1f7d946f17168ab3, x11, 24, x18) - -inst_4: -// rs2 == rd != rs1, rs1==x6, rs2==x30, rd==x30, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c -// opcode: xperm.n ; op1:x6; op2:x30; dest:x30; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c -TEST_RR_OP(xperm.n, x30, x6, x30, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x11, 32, x18) - -inst_5: -// rs1==x12, rs2==x21, rd==x0, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: xperm.n ; op1:x12; op2:x21; dest:x0; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(xperm.n, x0, x12, x21, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x11, 40, x18) - -inst_6: -// rs1==x0, rs2==x25, rd==x16, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: xperm.n ; op1:x0; op2:x25; dest:x16; op1val:0x0; op2val:0x1aa1beebefb902cb -TEST_RR_OP(xperm.n, x16, x0, x25, 0x0000000000000000, 0x0, 0x1aa1beebefb902cb, x11, 48, x18) - -inst_7: -// rs1==x7, rs2==x31, rd==x10, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 -// opcode: xperm.n ; op1:x7; op2:x31; dest:x10; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 -TEST_RR_OP(xperm.n, x10, x7, x31, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x11, 56, x18) - -inst_8: -// rs1==x17, rs2==x4, rd==x14, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 -// opcode: xperm.n ; op1:x17; op2:x4; dest:x14; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 -TEST_RR_OP(xperm.n, x14, x17, x4, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x11, 64, x18) - -inst_9: -// rs1==x16, rs2==x27, rd==x17, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb -// opcode: xperm.n ; op1:x16; op2:x27; dest:x17; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb -TEST_RR_OP(xperm.n, x17, x16, x27, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x11, 72, x18) - -inst_10: -// rs1==x30, rs2==x28, rd==x27, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 -// opcode: xperm.n ; op1:x30; op2:x28; dest:x27; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 -TEST_RR_OP(xperm.n, x27, x30, x28, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x11, 80, x18) - -inst_11: -// rs1==x24, rs2==x12, rd==x15, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa -// opcode: xperm.n ; op1:x24; op2:x12; dest:x15; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa -TEST_RR_OP(xperm.n, x15, x24, x12, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x11, 88, x18) - -inst_12: -// rs1==x27, rs2==x7, rd==x6, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc -// opcode: xperm.n ; op1:x27; op2:x7; dest:x6; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc -TEST_RR_OP(xperm.n, x6, x27, x7, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x11, 96, x18) - -inst_13: -// rs1==x21, rs2==x0, rd==x13, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: xperm.n ; op1:x21; op2:x0; dest:x13; op1val:0xd75739f82ac177c6; op2val:0x0 -TEST_RR_OP(xperm.n, x13, x21, x0, 0x0000000000000000, 0xd75739f82ac177c6, 0x0, x11, 104, x18) - -inst_14: -// rs1==x19, rs2==x26, rd==x25, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 -// opcode: xperm.n ; op1:x19; op2:x26; dest:x25; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 -TEST_RR_OP(xperm.n, x25, x19, x26, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x11, 112, x18) - -inst_15: -// rs1==x2, rs2==x19, rd==x3, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 -// opcode: xperm.n ; op1:x2; op2:x19; dest:x3; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 -TEST_RR_OP(xperm.n, x3, x2, x19, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x11, 120, x18) - -inst_16: -// rs1==x4, rs2==x14, rd==x12, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d -// opcode: xperm.n ; op1:x4; op2:x14; dest:x12; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d -TEST_RR_OP(xperm.n, x12, x4, x14, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x11, 128, x18) - -inst_17: -// rs1==x5, rs2==x24, rd==x21, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb -// opcode: xperm.n ; op1:x5; op2:x24; dest:x21; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb -TEST_RR_OP(xperm.n, x21, x5, x24, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x11, 136, x18) - -inst_18: -// rs1==x26, rs2==x2, rd==x5, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 -// opcode: xperm.n ; op1:x26; op2:x2; dest:x5; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 -TEST_RR_OP(xperm.n, x5, x26, x2, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x11, 144, x18) - -inst_19: -// rs1==x9, rs2==x3, rd==x2, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c -// opcode: xperm.n ; op1:x9; op2:x3; dest:x2; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c -TEST_RR_OP(xperm.n, x2, x9, x3, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x11, 152, x18) - -inst_20: -// rs1==x13, rs2==x1, rd==x7, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 -// opcode: xperm.n ; op1:x13; op2:x1; dest:x7; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 -TEST_RR_OP(xperm.n, x7, x13, x1, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x11, 160, x18) - -inst_21: -// rs1==x3, rs2==x10, rd==x20, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 -// opcode: xperm.n ; op1:x3; op2:x10; dest:x20; op1val:0x5ba2c7dd5ae10604; op2val:0x0 -TEST_RR_OP(xperm.n, x20, x3, x10, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x11, 168, x7) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_22: -// rs1==x18, rs2==x8, rd==x28, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 -// opcode: xperm.n ; op1:x18; op2:x8; dest:x28; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 -TEST_RR_OP(xperm.n, x28, x18, x8, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x2, 0, x7) - -inst_23: -// rs1==x1, rs2==x5, rd==x18, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 -// opcode: xperm.n ; op1:x1; op2:x5; dest:x18; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 -TEST_RR_OP(xperm.n, x18, x1, x5, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x2, 8, x7) - -inst_24: -// rs1==x25, rs2==x20, rd==x11, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F -// opcode: xperm.n ; op1:x25; op2:x20; dest:x11; op1val:0x485246bf2502307f; op2val:0xa000000000000000 -TEST_RR_OP(xperm.n, x11, x25, x20, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x2, 16, x7) - -inst_25: -// rs1==x20, rs2==x13, rd==x19, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 -// opcode: xperm.n ; op1:x20; op2:x13; dest:x19; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 -TEST_RR_OP(xperm.n, x19, x20, x13, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x2, 24, x7) - -inst_26: -// rs1==x10, rs2==x11, rd==x24, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x24; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 -TEST_RR_OP(xperm.n, x24, x10, x11, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x2, 32, x7) - -inst_27: -// rs1==x31, rs2==x23, rd==x26, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A -// opcode: xperm.n ; op1:x31; op2:x23; dest:x26; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 -TEST_RR_OP(xperm.n, x26, x31, x23, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x2, 40, x7) - -inst_28: -// rs1==x23, rs2==x18, rd==x1, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 -// opcode: xperm.n ; op1:x23; op2:x18; dest:x1; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 -TEST_RR_OP(xperm.n, x1, x23, x18, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x2, 48, x7) - -inst_29: -// rs1==x28, rs2==x17, rd==x4, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 -// opcode: xperm.n ; op1:x28; op2:x17; dest:x4; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 -TEST_RR_OP(xperm.n, x4, x28, x17, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x2, 56, x7) - -inst_30: -// rs1==x14, rs2==x15, rd==x23, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A -// opcode: xperm.n ; op1:x14; op2:x15; dest:x23; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 -TEST_RR_OP(xperm.n, x23, x14, x15, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x2, 64, x7) - -inst_31: -// rs1==x11, rs2==x6, rd==x9, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 -// opcode: xperm.n ; op1:x11; op2:x6; dest:x9; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 -TEST_RR_OP(xperm.n, x9, x11, x6, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x2, 72, x7) - -inst_32: -// rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x2, 80, x7) - -inst_33: -// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x2, 88, x7) - -inst_34: -// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x2, 96, x7) - -inst_35: -// rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x2, 104, x7) - -inst_36: -// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x2, 112, x7) - -inst_37: -// rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x2, 120, x7) - -inst_38: -// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x2, 128, x7) - -inst_39: -// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x2, 136, x7) - -inst_40: -// rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x2, 144, x7) - -inst_41: -// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x2, 152, x7) - -inst_42: -// rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x2, 160, x7) - -inst_43: -// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x2, 168, x7) - -inst_44: -// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x2, 176, x7) - -inst_45: -// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x2, 184, x7) - -inst_46: -// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x2, 192, x7) - -inst_47: -// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x2, 200, x7) - -inst_48: -// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x2, 208, x7) - -inst_49: -// rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x2, 216, x7) - -inst_50: -// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x2, 224, x7) - -inst_51: -// rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x2, 232, x7) - -inst_52: -// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x2, 240, x7) - -inst_53: -// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x2, 248, x7) - -inst_54: -// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x2, 256, x7) - -inst_55: -// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x2, 264, x7) - -inst_56: -// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x2, 272, x7) - -inst_57: -// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x2, 280, x7) - -inst_58: -// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x2, 288, x7) - -inst_59: -// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x2, 296, x7) - -inst_60: -// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x2, 304, x7) - -inst_61: -// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x2, 312, x7) - -inst_62: -// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x2, 320, x7) - -inst_63: -// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x2, 328, x7) - -inst_64: -// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x2, 336, x7) - -inst_65: -// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x2, 344, x7) - -inst_66: -// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x2, 352, x7) - -inst_67: -// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x2, 360, x7) - -inst_68: -// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x2, 368, x7) - -inst_69: -// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x2, 376, x7) - -inst_70: -// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x2, 384, x7) - -inst_71: -// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x2, 392, x7) - -inst_72: -// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x2, 400, x7) - -inst_73: -// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x2, 408, x7) - -inst_74: -// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x2, 416, x7) - -inst_75: -// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x2, 424, x7) - -inst_76: -// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x2, 432, x7) - -inst_77: -// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x2, 440, x7) - -inst_78: -// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x2, 448, x7) - -inst_79: -// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x2, 456, x7) - -inst_80: -// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x2, 464, x7) - -inst_81: -// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x2, 472, x7) - -inst_82: -// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x2, 480, x7) - -inst_83: -// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x2, 488, x7) - -inst_84: -// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x2, 496, x7) - -inst_85: -// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x2, 504, x7) - -inst_86: -// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x2, 512, x7) - -inst_87: -// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x2, 520, x7) - -inst_88: -// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x2, 528, x7) - -inst_89: -// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x2, 536, x7) - -inst_90: -// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x2, 544, x7) - -inst_91: -// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x2, 552, x7) - -inst_92: -// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x2, 560, x7) - -inst_93: -// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x2, 568, x7) - -inst_94: -// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x2, 576, x7) - -inst_95: -// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x2, 584, x7) - -inst_96: -// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x2, 592, x7) - -inst_97: -// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x2, 600, x7) - -inst_98: -// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x2, 608, x7) - -inst_99: -// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x2, 616, x7) - -inst_100: -// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x2, 624, x7) - -inst_101: -// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x2, 632, x7) - -inst_102: -// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x2, 640, x7) - -inst_103: -// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x2, 648, x7) - -inst_104: -// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x2, 656, x7) - -inst_105: -// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x2, 664, x7) - -inst_106: -// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x2, 672, x7) - -inst_107: -// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x2, 680, x7) - -inst_108: -// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x2, 688, x7) - -inst_109: -// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x2, 696, x7) - -inst_110: -// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x2, 704, x7) - -inst_111: -// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x2, 712, x7) - -inst_112: -// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x2, 720, x7) - -inst_113: -// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x2, 728, x7) - -inst_114: -// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x2, 736, x7) - -inst_115: -// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x2, 744, x7) - -inst_116: -// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x2, 752, x7) - -inst_117: -// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x2, 760, x7) - -inst_118: -// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x2, 768, x7) - -inst_119: -// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x2, 776, x7) - -inst_120: -// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x2, 784, x7) - -inst_121: -// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x2, 792, x7) - -inst_122: -// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x2, 800, x7) - -inst_123: -// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x2, 808, x7) - -inst_124: -// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x2, 816, x7) - -inst_125: -// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x2, 824, x7) - -inst_126: -// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x2, 832, x7) - -inst_127: -// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x2, 840, x7) - -inst_128: -// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x2, 848, x7) - -inst_129: -// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x2, 856, x7) - -inst_130: -// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x2, 864, x7) - -inst_131: -// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x2, 872, x7) - -inst_132: -// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x2, 880, x7) - -inst_133: -// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x2, 888, x7) - -inst_134: -// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x2, 896, x7) - -inst_135: -// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x2, 904, x7) - -inst_136: -// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x2, 912, x7) - -inst_137: -// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x2, 920, x7) - -inst_138: -// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x2, 928, x7) - -inst_139: -// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x2, 936, x7) - -inst_140: -// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x2, 944, x7) - -inst_141: -// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x2, 952, x7) - -inst_142: -// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x2, 960, x7) - -inst_143: -// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x2, 968, x7) - -inst_144: -// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x2, 976, x7) - -inst_145: -// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x2, 984, x7) - -inst_146: -// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x2, 992, x7) - -inst_147: -// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x2, 1000, x7) - -inst_148: -// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x2, 1008, x7) - -inst_149: -// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x2, 1016, x7) - -inst_150: -// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x2, 1024, x7) - -inst_151: -// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x2, 1032, x7) - -inst_152: -// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x2, 1040, x7) - -inst_153: -// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x2, 1048, x7) - -inst_154: -// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x2, 1056, x7) - -inst_155: -// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x2, 1064, x7) - -inst_156: -// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x2, 1072, x7) - -inst_157: -// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x2, 1080, x7) - -inst_158: -// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x2, 1088, x7) - -inst_159: -// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x2, 1096, x7) - -inst_160: -// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x2, 1104, x7) - -inst_161: -// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x2, 1112, x7) - -inst_162: -// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x2, 1120, x7) - -inst_163: -// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x2, 1128, x7) - -inst_164: -// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x2, 1136, x7) - -inst_165: -// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x2, 1144, x7) - -inst_166: -// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x2, 1152, x7) - -inst_167: -// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x2, 1160, x7) - -inst_168: -// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x2, 1168, x7) - -inst_169: -// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x2, 1176, x7) - -inst_170: -// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x2, 1184, x7) - -inst_171: -// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x2, 1192, x7) - -inst_172: -// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x2, 1200, x7) - -inst_173: -// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x2, 1208, x7) - -inst_174: -// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x2, 1216, x7) - -inst_175: -// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x2, 1224, x7) - -inst_176: -// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x2, 1232, x7) - -inst_177: -// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x2, 1240, x7) - -inst_178: -// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x2, 1248, x7) - -inst_179: -// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x2, 1256, x7) - -inst_180: -// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x2, 1264, x7) - -inst_181: -// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x2, 1272, x7) - -inst_182: -// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x2, 1280, x7) - -inst_183: -// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x2, 1288, x7) - -inst_184: -// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x2, 1296, x7) - -inst_185: -// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x2, 1304, x7) - -inst_186: -// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x2, 1312, x7) - -inst_187: -// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x2, 1320, x7) - -inst_188: -// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x2, 1328, x7) - -inst_189: -// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x2, 1336, x7) - -inst_190: -// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x2, 1344, x7) - -inst_191: -// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x2, 1352, x7) - -inst_192: -// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x2, 1360, x7) - -inst_193: -// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x2, 1368, x7) - -inst_194: -// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x2, 1376, x7) - -inst_195: -// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x2, 1384, x7) - -inst_196: -// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x2, 1392, x7) - -inst_197: -// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x2, 1400, x7) - -inst_198: -// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x2, 1408, x7) - -inst_199: -// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x2, 1416, x7) - -inst_200: -// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x2, 1424, x7) - -inst_201: -// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x2, 1432, x7) - -inst_202: -// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x2, 1440, x7) - -inst_203: -// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x2, 1448, x7) - -inst_204: -// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x2, 1456, x7) - -inst_205: -// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x2, 1464, x7) - -inst_206: -// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x2, 1472, x7) - -inst_207: -// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x2, 1480, x7) - -inst_208: -// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x2, 1488, x7) - -inst_209: -// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x2, 1496, x7) - -inst_210: -// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x2, 1504, x7) - -inst_211: -// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x2, 1512, x7) - -inst_212: -// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x2, 1520, x7) - -inst_213: -// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x2, 1528, x7) - -inst_214: -// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x2, 1536, x7) - -inst_215: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x2, 1544, x7) - -inst_216: -// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x2, 1552, x7) - -inst_217: -// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x2, 1560, x7) - -inst_218: -// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x2, 1568, x7) - -inst_219: -// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x2, 1576, x7) - -inst_220: -// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x2, 1584, x7) - -inst_221: -// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x2, 1592, x7) - -inst_222: -// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x2, 1600, x7) - -inst_223: -// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x2, 1608, x7) - -inst_224: -// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x2, 1616, x7) - -inst_225: -// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x2, 1624, x7) - -inst_226: -// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x2, 1632, x7) - -inst_227: -// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x2, 1640, x7) - -inst_228: -// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x2, 1648, x7) - -inst_229: -// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x2, 1656, x7) - -inst_230: -// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x2, 1664, x7) - -inst_231: -// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x2, 1672, x7) - -inst_232: -// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x2, 1680, x7) - -inst_233: -// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x2, 1688, x7) - -inst_234: -// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x2, 1696, x7) - -inst_235: -// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x2, 1704, x7) - -inst_236: -// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x2, 1712, x7) - -inst_237: -// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x2, 1720, x7) - -inst_238: -// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x2, 1728, x7) - -inst_239: -// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x2, 1736, x7) - -inst_240: -// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x2, 1744, x7) - -inst_241: -// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x2, 1752, x7) - -inst_242: -// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x2, 1760, x7) - -inst_243: -// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x2, 1768, x7) - -inst_244: -// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x2, 1776, x7) - -inst_245: -// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x2, 1784, x7) - -inst_246: -// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x2, 1792, x7) - -inst_247: -// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x2, 1800, x7) - -inst_248: -// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x2, 1808, x7) - -inst_249: -// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x2, 1816, x7) - -inst_250: -// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x2, 1824, x7) - -inst_251: -// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x2, 1832, x7) - -inst_252: -// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x2, 1840, x7) - -inst_253: -// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x2, 1848, x7) - -inst_254: -// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x2, 1856, x7) - -inst_255: -// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x2, 1864, x7) - -inst_256: -// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x2, 1872, x7) - -inst_257: -// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x2, 1880, x7) - -inst_258: -// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x2, 1888, x7) - -inst_259: -// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x2, 1896, x7) - -inst_260: -// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x2, 1904, x7) - -inst_261: -// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x2, 1912, x7) - -inst_262: -// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x2, 1920, x7) - -inst_263: -// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x2, 1928, x7) - -inst_264: -// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x2, 1936, x7) - -inst_265: -// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x2, 1944, x7) - -inst_266: -// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x2, 1952, x7) - -inst_267: -// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x2, 1960, x7) - -inst_268: -// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x2, 1968, x7) - -inst_269: -// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x2, 1976, x7) - -inst_270: -// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x2, 1984, x7) - -inst_271: -// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x2, 1992, x7) - -inst_272: -// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x2, 2000, x7) - -inst_273: -// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x2, 2008, x7) - -inst_274: -// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x2, 2016, x7) - -inst_275: -// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x2, 2024, x7) - -inst_276: -// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x2, 2032, x7) - -inst_277: -// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x2, 2040, x7) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_278: -// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x2, 0, x7) - -inst_279: -// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x2, 8, x7) - -inst_280: -// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x2, 16, x7) - -inst_281: -// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x2, 24, x7) - -inst_282: -// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x2, 32, x7) - -inst_283: -// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x2, 40, x7) - -inst_284: -// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x2, 48, x7) - -inst_285: -// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x2, 56, x7) - -inst_286: -// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x2, 64, x7) - -inst_287: -// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x2, 72, x7) - -inst_288: -// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x2, 80, x7) - -inst_289: -// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x2, 88, x7) - -inst_290: -// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x2, 96, x7) - -inst_291: -// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x2, 104, x7) - -inst_292: -// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x2, 112, x7) - -inst_293: -// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x2, 120, x7) - -inst_294: -// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x2, 128, x7) - -inst_295: -// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x2, 136, x7) - -inst_296: -// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x2, 144, x7) - -inst_297: -// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x2, 152, x7) - -inst_298: -// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x2, 160, x7) - -inst_299: -// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x2, 168, x7) - -inst_300: -// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x2, 176, x7) - -inst_301: -// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x2, 184, x7) - -inst_302: -// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x2, 192, x7) - -inst_303: -// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x2, 200, x7) - -inst_304: -// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x2, 208, x7) - -inst_305: -// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x2, 216, x7) - -inst_306: -// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x2, 224, x7) - -inst_307: -// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x2, 232, x7) - -inst_308: -// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x2, 240, x7) - -inst_309: -// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x2, 248, x7) - -inst_310: -// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x2, 256, x7) - -inst_311: -// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x2, 264, x7) - -inst_312: -// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x2, 272, x7) - -inst_313: -// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x2, 280, x7) - -inst_314: -// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x2, 288, x7) - -inst_315: -// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x2, 296, x7) - -inst_316: -// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x2, 304, x7) - -inst_317: -// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x2, 312, x7) - -inst_318: -// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x2, 320, x7) - -inst_319: -// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x2, 328, x7) - -inst_320: -// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x2, 336, x7) - -inst_321: -// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x2, 344, x7) - -inst_322: -// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x2, 352, x7) - -inst_323: -// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x2, 360, x7) - -inst_324: -// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x2, 368, x7) - -inst_325: -// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x2, 376, x7) - -inst_326: -// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x2, 384, x7) - -inst_327: -// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x2, 392, x7) - -inst_328: -// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x2, 400, x7) - -inst_329: -// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x2, 408, x7) - -inst_330: -// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x2, 416, x7) - -inst_331: -// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x2, 424, x7) - -inst_332: -// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x2, 432, x7) - -inst_333: -// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x2, 440, x7) - -inst_334: -// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x2, 448, x7) - -inst_335: -// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x2, 456, x7) - -inst_336: -// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x2, 464, x7) - -inst_337: -// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x2, 472, x7) - -inst_338: -// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x2, 480, x7) - -inst_339: -// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x2, 488, x7) - -inst_340: -// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x2, 496, x7) - -inst_341: -// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x2, 504, x7) - -inst_342: -// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x2, 512, x7) - -inst_343: -// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x2, 520, x7) - -inst_344: -// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x2, 528, x7) - -inst_345: -// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x2, 536, x7) - -inst_346: -// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x2, 544, x7) - -inst_347: -// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x2, 552, x7) - -inst_348: -// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x2, 560, x7) - -inst_349: -// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x2, 568, x7) - -inst_350: -// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x2, 576, x7) - -inst_351: -// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x2, 584, x7) - -inst_352: -// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x2, 592, x7) - -inst_353: -// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x2, 600, x7) - -inst_354: -// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x2, 608, x7) - -inst_355: -// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x2, 616, x7) - -inst_356: -// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x2, 624, x7) - -inst_357: -// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x2, 632, x7) - -inst_358: -// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x2, 640, x7) - -inst_359: -// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x2, 648, x7) - -inst_360: -// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x2, 656, x7) - -inst_361: -// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x2, 664, x7) - -inst_362: -// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x2, 672, x7) - -inst_363: -// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x2, 680, x7) - -inst_364: -// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x2, 688, x7) - -inst_365: -// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x2, 696, x7) - -inst_366: -// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x2, 704, x7) - -inst_367: -// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x2, 712, x7) - -inst_368: -// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x2, 720, x7) - -inst_369: -// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x2, 728, x7) - -inst_370: -// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x2, 736, x7) - -inst_371: -// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x2, 744, x7) - -inst_372: -// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x2, 752, x7) - -inst_373: -// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x2, 760, x7) - -inst_374: -// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x2, 768, x7) - -inst_375: -// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x2, 776, x7) - -inst_376: -// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x2, 784, x7) - -inst_377: -// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x2, 792, x7) - -inst_378: -// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x2, 800, x7) - -inst_379: -// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x2, 808, x7) - -inst_380: -// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x2, 816, x7) - -inst_381: -// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x2, 824, x7) - -inst_382: -// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x2, 832, x7) - -inst_383: -// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x2, 840, x7) - -inst_384: -// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x2, 848, x7) - -inst_385: -// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x2, 856, x7) - -inst_386: -// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x2, 864, x7) - -inst_387: -// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x2, 872, x7) - -inst_388: -// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x2, 880, x7) - -inst_389: -// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x2, 888, x7) - -inst_390: -// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x2, 896, x7) - -inst_391: -// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x2, 904, x7) - -inst_392: -// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x2, 912, x7) - -inst_393: -// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x2, 920, x7) - -inst_394: -// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x2, 928, x7) - -inst_395: -// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x2, 936, x7) - -inst_396: -// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x2, 944, x7) - -inst_397: -// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x2, 952, x7) - -inst_398: -// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x2, 960, x7) - -inst_399: -// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x2, 968, x7) - -inst_400: -// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x2, 976, x7) - -inst_401: -// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x2, 984, x7) - -inst_402: -// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x2, 992, x7) - -inst_403: -// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x2, 1000, x7) - -inst_404: -// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x2, 1008, x7) - -inst_405: -// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x2, 1016, x7) - -inst_406: -// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x2, 1024, x7) - -inst_407: -// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x2, 1032, x7) - -inst_408: -// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x2, 1040, x7) - -inst_409: -// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x2, 1048, x7) - -inst_410: -// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x2, 1056, x7) - -inst_411: -// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x2, 1064, x7) - -inst_412: -// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x2, 1072, x7) - -inst_413: -// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x2, 1080, x7) - -inst_414: -// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x2, 1088, x7) - -inst_415: -// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x2, 1096, x7) - -inst_416: -// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x2, 1104, x7) - -inst_417: -// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x2, 1112, x7) - -inst_418: -// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x2, 1120, x7) - -inst_419: -// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x2, 1128, x7) - -inst_420: -// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x2, 1136, x7) - -inst_421: -// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x2, 1144, x7) - -inst_422: -// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x2, 1152, x7) - -inst_423: -// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x2, 1160, x7) - -inst_424: -// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x2, 1168, x7) - -inst_425: -// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x2, 1176, x7) - -inst_426: -// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x2, 1184, x7) - -inst_427: -// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x2, 1192, x7) - -inst_428: -// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x2, 1200, x7) - -inst_429: -// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x2, 1208, x7) - -inst_430: -// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x2, 1216, x7) - -inst_431: -// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x2, 1224, x7) - -inst_432: -// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x2, 1232, x7) - -inst_433: -// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x2, 1240, x7) - -inst_434: -// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x2, 1248, x7) - -inst_435: -// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x2, 1256, x7) - -inst_436: -// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x2, 1264, x7) - -inst_437: -// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x2, 1272, x7) - -inst_438: -// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x2, 1280, x7) - -inst_439: -// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x2, 1288, x7) - -inst_440: -// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x2, 1296, x7) - -inst_441: -// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x2, 1304, x7) - -inst_442: -// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x2, 1312, x7) - -inst_443: -// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x2, 1320, x7) - -inst_444: -// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x2, 1328, x7) - -inst_445: -// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x2, 1336, x7) - -inst_446: -// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x2, 1344, x7) - -inst_447: -// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x2, 1352, x7) - -inst_448: -// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x2, 1360, x7) - -inst_449: -// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x2, 1368, x7) - -inst_450: -// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x2, 1376, x7) - -inst_451: -// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x2, 1384, x7) - -inst_452: -// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x2, 1392, x7) - -inst_453: -// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x2, 1400, x7) - -inst_454: -// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x2, 1408, x7) - -inst_455: -// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x2, 1416, x7) - -inst_456: -// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x2, 1424, x7) - -inst_457: -// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x2, 1432, x7) - -inst_458: -// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x2, 1440, x7) - -inst_459: -// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x2, 1448, x7) - -inst_460: -// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x2, 1456, x7) - -inst_461: -// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x2, 1464, x7) - -inst_462: -// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x2, 1472, x7) - -inst_463: -// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x2, 1480, x7) - -inst_464: -// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x2, 1488, x7) - -inst_465: -// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x2, 1496, x7) - -inst_466: -// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x2, 1504, x7) - -inst_467: -// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x2, 1512, x7) - -inst_468: -// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x2, 1520, x7) - -inst_469: -// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x2, 1528, x7) - -inst_470: -// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x2, 1536, x7) - -inst_471: -// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x2, 1544, x7) - -inst_472: -// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x2, 1552, x7) - -inst_473: -// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x2, 1560, x7) - -inst_474: -// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x2, 1568, x7) - -inst_475: -// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x2, 1576, x7) - -inst_476: -// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x2, 1584, x7) - -inst_477: -// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x2, 1592, x7) - -inst_478: -// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x2, 1600, x7) - -inst_479: -// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x2, 1608, x7) - -inst_480: -// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x2, 1616, x7) - -inst_481: -// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x2, 1624, x7) - -inst_482: -// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x2, 1632, x7) - -inst_483: -// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x2, 1640, x7) - -inst_484: -// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x2, 1648, x7) - -inst_485: -// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x2, 1656, x7) - -inst_486: -// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x2, 1664, x7) - -inst_487: -// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x2, 1672, x7) - -inst_488: -// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x2, 1680, x7) - -inst_489: -// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x2, 1688, x7) - -inst_490: -// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x2, 1696, x7) - -inst_491: -// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x2, 1704, x7) - -inst_492: -// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x2, 1712, x7) - -inst_493: -// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x2, 1720, x7) - -inst_494: -// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x2, 1728, x7) - -inst_495: -// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x2, 1736, x7) - -inst_496: -// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x2, 1744, x7) - -inst_497: -// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x2, 1752, x7) - -inst_498: -// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x2, 1760, x7) - -inst_499: -// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x2, 1768, x7) - -inst_500: -// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x2, 1776, x7) - -inst_501: -// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x2, 1784, x7) - -inst_502: -// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x2, 1792, x7) - -inst_503: -// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x2, 1800, x7) - -inst_504: -// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x2, 1808, x7) - -inst_505: -// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x2, 1816, x7) - -inst_506: -// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x2, 1824, x7) - -inst_507: -// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x2, 1832, x7) - -inst_508: -// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x2, 1840, x7) - -inst_509: -// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x2, 1848, x7) - -inst_510: -// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x2, 1856, x7) - -inst_511: -// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x2, 1864, x7) - -inst_512: -// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x2, 1872, x7) - -inst_513: -// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x2, 1880, x7) - -inst_514: -// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x2, 1888, x7) - -inst_515: -// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x2, 1896, x7) - -inst_516: -// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x2, 1904, x7) - -inst_517: -// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x2, 1912, x7) - -inst_518: -// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x2, 1920, x7) - -inst_519: -// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x2, 1928, x7) - -inst_520: -// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x2, 1936, x7) - -inst_521: -// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x2, 1944, x7) - -inst_522: -// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x2, 1952, x7) - -inst_523: -// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x2, 1960, x7) - -inst_524: -// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x2, 1968, x7) - -inst_525: -// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x2, 1976, x7) - -inst_526: -// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x2, 1984, x7) - -inst_527: -// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x2, 1992, x7) - -inst_528: -// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x2, 2000, x7) - -inst_529: -// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x2, 2008, x7) - -inst_530: -// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x2, 2016, x7) - -inst_531: -// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x2, 2024, x7) - -inst_532: -// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x2, 2032, x7) - -inst_533: -// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x2, 2040, x7) -RVTEST_SIGBASE( x2,signature_x2_2) - -inst_534: -// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x2, 0, x7) - -inst_535: -// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x2, 8, x7) - -inst_536: -// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x2, 16, x7) - -inst_537: -// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x2, 24, x7) - -inst_538: -// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x2, 32, x7) - -inst_539: -// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x2, 40, x7) - -inst_540: -// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x2, 48, x7) - -inst_541: -// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x2, 56, x7) - -inst_542: -// rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x2, 64, x7) - -inst_543: -// rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x2, 72, x7) - -inst_544: -// rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d -// opcode: xperm.n ; op1:x10; op2:x11; dest:x12; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d -TEST_RR_OP(xperm.n, x12, x10, x11, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x2, 80, x7) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x11_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x11_1: - .fill 22*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 256*(XLEN/32),4,0xdeadbeef - - -signature_x2_2: - .fill 11*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/K/src/xperm4-01.S b/riscv-test-suite/rv64i_m/K/src/xperm4-01.S new file mode 100644 index 000000000..eecc0084e --- /dev/null +++ b/riscv-test-suite/rv64i_m/K/src/xperm4-01.S @@ -0,0 +1,2816 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.4.5 +// timestamp : Thu May 27 16:46:33 2021 GMT +// usage : riscv_ctg \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ +// --base-isa rv64i \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xperm4 instruction of the RISC-V extension for the xperm4 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64I") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm4) + +RVTEST_SIGBASE( x11,signature_x11_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x15, rs2==x16, rd==x29, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 +// opcode: xperm4 ; op1:x15; op2:x16; dest:x29; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 +TEST_RR_OP(xperm4, x29, x15, x16, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x11, 0, x18) + +inst_1: +// rs1 == rs2 == rd, rs1==x22, rs2==x22, rd==x22, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 +// opcode: xperm4 ; op1:x22; op2:x22; dest:x22; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed +TEST_RR_OP(xperm4, x22, x22, x22, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x11, 8, x18) + +inst_2: +// rs1 == rd != rs2, rs1==x8, rs2==x9, rd==x8, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 +// opcode: xperm4 ; op1:x8; op2:x9; dest:x8; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 +TEST_RR_OP(xperm4, x8, x8, x9, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x11, 16, x18) + +inst_3: +// rs1 == rs2 != rd, rs1==x29, rs2==x29, rd==x31, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 +// opcode: xperm4 ; op1:x29; op2:x29; dest:x31; op1val:0x1f7d946f17168ab3; op2val:0x1f7d946f17168ab3 +TEST_RR_OP(xperm4, x31, x29, x29, 0x0000000000000000, 0x1f7d946f17168ab3, 0x1f7d946f17168ab3, x11, 24, x18) + +inst_4: +// rs2 == rd != rs1, rs1==x6, rs2==x30, rd==x30, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c +// opcode: xperm4 ; op1:x6; op2:x30; dest:x30; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c +TEST_RR_OP(xperm4, x30, x6, x30, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x11, 32, x18) + +inst_5: +// rs1==x12, rs2==x21, rd==x0, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 +// opcode: xperm4 ; op1:x12; op2:x21; dest:x0; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 +TEST_RR_OP(xperm4, x0, x12, x21, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x11, 40, x18) + +inst_6: +// rs1==x0, rs2==x25, rd==x16, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb +// opcode: xperm4 ; op1:x0; op2:x25; dest:x16; op1val:0x0; op2val:0x1aa1beebefb902cb +TEST_RR_OP(xperm4, x16, x0, x25, 0x0000000000000000, 0x0, 0x1aa1beebefb902cb, x11, 48, x18) + +inst_7: +// rs1==x7, rs2==x31, rd==x10, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 +// opcode: xperm4 ; op1:x7; op2:x31; dest:x10; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 +TEST_RR_OP(xperm4, x10, x7, x31, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x11, 56, x18) + +inst_8: +// rs1==x17, rs2==x4, rd==x14, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 +// opcode: xperm4 ; op1:x17; op2:x4; dest:x14; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 +TEST_RR_OP(xperm4, x14, x17, x4, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x11, 64, x18) + +inst_9: +// rs1==x16, rs2==x27, rd==x17, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb +// opcode: xperm4 ; op1:x16; op2:x27; dest:x17; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb +TEST_RR_OP(xperm4, x17, x16, x27, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x11, 72, x18) + +inst_10: +// rs1==x30, rs2==x28, rd==x27, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 +// opcode: xperm4 ; op1:x30; op2:x28; dest:x27; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 +TEST_RR_OP(xperm4, x27, x30, x28, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x11, 80, x18) + +inst_11: +// rs1==x24, rs2==x12, rd==x15, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa +// opcode: xperm4 ; op1:x24; op2:x12; dest:x15; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa +TEST_RR_OP(xperm4, x15, x24, x12, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x11, 88, x18) + +inst_12: +// rs1==x27, rs2==x7, rd==x6, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc +// opcode: xperm4 ; op1:x27; op2:x7; dest:x6; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc +TEST_RR_OP(xperm4, x6, x27, x7, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x11, 96, x18) + +inst_13: +// rs1==x21, rs2==x0, rd==x13, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d +// opcode: xperm4 ; op1:x21; op2:x0; dest:x13; op1val:0xd75739f82ac177c6; op2val:0x0 +TEST_RR_OP(xperm4, x13, x21, x0, 0x0000000000000000, 0xd75739f82ac177c6, 0x0, x11, 104, x18) + +inst_14: +// rs1==x19, rs2==x26, rd==x25, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 +// opcode: xperm4 ; op1:x19; op2:x26; dest:x25; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 +TEST_RR_OP(xperm4, x25, x19, x26, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x11, 112, x18) + +inst_15: +// rs1==x2, rs2==x19, rd==x3, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 +// opcode: xperm4 ; op1:x2; op2:x19; dest:x3; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 +TEST_RR_OP(xperm4, x3, x2, x19, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x11, 120, x18) + +inst_16: +// rs1==x4, rs2==x14, rd==x12, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d +// opcode: xperm4 ; op1:x4; op2:x14; dest:x12; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d +TEST_RR_OP(xperm4, x12, x4, x14, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x11, 128, x18) + +inst_17: +// rs1==x5, rs2==x24, rd==x21, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb +// opcode: xperm4 ; op1:x5; op2:x24; dest:x21; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb +TEST_RR_OP(xperm4, x21, x5, x24, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x11, 136, x18) + +inst_18: +// rs1==x26, rs2==x2, rd==x5, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 +// opcode: xperm4 ; op1:x26; op2:x2; dest:x5; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 +TEST_RR_OP(xperm4, x5, x26, x2, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x11, 144, x18) + +inst_19: +// rs1==x9, rs2==x3, rd==x2, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c +// opcode: xperm4 ; op1:x9; op2:x3; dest:x2; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c +TEST_RR_OP(xperm4, x2, x9, x3, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x11, 152, x18) + +inst_20: +// rs1==x13, rs2==x1, rd==x7, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 +// opcode: xperm4 ; op1:x13; op2:x1; dest:x7; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 +TEST_RR_OP(xperm4, x7, x13, x1, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x11, 160, x18) + +inst_21: +// rs1==x3, rs2==x10, rd==x20, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 +// opcode: xperm4 ; op1:x3; op2:x10; dest:x20; op1val:0x5ba2c7dd5ae10604; op2val:0x0 +TEST_RR_OP(xperm4, x20, x3, x10, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x11, 168, x7) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_22: +// rs1==x18, rs2==x8, rd==x28, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 +// opcode: xperm4 ; op1:x18; op2:x8; dest:x28; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 +TEST_RR_OP(xperm4, x28, x18, x8, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x2, 0, x7) + +inst_23: +// rs1==x1, rs2==x5, rd==x18, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 +// opcode: xperm4 ; op1:x1; op2:x5; dest:x18; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 +TEST_RR_OP(xperm4, x18, x1, x5, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x2, 8, x7) + +inst_24: +// rs1==x25, rs2==x20, rd==x11, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F +// opcode: xperm4 ; op1:x25; op2:x20; dest:x11; op1val:0x485246bf2502307f; op2val:0xa000000000000000 +TEST_RR_OP(xperm4, x11, x25, x20, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x2, 16, x7) + +inst_25: +// rs1==x20, rs2==x13, rd==x19, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 +// opcode: xperm4 ; op1:x20; op2:x13; dest:x19; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 +TEST_RR_OP(xperm4, x19, x20, x13, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x2, 24, x7) + +inst_26: +// rs1==x10, rs2==x11, rd==x24, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x24; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 +TEST_RR_OP(xperm4, x24, x10, x11, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x2, 32, x7) + +inst_27: +// rs1==x31, rs2==x23, rd==x26, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A +// opcode: xperm4 ; op1:x31; op2:x23; dest:x26; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 +TEST_RR_OP(xperm4, x26, x31, x23, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x2, 40, x7) + +inst_28: +// rs1==x23, rs2==x18, rd==x1, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 +// opcode: xperm4 ; op1:x23; op2:x18; dest:x1; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 +TEST_RR_OP(xperm4, x1, x23, x18, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x2, 48, x7) + +inst_29: +// rs1==x28, rs2==x17, rd==x4, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 +// opcode: xperm4 ; op1:x28; op2:x17; dest:x4; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 +TEST_RR_OP(xperm4, x4, x28, x17, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x2, 56, x7) + +inst_30: +// rs1==x14, rs2==x15, rd==x23, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A +// opcode: xperm4 ; op1:x14; op2:x15; dest:x23; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 +TEST_RR_OP(xperm4, x23, x14, x15, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x2, 64, x7) + +inst_31: +// rs1==x11, rs2==x6, rd==x9, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 +// opcode: xperm4 ; op1:x11; op2:x6; dest:x9; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 +TEST_RR_OP(xperm4, x9, x11, x6, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x2, 72, x7) + +inst_32: +// rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x2, 80, x7) + +inst_33: +// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x2, 88, x7) + +inst_34: +// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x2, 96, x7) + +inst_35: +// rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x2, 104, x7) + +inst_36: +// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x2, 112, x7) + +inst_37: +// rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x2, 120, x7) + +inst_38: +// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x2, 128, x7) + +inst_39: +// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x2, 136, x7) + +inst_40: +// rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x2, 144, x7) + +inst_41: +// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x2, 152, x7) + +inst_42: +// rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x2, 160, x7) + +inst_43: +// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x2, 168, x7) + +inst_44: +// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x2, 176, x7) + +inst_45: +// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x2, 184, x7) + +inst_46: +// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x2, 192, x7) + +inst_47: +// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x2, 200, x7) + +inst_48: +// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x2, 208, x7) + +inst_49: +// rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x2, 216, x7) + +inst_50: +// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x2, 224, x7) + +inst_51: +// rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x2, 232, x7) + +inst_52: +// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x2, 240, x7) + +inst_53: +// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x2, 248, x7) + +inst_54: +// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x2, 256, x7) + +inst_55: +// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x2, 264, x7) + +inst_56: +// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x2, 272, x7) + +inst_57: +// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x2, 280, x7) + +inst_58: +// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x2, 288, x7) + +inst_59: +// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x2, 296, x7) + +inst_60: +// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x2, 304, x7) + +inst_61: +// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x2, 312, x7) + +inst_62: +// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x2, 320, x7) + +inst_63: +// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x2, 328, x7) + +inst_64: +// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x2, 336, x7) + +inst_65: +// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x2, 344, x7) + +inst_66: +// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x2, 352, x7) + +inst_67: +// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x2, 360, x7) + +inst_68: +// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x2, 368, x7) + +inst_69: +// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x2, 376, x7) + +inst_70: +// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x2, 384, x7) + +inst_71: +// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x2, 392, x7) + +inst_72: +// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x2, 400, x7) + +inst_73: +// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x2, 408, x7) + +inst_74: +// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x2, 416, x7) + +inst_75: +// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x2, 424, x7) + +inst_76: +// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x2, 432, x7) + +inst_77: +// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x2, 440, x7) + +inst_78: +// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x2, 448, x7) + +inst_79: +// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x2, 456, x7) + +inst_80: +// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x2, 464, x7) + +inst_81: +// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x2, 472, x7) + +inst_82: +// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x2, 480, x7) + +inst_83: +// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x2, 488, x7) + +inst_84: +// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x2, 496, x7) + +inst_85: +// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x2, 504, x7) + +inst_86: +// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x2, 512, x7) + +inst_87: +// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x2, 520, x7) + +inst_88: +// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x2, 528, x7) + +inst_89: +// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x2, 536, x7) + +inst_90: +// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x2, 544, x7) + +inst_91: +// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x2, 552, x7) + +inst_92: +// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x2, 560, x7) + +inst_93: +// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x2, 568, x7) + +inst_94: +// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x2, 576, x7) + +inst_95: +// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x2, 584, x7) + +inst_96: +// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x2, 592, x7) + +inst_97: +// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x2, 600, x7) + +inst_98: +// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x2, 608, x7) + +inst_99: +// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x2, 616, x7) + +inst_100: +// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x2, 624, x7) + +inst_101: +// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x2, 632, x7) + +inst_102: +// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x2, 640, x7) + +inst_103: +// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x2, 648, x7) + +inst_104: +// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x2, 656, x7) + +inst_105: +// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x2, 664, x7) + +inst_106: +// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x2, 672, x7) + +inst_107: +// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x2, 680, x7) + +inst_108: +// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x2, 688, x7) + +inst_109: +// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x2, 696, x7) + +inst_110: +// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x2, 704, x7) + +inst_111: +// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x2, 712, x7) + +inst_112: +// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x2, 720, x7) + +inst_113: +// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x2, 728, x7) + +inst_114: +// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x2, 736, x7) + +inst_115: +// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x2, 744, x7) + +inst_116: +// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x2, 752, x7) + +inst_117: +// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x2, 760, x7) + +inst_118: +// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x2, 768, x7) + +inst_119: +// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x2, 776, x7) + +inst_120: +// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x2, 784, x7) + +inst_121: +// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x2, 792, x7) + +inst_122: +// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x2, 800, x7) + +inst_123: +// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x2, 808, x7) + +inst_124: +// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x2, 816, x7) + +inst_125: +// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x2, 824, x7) + +inst_126: +// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x2, 832, x7) + +inst_127: +// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x2, 840, x7) + +inst_128: +// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x2, 848, x7) + +inst_129: +// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x2, 856, x7) + +inst_130: +// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x2, 864, x7) + +inst_131: +// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x2, 872, x7) + +inst_132: +// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x2, 880, x7) + +inst_133: +// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x2, 888, x7) + +inst_134: +// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x2, 896, x7) + +inst_135: +// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x2, 904, x7) + +inst_136: +// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x2, 912, x7) + +inst_137: +// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x2, 920, x7) + +inst_138: +// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x2, 928, x7) + +inst_139: +// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x2, 936, x7) + +inst_140: +// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x2, 944, x7) + +inst_141: +// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x2, 952, x7) + +inst_142: +// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x2, 960, x7) + +inst_143: +// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x2, 968, x7) + +inst_144: +// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x2, 976, x7) + +inst_145: +// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x2, 984, x7) + +inst_146: +// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x2, 992, x7) + +inst_147: +// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x2, 1000, x7) + +inst_148: +// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x2, 1008, x7) + +inst_149: +// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x2, 1016, x7) + +inst_150: +// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x2, 1024, x7) + +inst_151: +// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x2, 1032, x7) + +inst_152: +// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x2, 1040, x7) + +inst_153: +// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x2, 1048, x7) + +inst_154: +// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x2, 1056, x7) + +inst_155: +// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x2, 1064, x7) + +inst_156: +// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x2, 1072, x7) + +inst_157: +// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x2, 1080, x7) + +inst_158: +// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x2, 1088, x7) + +inst_159: +// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x2, 1096, x7) + +inst_160: +// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x2, 1104, x7) + +inst_161: +// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x2, 1112, x7) + +inst_162: +// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x2, 1120, x7) + +inst_163: +// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x2, 1128, x7) + +inst_164: +// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x2, 1136, x7) + +inst_165: +// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x2, 1144, x7) + +inst_166: +// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x2, 1152, x7) + +inst_167: +// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x2, 1160, x7) + +inst_168: +// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x2, 1168, x7) + +inst_169: +// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x2, 1176, x7) + +inst_170: +// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x2, 1184, x7) + +inst_171: +// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x2, 1192, x7) + +inst_172: +// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x2, 1200, x7) + +inst_173: +// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x2, 1208, x7) + +inst_174: +// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x2, 1216, x7) + +inst_175: +// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x2, 1224, x7) + +inst_176: +// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x2, 1232, x7) + +inst_177: +// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x2, 1240, x7) + +inst_178: +// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x2, 1248, x7) + +inst_179: +// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x2, 1256, x7) + +inst_180: +// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x2, 1264, x7) + +inst_181: +// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x2, 1272, x7) + +inst_182: +// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x2, 1280, x7) + +inst_183: +// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x2, 1288, x7) + +inst_184: +// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x2, 1296, x7) + +inst_185: +// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x2, 1304, x7) + +inst_186: +// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x2, 1312, x7) + +inst_187: +// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x2, 1320, x7) + +inst_188: +// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x2, 1328, x7) + +inst_189: +// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x2, 1336, x7) + +inst_190: +// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x2, 1344, x7) + +inst_191: +// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x2, 1352, x7) + +inst_192: +// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x2, 1360, x7) + +inst_193: +// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x2, 1368, x7) + +inst_194: +// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x2, 1376, x7) + +inst_195: +// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x2, 1384, x7) + +inst_196: +// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x2, 1392, x7) + +inst_197: +// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x2, 1400, x7) + +inst_198: +// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x2, 1408, x7) + +inst_199: +// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x2, 1416, x7) + +inst_200: +// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x2, 1424, x7) + +inst_201: +// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x2, 1432, x7) + +inst_202: +// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x2, 1440, x7) + +inst_203: +// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x2, 1448, x7) + +inst_204: +// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x2, 1456, x7) + +inst_205: +// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x2, 1464, x7) + +inst_206: +// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x2, 1472, x7) + +inst_207: +// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x2, 1480, x7) + +inst_208: +// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x2, 1488, x7) + +inst_209: +// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x2, 1496, x7) + +inst_210: +// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x2, 1504, x7) + +inst_211: +// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x2, 1512, x7) + +inst_212: +// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x2, 1520, x7) + +inst_213: +// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x2, 1528, x7) + +inst_214: +// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x2, 1536, x7) + +inst_215: +// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x2, 1544, x7) + +inst_216: +// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x2, 1552, x7) + +inst_217: +// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x2, 1560, x7) + +inst_218: +// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x2, 1568, x7) + +inst_219: +// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x2, 1576, x7) + +inst_220: +// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x2, 1584, x7) + +inst_221: +// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x2, 1592, x7) + +inst_222: +// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x2, 1600, x7) + +inst_223: +// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x2, 1608, x7) + +inst_224: +// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x2, 1616, x7) + +inst_225: +// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x2, 1624, x7) + +inst_226: +// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x2, 1632, x7) + +inst_227: +// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x2, 1640, x7) + +inst_228: +// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x2, 1648, x7) + +inst_229: +// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x2, 1656, x7) + +inst_230: +// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x2, 1664, x7) + +inst_231: +// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x2, 1672, x7) + +inst_232: +// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x2, 1680, x7) + +inst_233: +// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x2, 1688, x7) + +inst_234: +// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x2, 1696, x7) + +inst_235: +// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x2, 1704, x7) + +inst_236: +// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x2, 1712, x7) + +inst_237: +// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x2, 1720, x7) + +inst_238: +// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x2, 1728, x7) + +inst_239: +// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x2, 1736, x7) + +inst_240: +// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x2, 1744, x7) + +inst_241: +// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x2, 1752, x7) + +inst_242: +// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x2, 1760, x7) + +inst_243: +// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x2, 1768, x7) + +inst_244: +// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x2, 1776, x7) + +inst_245: +// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x2, 1784, x7) + +inst_246: +// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x2, 1792, x7) + +inst_247: +// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x2, 1800, x7) + +inst_248: +// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x2, 1808, x7) + +inst_249: +// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x2, 1816, x7) + +inst_250: +// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x2, 1824, x7) + +inst_251: +// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x2, 1832, x7) + +inst_252: +// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x2, 1840, x7) + +inst_253: +// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x2, 1848, x7) + +inst_254: +// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x2, 1856, x7) + +inst_255: +// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x2, 1864, x7) + +inst_256: +// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x2, 1872, x7) + +inst_257: +// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x2, 1880, x7) + +inst_258: +// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x2, 1888, x7) + +inst_259: +// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x2, 1896, x7) + +inst_260: +// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x2, 1904, x7) + +inst_261: +// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x2, 1912, x7) + +inst_262: +// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x2, 1920, x7) + +inst_263: +// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x2, 1928, x7) + +inst_264: +// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x2, 1936, x7) + +inst_265: +// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x2, 1944, x7) + +inst_266: +// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x2, 1952, x7) + +inst_267: +// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x2, 1960, x7) + +inst_268: +// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x2, 1968, x7) + +inst_269: +// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x2, 1976, x7) + +inst_270: +// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x2, 1984, x7) + +inst_271: +// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x2, 1992, x7) + +inst_272: +// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x2, 2000, x7) + +inst_273: +// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x2, 2008, x7) + +inst_274: +// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x2, 2016, x7) + +inst_275: +// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x2, 2024, x7) + +inst_276: +// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x2, 2032, x7) + +inst_277: +// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x2, 2040, x7) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_278: +// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x2, 0, x7) + +inst_279: +// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x2, 8, x7) + +inst_280: +// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x2, 16, x7) + +inst_281: +// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x2, 24, x7) + +inst_282: +// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x2, 32, x7) + +inst_283: +// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x2, 40, x7) + +inst_284: +// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x2, 48, x7) + +inst_285: +// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x2, 56, x7) + +inst_286: +// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x2, 64, x7) + +inst_287: +// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x2, 72, x7) + +inst_288: +// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x2, 80, x7) + +inst_289: +// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x2, 88, x7) + +inst_290: +// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x2, 96, x7) + +inst_291: +// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x2, 104, x7) + +inst_292: +// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x2, 112, x7) + +inst_293: +// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x2, 120, x7) + +inst_294: +// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x2, 128, x7) + +inst_295: +// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x2, 136, x7) + +inst_296: +// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x2, 144, x7) + +inst_297: +// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x2, 152, x7) + +inst_298: +// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x2, 160, x7) + +inst_299: +// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x2, 168, x7) + +inst_300: +// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x2, 176, x7) + +inst_301: +// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x2, 184, x7) + +inst_302: +// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x2, 192, x7) + +inst_303: +// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x2, 200, x7) + +inst_304: +// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x2, 208, x7) + +inst_305: +// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x2, 216, x7) + +inst_306: +// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x2, 224, x7) + +inst_307: +// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x2, 232, x7) + +inst_308: +// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x2, 240, x7) + +inst_309: +// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x2, 248, x7) + +inst_310: +// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x2, 256, x7) + +inst_311: +// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x2, 264, x7) + +inst_312: +// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x2, 272, x7) + +inst_313: +// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x2, 280, x7) + +inst_314: +// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x2, 288, x7) + +inst_315: +// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x2, 296, x7) + +inst_316: +// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x2, 304, x7) + +inst_317: +// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x2, 312, x7) + +inst_318: +// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x2, 320, x7) + +inst_319: +// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x2, 328, x7) + +inst_320: +// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x2, 336, x7) + +inst_321: +// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x2, 344, x7) + +inst_322: +// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x2, 352, x7) + +inst_323: +// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x2, 360, x7) + +inst_324: +// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x2, 368, x7) + +inst_325: +// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x2, 376, x7) + +inst_326: +// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x2, 384, x7) + +inst_327: +// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x2, 392, x7) + +inst_328: +// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x2, 400, x7) + +inst_329: +// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x2, 408, x7) + +inst_330: +// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x2, 416, x7) + +inst_331: +// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x2, 424, x7) + +inst_332: +// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x2, 432, x7) + +inst_333: +// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x2, 440, x7) + +inst_334: +// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x2, 448, x7) + +inst_335: +// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x2, 456, x7) + +inst_336: +// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x2, 464, x7) + +inst_337: +// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x2, 472, x7) + +inst_338: +// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x2, 480, x7) + +inst_339: +// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x2, 488, x7) + +inst_340: +// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x2, 496, x7) + +inst_341: +// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x2, 504, x7) + +inst_342: +// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x2, 512, x7) + +inst_343: +// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x2, 520, x7) + +inst_344: +// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x2, 528, x7) + +inst_345: +// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x2, 536, x7) + +inst_346: +// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x2, 544, x7) + +inst_347: +// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x2, 552, x7) + +inst_348: +// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x2, 560, x7) + +inst_349: +// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x2, 568, x7) + +inst_350: +// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x2, 576, x7) + +inst_351: +// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x2, 584, x7) + +inst_352: +// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x2, 592, x7) + +inst_353: +// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x2, 600, x7) + +inst_354: +// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x2, 608, x7) + +inst_355: +// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x2, 616, x7) + +inst_356: +// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x2, 624, x7) + +inst_357: +// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x2, 632, x7) + +inst_358: +// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x2, 640, x7) + +inst_359: +// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x2, 648, x7) + +inst_360: +// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x2, 656, x7) + +inst_361: +// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x2, 664, x7) + +inst_362: +// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x2, 672, x7) + +inst_363: +// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x2, 680, x7) + +inst_364: +// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x2, 688, x7) + +inst_365: +// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x2, 696, x7) + +inst_366: +// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x2, 704, x7) + +inst_367: +// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x2, 712, x7) + +inst_368: +// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x2, 720, x7) + +inst_369: +// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x2, 728, x7) + +inst_370: +// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x2, 736, x7) + +inst_371: +// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x2, 744, x7) + +inst_372: +// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x2, 752, x7) + +inst_373: +// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x2, 760, x7) + +inst_374: +// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x2, 768, x7) + +inst_375: +// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x2, 776, x7) + +inst_376: +// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x2, 784, x7) + +inst_377: +// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x2, 792, x7) + +inst_378: +// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x2, 800, x7) + +inst_379: +// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x2, 808, x7) + +inst_380: +// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x2, 816, x7) + +inst_381: +// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x2, 824, x7) + +inst_382: +// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x2, 832, x7) + +inst_383: +// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x2, 840, x7) + +inst_384: +// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x2, 848, x7) + +inst_385: +// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x2, 856, x7) + +inst_386: +// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x2, 864, x7) + +inst_387: +// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x2, 872, x7) + +inst_388: +// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x2, 880, x7) + +inst_389: +// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x2, 888, x7) + +inst_390: +// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x2, 896, x7) + +inst_391: +// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x2, 904, x7) + +inst_392: +// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x2, 912, x7) + +inst_393: +// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x2, 920, x7) + +inst_394: +// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x2, 928, x7) + +inst_395: +// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x2, 936, x7) + +inst_396: +// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x2, 944, x7) + +inst_397: +// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x2, 952, x7) + +inst_398: +// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x2, 960, x7) + +inst_399: +// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x2, 968, x7) + +inst_400: +// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x2, 976, x7) + +inst_401: +// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x2, 984, x7) + +inst_402: +// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x2, 992, x7) + +inst_403: +// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x2, 1000, x7) + +inst_404: +// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x2, 1008, x7) + +inst_405: +// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x2, 1016, x7) + +inst_406: +// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x2, 1024, x7) + +inst_407: +// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x2, 1032, x7) + +inst_408: +// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x2, 1040, x7) + +inst_409: +// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x2, 1048, x7) + +inst_410: +// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x2, 1056, x7) + +inst_411: +// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x2, 1064, x7) + +inst_412: +// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x2, 1072, x7) + +inst_413: +// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x2, 1080, x7) + +inst_414: +// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x2, 1088, x7) + +inst_415: +// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x2, 1096, x7) + +inst_416: +// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x2, 1104, x7) + +inst_417: +// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x2, 1112, x7) + +inst_418: +// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x2, 1120, x7) + +inst_419: +// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x2, 1128, x7) + +inst_420: +// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x2, 1136, x7) + +inst_421: +// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x2, 1144, x7) + +inst_422: +// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x2, 1152, x7) + +inst_423: +// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x2, 1160, x7) + +inst_424: +// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x2, 1168, x7) + +inst_425: +// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x2, 1176, x7) + +inst_426: +// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x2, 1184, x7) + +inst_427: +// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x2, 1192, x7) + +inst_428: +// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x2, 1200, x7) + +inst_429: +// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x2, 1208, x7) + +inst_430: +// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x2, 1216, x7) + +inst_431: +// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x2, 1224, x7) + +inst_432: +// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x2, 1232, x7) + +inst_433: +// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x2, 1240, x7) + +inst_434: +// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x2, 1248, x7) + +inst_435: +// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x2, 1256, x7) + +inst_436: +// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x2, 1264, x7) + +inst_437: +// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x2, 1272, x7) + +inst_438: +// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x2, 1280, x7) + +inst_439: +// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x2, 1288, x7) + +inst_440: +// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x2, 1296, x7) + +inst_441: +// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x2, 1304, x7) + +inst_442: +// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x2, 1312, x7) + +inst_443: +// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x2, 1320, x7) + +inst_444: +// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x2, 1328, x7) + +inst_445: +// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x2, 1336, x7) + +inst_446: +// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x2, 1344, x7) + +inst_447: +// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x2, 1352, x7) + +inst_448: +// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x2, 1360, x7) + +inst_449: +// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x2, 1368, x7) + +inst_450: +// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x2, 1376, x7) + +inst_451: +// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x2, 1384, x7) + +inst_452: +// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x2, 1392, x7) + +inst_453: +// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x2, 1400, x7) + +inst_454: +// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x2, 1408, x7) + +inst_455: +// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x2, 1416, x7) + +inst_456: +// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x2, 1424, x7) + +inst_457: +// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x2, 1432, x7) + +inst_458: +// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x2, 1440, x7) + +inst_459: +// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x2, 1448, x7) + +inst_460: +// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x2, 1456, x7) + +inst_461: +// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x2, 1464, x7) + +inst_462: +// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x2, 1472, x7) + +inst_463: +// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x2, 1480, x7) + +inst_464: +// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x2, 1488, x7) + +inst_465: +// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x2, 1496, x7) + +inst_466: +// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x2, 1504, x7) + +inst_467: +// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x2, 1512, x7) + +inst_468: +// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x2, 1520, x7) + +inst_469: +// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x2, 1528, x7) + +inst_470: +// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x2, 1536, x7) + +inst_471: +// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x2, 1544, x7) + +inst_472: +// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x2, 1552, x7) + +inst_473: +// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x2, 1560, x7) + +inst_474: +// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x2, 1568, x7) + +inst_475: +// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x2, 1576, x7) + +inst_476: +// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x2, 1584, x7) + +inst_477: +// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x2, 1592, x7) + +inst_478: +// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x2, 1600, x7) + +inst_479: +// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x2, 1608, x7) + +inst_480: +// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x2, 1616, x7) + +inst_481: +// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x2, 1624, x7) + +inst_482: +// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x2, 1632, x7) + +inst_483: +// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x2, 1640, x7) + +inst_484: +// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x2, 1648, x7) + +inst_485: +// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x2, 1656, x7) + +inst_486: +// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x2, 1664, x7) + +inst_487: +// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x2, 1672, x7) + +inst_488: +// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x2, 1680, x7) + +inst_489: +// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x2, 1688, x7) + +inst_490: +// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x2, 1696, x7) + +inst_491: +// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x2, 1704, x7) + +inst_492: +// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x2, 1712, x7) + +inst_493: +// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x2, 1720, x7) + +inst_494: +// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x2, 1728, x7) + +inst_495: +// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x2, 1736, x7) + +inst_496: +// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x2, 1744, x7) + +inst_497: +// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x2, 1752, x7) + +inst_498: +// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x2, 1760, x7) + +inst_499: +// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x2, 1768, x7) + +inst_500: +// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x2, 1776, x7) + +inst_501: +// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x2, 1784, x7) + +inst_502: +// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x2, 1792, x7) + +inst_503: +// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x2, 1800, x7) + +inst_504: +// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x2, 1808, x7) + +inst_505: +// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x2, 1816, x7) + +inst_506: +// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x2, 1824, x7) + +inst_507: +// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x2, 1832, x7) + +inst_508: +// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x2, 1840, x7) + +inst_509: +// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x2, 1848, x7) + +inst_510: +// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x2, 1856, x7) + +inst_511: +// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x2, 1864, x7) + +inst_512: +// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x2, 1872, x7) + +inst_513: +// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x2, 1880, x7) + +inst_514: +// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x2, 1888, x7) + +inst_515: +// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x2, 1896, x7) + +inst_516: +// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x2, 1904, x7) + +inst_517: +// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x2, 1912, x7) + +inst_518: +// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x2, 1920, x7) + +inst_519: +// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x2, 1928, x7) + +inst_520: +// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x2, 1936, x7) + +inst_521: +// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x2, 1944, x7) + +inst_522: +// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x2, 1952, x7) + +inst_523: +// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x2, 1960, x7) + +inst_524: +// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x2, 1968, x7) + +inst_525: +// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x2, 1976, x7) + +inst_526: +// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x2, 1984, x7) + +inst_527: +// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x2, 1992, x7) + +inst_528: +// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x2, 2000, x7) + +inst_529: +// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x2, 2008, x7) + +inst_530: +// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x2, 2016, x7) + +inst_531: +// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x2, 2024, x7) + +inst_532: +// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x2, 2032, x7) + +inst_533: +// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x2, 2040, x7) +RVTEST_SIGBASE( x2,signature_x2_2) + +inst_534: +// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x2, 0, x7) + +inst_535: +// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x2, 8, x7) + +inst_536: +// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x2, 16, x7) + +inst_537: +// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x2, 24, x7) + +inst_538: +// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x2, 32, x7) + +inst_539: +// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x2, 40, x7) + +inst_540: +// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x2, 48, x7) + +inst_541: +// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x2, 56, x7) + +inst_542: +// rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x2, 64, x7) + +inst_543: +// rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x2, 72, x7) + +inst_544: +// rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d +// opcode: xperm4 ; op1:x10; op2:x11; dest:x12; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d +TEST_RR_OP(xperm4, x12, x10, x11, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x2, 80, x7) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x11_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x11_1: + .fill 22*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 256*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 256*(XLEN/32),4,0xdeadbeef + + +signature_x2_2: + .fill 11*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/riscv-test-suite/rv64i_m/K/src/xperm8-01.S b/riscv-test-suite/rv64i_m/K/src/xperm8-01.S new file mode 100644 index 000000000..4fd37c9a3 --- /dev/null +++ b/riscv-test-suite/rv64i_m/K/src/xperm8-01.S @@ -0,0 +1,2816 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.4.5 +// timestamp : Thu May 27 16:46:33 2021 GMT +// usage : riscv_ctg \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /scratch/git-repo/github/riscv-ctg/sample_cgfs/rv64i_k.cgf \ +// --base-isa rv64i \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2021. Indian Institute of Technology Madras. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xperm8 instruction of the RISC-V extension for the xperm8 covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV64I") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*K.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_CASE(1,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKs.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_CASE(2,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKn.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_CASE(3,"//check ISA:=regex(.*64.*);check ISA:=regex(.*I.*ZKb.*);def TEST_CASE_1=True;",xperm8) + +RVTEST_SIGBASE( x6,signature_x6_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x9, rs2==x4, rd==x25, rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0x34C2DA8003CC0F27 +// opcode: xperm8 ; op1:x9; op2:x4; dest:x25; op1val:0xffffffffffffffff; op2val:0x34c2da8003cc0f27 +TEST_RR_OP(xperm8, x25, x9, x4, 0x0000000000000000, 0xffffffffffffffff, 0x34c2da8003cc0f27, x6, 0, x10) + +inst_1: +// rs1 == rs2 == rd, rs1==x22, rs2==x22, rd==x22, rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 +// opcode: xperm8 ; op1:x22; op2:x22; dest:x22; op1val:0x6af29145404fd8ed; op2val:0x6af29145404fd8ed +TEST_RR_OP(xperm8, x22, x22, x22, 0x0000000000000000, 0x6af29145404fd8ed, 0x6af29145404fd8ed, x6, 8, x10) + +inst_2: +// rs1 == rd != rs2, rs1==x24, rs2==x9, rd==x24, rs1_val == 0x6d23c0488a6019c1 and rs2_val == 0x860bdaad7447a088 +// opcode: xperm8 ; op1:x24; op2:x9; dest:x24; op1val:0x6d23c0488a6019c1; op2val:0x860bdaad7447a088 +TEST_RR_OP(xperm8, x24, x24, x9, 0x0000000000000000, 0x6d23c0488a6019c1, 0x860bdaad7447a088, x6, 16, x10) + +inst_3: +// rs1 == rs2 != rd, rs1==x18, rs2==x18, rd==x17, rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 +// opcode: xperm8 ; op1:x18; op2:x18; dest:x17; op1val:0x1f7d946f17168ab3; op2val:0x1f7d946f17168ab3 +TEST_RR_OP(xperm8, x17, x18, x18, 0x0000000000000000, 0x1f7d946f17168ab3, 0x1f7d946f17168ab3, x6, 24, x10) + +inst_4: +// rs2 == rd != rs1, rs1==x8, rs2==x28, rd==x28, rs1_val == 0xef1d54db32b81f27 and rs2_val == 0x1826a804284fe16c +// opcode: xperm8 ; op1:x8; op2:x28; dest:x28; op1val:0xef1d54db32b81f27; op2val:0x1826a804284fe16c +TEST_RR_OP(xperm8, x28, x8, x28, 0x0000000000000000, 0xef1d54db32b81f27, 0x1826a804284fe16c, x6, 32, x10) + +inst_5: +// rs1==x15, rs2==x3, rd==x29, rs1_val == 0xb694de26ad9e5431 and rs2_val == 0x293f9f6071fad878 +// opcode: xperm8 ; op1:x15; op2:x3; dest:x29; op1val:0xb694de26ad9e5431; op2val:0x293f9f6071fad878 +TEST_RR_OP(xperm8, x29, x15, x3, 0x0000000000000000, 0xb694de26ad9e5431, 0x293f9f6071fad878, x6, 40, x10) + +inst_6: +// rs1==x14, rs2==x19, rd==x2, rs1_val == 0x987daa20b858e304 and rs2_val == 0x1aa1beebefb902cb +// opcode: xperm8 ; op1:x14; op2:x19; dest:x2; op1val:0x987daa20b858e304; op2val:0x1aa1beebefb902cb +TEST_RR_OP(xperm8, x2, x14, x19, 0x0000000000000000, 0x987daa20b858e304, 0x1aa1beebefb902cb, x6, 48, x10) + +inst_7: +// rs1==x30, rs2==x27, rd==x26, rs1_val == 0x79bb7c341d3110bc and rs2_val == 0x8678f5e3d272e229 +// opcode: xperm8 ; op1:x30; op2:x27; dest:x26; op1val:0x79bb7c341d3110bc; op2val:0x8678f5e3d272e229 +TEST_RR_OP(xperm8, x26, x30, x27, 0x0000000000000000, 0x79bb7c341d3110bc, 0x8678f5e3d272e229, x6, 56, x10) + +inst_8: +// rs1==x17, rs2==x24, rd==x30, rs1_val == 0xe2eaf4a09869be8c and rs2_val == 0x5b730cad91766f62 +// opcode: xperm8 ; op1:x17; op2:x24; dest:x30; op1val:0xe2eaf4a09869be8c; op2val:0x5b730cad91766f62 +TEST_RR_OP(xperm8, x30, x17, x24, 0x0000000000000000, 0xe2eaf4a09869be8c, 0x5b730cad91766f62, x6, 64, x10) + +inst_9: +// rs1==x27, rs2==x14, rd==x5, rs1_val == 0xc0fe15dd0df9564b and rs2_val == 0xb22bbf7eb4c858fb +// opcode: xperm8 ; op1:x27; op2:x14; dest:x5; op1val:0xc0fe15dd0df9564b; op2val:0xb22bbf7eb4c858fb +TEST_RR_OP(xperm8, x5, x27, x14, 0x0000000000000000, 0xc0fe15dd0df9564b, 0xb22bbf7eb4c858fb, x6, 72, x10) + +inst_10: +// rs1==x20, rs2==x0, rd==x9, rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 +// opcode: xperm8 ; op1:x20; op2:x0; dest:x9; op1val:0x4113ee60952acffe; op2val:0x0 +TEST_RR_OP(xperm8, x9, x20, x0, 0x0000000000000000, 0x4113ee60952acffe, 0x0, x6, 80, x10) + +inst_11: +// rs1==x5, rs2==x20, rd==x11, rs1_val == 0x40a5ff526f38a9c7 and rs2_val == 0xb6f9706fb4f741aa +// opcode: xperm8 ; op1:x5; op2:x20; dest:x11; op1val:0x40a5ff526f38a9c7; op2val:0xb6f9706fb4f741aa +TEST_RR_OP(xperm8, x11, x5, x20, 0x0000000000000000, 0x40a5ff526f38a9c7, 0xb6f9706fb4f741aa, x6, 88, x10) + +inst_12: +// rs1==x0, rs2==x21, rd==x31, rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc +// opcode: xperm8 ; op1:x0; op2:x21; dest:x31; op1val:0x0; op2val:0xd05668ae0fdb82bc +TEST_RR_OP(xperm8, x31, x0, x21, 0x0000000000000000, 0x0, 0xd05668ae0fdb82bc, x6, 96, x10) + +inst_13: +// rs1==x7, rs2==x13, rd==x1, rs1_val == 0xd75739f82ac177c6 and rs2_val == 0xaa6bb2bde9ed477d +// opcode: xperm8 ; op1:x7; op2:x13; dest:x1; op1val:0xd75739f82ac177c6; op2val:0xaa6bb2bde9ed477d +TEST_RR_OP(xperm8, x1, x7, x13, 0x0000000000000000, 0xd75739f82ac177c6, 0xaa6bb2bde9ed477d, x6, 104, x10) + +inst_14: +// rs1==x29, rs2==x5, rd==x12, rs1_val == 0x9a4e9ef10171f4df and rs2_val == 0x299c3bcf90efb625 +// opcode: xperm8 ; op1:x29; op2:x5; dest:x12; op1val:0x9a4e9ef10171f4df; op2val:0x299c3bcf90efb625 +TEST_RR_OP(xperm8, x12, x29, x5, 0x0000000000000000, 0x9a4e9ef10171f4df, 0x299c3bcf90efb625, x6, 112, x10) + +inst_15: +// rs1==x25, rs2==x26, rd==x21, rs1_val == 0xd169a3f8cad5e297 and rs2_val == 0x1fc493caa371db42 +// opcode: xperm8 ; op1:x25; op2:x26; dest:x21; op1val:0xd169a3f8cad5e297; op2val:0x1fc493caa371db42 +TEST_RR_OP(xperm8, x21, x25, x26, 0x0000000000000000, 0xd169a3f8cad5e297, 0x1fc493caa371db42, x6, 120, x5) + +inst_16: +// rs1==x13, rs2==x11, rd==x19, rs1_val == 0xd5b9fe5cf69bdcf3 and rs2_val == 0xf4c30307672f666d +// opcode: xperm8 ; op1:x13; op2:x11; dest:x19; op1val:0xd5b9fe5cf69bdcf3; op2val:0xf4c30307672f666d +TEST_RR_OP(xperm8, x19, x13, x11, 0x0000000000000000, 0xd5b9fe5cf69bdcf3, 0xf4c30307672f666d, x6, 128, x5) + +inst_17: +// rs1==x19, rs2==x17, rd==x15, rs1_val == 0xe4921bf73047c198 and rs2_val == 0xa0569d765ebc64cb +// opcode: xperm8 ; op1:x19; op2:x17; dest:x15; op1val:0xe4921bf73047c198; op2val:0xa0569d765ebc64cb +TEST_RR_OP(xperm8, x15, x19, x17, 0x0000000000000000, 0xe4921bf73047c198, 0xa0569d765ebc64cb, x6, 136, x5) + +inst_18: +// rs1==x31, rs2==x1, rd==x18, rs1_val == 0xfcc1b543c49cd65b and rs2_val == 0x2daf9ac7f5faf207 +// opcode: xperm8 ; op1:x31; op2:x1; dest:x18; op1val:0xfcc1b543c49cd65b; op2val:0x2daf9ac7f5faf207 +TEST_RR_OP(xperm8, x18, x31, x1, 0x0000000000000000, 0xfcc1b543c49cd65b, 0x2daf9ac7f5faf207, x6, 144, x5) + +inst_19: +// rs1==x12, rs2==x31, rd==x4, rs1_val == 0x436f40f274b8de87 and rs2_val == 0x3459294ef273b44c +// opcode: xperm8 ; op1:x12; op2:x31; dest:x4; op1val:0x436f40f274b8de87; op2val:0x3459294ef273b44c +TEST_RR_OP(xperm8, x4, x12, x31, 0x0000000000000000, 0x436f40f274b8de87, 0x3459294ef273b44c, x6, 152, x5) + +inst_20: +// rs1==x2, rs2==x29, rd==x7, rs1_val == 0x75a3adb3254a9493 and rs2_val == 0xc5521660f3a3c571 +// opcode: xperm8 ; op1:x2; op2:x29; dest:x7; op1val:0x75a3adb3254a9493; op2val:0xc5521660f3a3c571 +TEST_RR_OP(xperm8, x7, x2, x29, 0x0000000000000000, 0x75a3adb3254a9493, 0xc5521660f3a3c571, x6, 160, x5) + +inst_21: +// rs1==x4, rs2==x25, rd==x16, rs2_val == 0x0000000000000000 and rs1_val == 0x5BA2C7DD5AE10604 +// opcode: xperm8 ; op1:x4; op2:x25; dest:x16; op1val:0x5ba2c7dd5ae10604; op2val:0x0 +TEST_RR_OP(xperm8, x16, x4, x25, 0x0000000000000000, 0x5ba2c7dd5ae10604, 0x0, x6, 168, x5) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_22: +// rs1==x11, rs2==x23, rd==x27, rs2_val == 0x8000000000000000 and rs1_val == 0x6F061D6DC38671B6 +// opcode: xperm8 ; op1:x11; op2:x23; dest:x27; op1val:0x6f061d6dc38671b6; op2val:0x8000000000000000 +TEST_RR_OP(xperm8, x27, x11, x23, 0x0000000000000000, 0x6f061d6dc38671b6, 0x8000000000000000, x4, 0, x5) + +inst_23: +// rs1==x10, rs2==x30, rd==x3, rs2_val == 0x4000000000000000 and rs1_val == 0xD2EEEB1C2AF42D39 +// opcode: xperm8 ; op1:x10; op2:x30; dest:x3; op1val:0xd2eeeb1c2af42d39; op2val:0x4000000000000000 +TEST_RR_OP(xperm8, x3, x10, x30, 0x0000000000000000, 0xd2eeeb1c2af42d39, 0x4000000000000000, x4, 8, x5) + +inst_24: +// rs1==x3, rs2==x6, rd==x8, rs2_val == 0xA000000000000000 and rs1_val == 0x485246BF2502307F +// opcode: xperm8 ; op1:x3; op2:x6; dest:x8; op1val:0x485246bf2502307f; op2val:0xa000000000000000 +TEST_RR_OP(xperm8, x8, x3, x6, 0x0000000000000000, 0x485246bf2502307f, 0xa000000000000000, x4, 16, x5) + +inst_25: +// rs1==x26, rs2==x2, rd==x13, rs2_val == 0x3000000000000000 and rs1_val == 0xD50BD94A392E6FA3 +// opcode: xperm8 ; op1:x26; op2:x2; dest:x13; op1val:0xd50bd94a392e6fa3; op2val:0x3000000000000000 +TEST_RR_OP(xperm8, x13, x26, x2, 0x0000000000000000, 0xd50bd94a392e6fa3, 0x3000000000000000, x4, 24, x5) + +inst_26: +// rs1==x1, rs2==x16, rd==x20, rs2_val == 0x3800000000000000 and rs1_val == 0x8862749480E4D23B +// opcode: xperm8 ; op1:x1; op2:x16; dest:x20; op1val:0x8862749480e4d23b; op2val:0x3800000000000000 +TEST_RR_OP(xperm8, x20, x1, x16, 0x0000000000000000, 0x8862749480e4d23b, 0x3800000000000000, x4, 32, x5) + +inst_27: +// rs1==x23, rs2==x8, rd==x6, rs2_val == 0x4C00000000000000 and rs1_val == 0x2405B307CEC7313A +// opcode: xperm8 ; op1:x23; op2:x8; dest:x6; op1val:0x2405b307cec7313a; op2val:0x4c00000000000000 +TEST_RR_OP(xperm8, x6, x23, x8, 0x0000000000000000, 0x2405b307cec7313a, 0x4c00000000000000, x4, 40, x5) + +inst_28: +// rs1==x6, rs2==x7, rd==x10, rs2_val == 0x8200000000000000 and rs1_val == 0xB1410CBA5D003E38 +// opcode: xperm8 ; op1:x6; op2:x7; dest:x10; op1val:0xb1410cba5d003e38; op2val:0x8200000000000000 +TEST_RR_OP(xperm8, x10, x6, x7, 0x0000000000000000, 0xb1410cba5d003e38, 0x8200000000000000, x4, 48, x5) + +inst_29: +// rs1==x16, rs2==x12, rd==x0, rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 +// opcode: xperm8 ; op1:x16; op2:x12; dest:x0; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 +TEST_RR_OP(xperm8, x0, x16, x12, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 56, x5) + +inst_30: +// rs1==x28, rs2==x15, rd==x14, rs2_val == 0xCC80000000000000 and rs1_val == 0xBF28804A83E2BA3A +// opcode: xperm8 ; op1:x28; op2:x15; dest:x14; op1val:0xbf28804a83e2ba3a; op2val:0xcc80000000000000 +TEST_RR_OP(xperm8, x14, x28, x15, 0x0000000000000000, 0xbf28804a83e2ba3a, 0xcc80000000000000, x4, 64, x5) + +inst_31: +// rs1==x21, rs2==x10, rd==x23, rs2_val == 0x1940000000000000 and rs1_val == 0xFD8BF41246E4ABD8 +// opcode: xperm8 ; op1:x21; op2:x10; dest:x23; op1val:0xfd8bf41246e4abd8; op2val:0x1940000000000000 +TEST_RR_OP(xperm8, x23, x21, x10, 0x0000000000000000, 0xfd8bf41246e4abd8, 0x1940000000000000, x4, 72, x5) + +inst_32: +// rs2_val == 0x20A0000000000000 and rs1_val == 0x063BF2DA242D8CEF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x63bf2da242d8cef; op2val:0x20a0000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x63bf2da242d8cef, 0x20a0000000000000, x4, 80, x5) + +inst_33: +// rs2_val == 0x5C50000000000000 and rs1_val == 0x811D9484D8D8DD47 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x811d9484d8d8dd47; op2val:0x5c50000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x811d9484d8d8dd47, 0x5c50000000000000, x4, 88, x5) + +inst_34: +// rs2_val == 0xCD28000000000000 and rs1_val == 0x8CB7ED4FC1F36FAE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8cb7ed4fc1f36fae; op2val:0xcd28000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8cb7ed4fc1f36fae, 0xcd28000000000000, x4, 96, x5) + +inst_35: +// rs2_val == 0xEB24000000000000 and rs1_val == 0x666FAFE7D7704260 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x666fafe7d7704260; op2val:0xeb24000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x666fafe7d7704260, 0xeb24000000000000, x4, 104, x5) + +inst_36: +// rs2_val == 0xCFB6000000000000 and rs1_val == 0xFDB7038B058160E6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfdb7038b058160e6; op2val:0xcfb6000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfdb7038b058160e6, 0xcfb6000000000000, x4, 112, x5) + +inst_37: +// rs2_val == 0x118F000000000000 and rs1_val == 0xA4E23852D8BCA4DF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa4e23852d8bca4df; op2val:0x118f000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa4e23852d8bca4df, 0x118f000000000000, x4, 120, x5) + +inst_38: +// rs2_val == 0x3B0B800000000000 and rs1_val == 0x718E177DE812DD49 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x718e177de812dd49; op2val:0x3b0b800000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x718e177de812dd49, 0x3b0b800000000000, x4, 128, x5) + +inst_39: +// rs2_val == 0x3DA8400000000000 and rs1_val == 0x42BEA7753D32D01E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x42bea7753d32d01e; op2val:0x3da8400000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x42bea7753d32d01e, 0x3da8400000000000, x4, 136, x5) + +inst_40: +// rs2_val == 0xA742A00000000000 and rs1_val == 0xE91EDE432037E34E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe91ede432037e34e; op2val:0xa742a00000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe91ede432037e34e, 0xa742a00000000000, x4, 144, x5) + +inst_41: +// rs2_val == 0xA3DEF00000000000 and rs1_val == 0xD2039372874434D3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd2039372874434d3; op2val:0xa3def00000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd2039372874434d3, 0xa3def00000000000, x4, 152, x5) + +inst_42: +// rs2_val == 0x3AF3780000000000 and rs1_val == 0xE8DEC4B7875E9BF5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe8dec4b7875e9bf5; op2val:0x3af3780000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe8dec4b7875e9bf5, 0x3af3780000000000, x4, 160, x5) + +inst_43: +// rs2_val == 0x4D64CC0000000000 and rs1_val == 0xEED7C61C17D8A217 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xeed7c61c17d8a217; op2val:0x4d64cc0000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xeed7c61c17d8a217, 0x4d64cc0000000000, x4, 168, x5) + +inst_44: +// rs2_val == 0xF37B4E0000000000 and rs1_val == 0x4EAB740B87F996D6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4eab740b87f996d6; op2val:0xf37b4e0000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4eab740b87f996d6, 0xf37b4e0000000000, x4, 176, x5) + +inst_45: +// rs2_val == 0xEFE7490000000000 and rs1_val == 0x2466B4600D42EA01 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2466b4600d42ea01; op2val:0xefe7490000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2466b4600d42ea01, 0xefe7490000000000, x4, 184, x5) + +inst_46: +// rs2_val == 0xE077678000000000 and rs1_val == 0x4256F18F2DE4EB20 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4256f18f2de4eb20; op2val:0xe077678000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4256f18f2de4eb20, 0xe077678000000000, x4, 192, x5) + +inst_47: +// rs2_val == 0xFA56AA4000000000 and rs1_val == 0x8533DC75D6308385 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8533dc75d6308385; op2val:0xfa56aa4000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8533dc75d6308385, 0xfa56aa4000000000, x4, 200, x5) + +inst_48: +// rs2_val == 0x60C9F22000000000 and rs1_val == 0xCA355A09AB72F99F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xca355a09ab72f99f; op2val:0x60c9f22000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xca355a09ab72f99f, 0x60c9f22000000000, x4, 208, x5) + +inst_49: +// rs2_val == 0xAF36F11000000000 and rs1_val == 0x22FED7DB4E7B7359 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x22fed7db4e7b7359; op2val:0xaf36f11000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x22fed7db4e7b7359, 0xaf36f11000000000, x4, 216, x5) + +inst_50: +// rs2_val == 0x0333990800000000 and rs1_val == 0xB6DE8BCFD96429C9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb6de8bcfd96429c9; op2val:0x333990800000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb6de8bcfd96429c9, 0x333990800000000, x4, 224, x5) + +inst_51: +// rs2_val == 0x5C67C8FC00000000 and rs1_val == 0xB9FBD369C8CDF63E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb9fbd369c8cdf63e; op2val:0x5c67c8fc00000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb9fbd369c8cdf63e, 0x5c67c8fc00000000, x4, 232, x5) + +inst_52: +// rs2_val == 0xC706E0C200000000 and rs1_val == 0x324C464BC06209FB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x324c464bc06209fb; op2val:0xc706e0c200000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x324c464bc06209fb, 0xc706e0c200000000, x4, 240, x5) + +inst_53: +// rs2_val == 0xEFE091E300000000 and rs1_val == 0x70FD0C20644918A7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x70fd0c20644918a7; op2val:0xefe091e300000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x70fd0c20644918a7, 0xefe091e300000000, x4, 248, x5) + +inst_54: +// rs2_val == 0xCB7CDDA380000000 and rs1_val == 0x755CD0BF4F63879F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x755cd0bf4f63879f; op2val:0xcb7cdda380000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x755cd0bf4f63879f, 0xcb7cdda380000000, x4, 256, x5) + +inst_55: +// rs2_val == 0x51885E99C0000000 and rs1_val == 0xA31E74CF1F3C1AD7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa31e74cf1f3c1ad7; op2val:0x51885e99c0000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa31e74cf1f3c1ad7, 0x51885e99c0000000, x4, 264, x5) + +inst_56: +// rs2_val == 0x87503D9D20000000 and rs1_val == 0x300D9B713BB3E347 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x300d9b713bb3e347; op2val:0x87503d9d20000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x300d9b713bb3e347, 0x87503d9d20000000, x4, 272, x5) + +inst_57: +// rs2_val == 0x1AC7983C70000000 and rs1_val == 0xCB0ACB582BEDCFC5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcb0acb582bedcfc5; op2val:0x1ac7983c70000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xcb0acb582bedcfc5, 0x1ac7983c70000000, x4, 280, x5) + +inst_58: +// rs2_val == 0x1857BC0AE8000000 and rs1_val == 0xFD5324A47C496066 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfd5324a47c496066; op2val:0x1857bc0ae8000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfd5324a47c496066, 0x1857bc0ae8000000, x4, 288, x5) + +inst_59: +// rs2_val == 0xB9545B9124000000 and rs1_val == 0xA46EE1F1A8552F4B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa46ee1f1a8552f4b; op2val:0xb9545b9124000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa46ee1f1a8552f4b, 0xb9545b9124000000, x4, 296, x5) + +inst_60: +// rs2_val == 0xFFE1759C3A000000 and rs1_val == 0x4BFF93C124507198 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4bff93c124507198; op2val:0xffe1759c3a000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4bff93c124507198, 0xffe1759c3a000000, x4, 304, x5) + +inst_61: +// rs2_val == 0xD12C4A6DFD000000 and rs1_val == 0xE3AC03436656B0E2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe3ac03436656b0e2; op2val:0xd12c4a6dfd000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe3ac03436656b0e2, 0xd12c4a6dfd000000, x4, 312, x5) + +inst_62: +// rs2_val == 0x4978C51702800000 and rs1_val == 0x75BD36C79929DFEB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x75bd36c79929dfeb; op2val:0x4978c51702800000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x75bd36c79929dfeb, 0x4978c51702800000, x4, 320, x5) + +inst_63: +// rs2_val == 0xEC557C3C4D400000 and rs1_val == 0xEA894C29FF6036ED +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xea894c29ff6036ed; op2val:0xec557c3c4d400000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xea894c29ff6036ed, 0xec557c3c4d400000, x4, 328, x5) + +inst_64: +// rs2_val == 0x7FAB9B0810A00000 and rs1_val == 0x46B7549D93C22130 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x46b7549d93c22130; op2val:0x7fab9b0810a00000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x46b7549d93c22130, 0x7fab9b0810a00000, x4, 336, x5) + +inst_65: +// rs2_val == 0xAF8FDA6198900000 and rs1_val == 0x7E107814A1C1C528 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7e107814a1c1c528; op2val:0xaf8fda6198900000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7e107814a1c1c528, 0xaf8fda6198900000, x4, 344, x5) + +inst_66: +// rs2_val == 0x707DF5A4A8F80000 and rs1_val == 0x57E9F6C2408B97CD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x57e9f6c2408b97cd; op2val:0x707df5a4a8f80000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x57e9f6c2408b97cd, 0x707df5a4a8f80000, x4, 352, x5) + +inst_67: +// rs2_val == 0x924D5D8CDB8C0000 and rs1_val == 0xF3C9FBEDAA8DC392 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf3c9fbedaa8dc392; op2val:0x924d5d8cdb8c0000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf3c9fbedaa8dc392, 0x924d5d8cdb8c0000, x4, 360, x5) + +inst_68: +// rs2_val == 0x200DC7628C620000 and rs1_val == 0x1078E5395C962250 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1078e5395c962250; op2val:0x200dc7628c620000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1078e5395c962250, 0x200dc7628c620000, x4, 368, x5) + +inst_69: +// rs2_val == 0x415DC3E7526B0000 and rs1_val == 0x57B0D4C17C2890B1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x57b0d4c17c2890b1; op2val:0x415dc3e7526b0000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x57b0d4c17c2890b1, 0x415dc3e7526b0000, x4, 376, x5) + +inst_70: +// rs2_val == 0x448E60EF28288000 and rs1_val == 0x9812A13456F646E8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9812a13456f646e8; op2val:0x448e60ef28288000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9812a13456f646e8, 0x448e60ef28288000, x4, 384, x5) + +inst_71: +// rs2_val == 0xAD881BE63AAF4000 and rs1_val == 0xD367D9F15710B7AE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd367d9f15710b7ae; op2val:0xad881be63aaf4000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd367d9f15710b7ae, 0xad881be63aaf4000, x4, 392, x5) + +inst_72: +// rs2_val == 0xD3262066BA452000 and rs1_val == 0x1F8B62C23A810BD9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1f8b62c23a810bd9; op2val:0xd3262066ba452000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1f8b62c23a810bd9, 0xd3262066ba452000, x4, 400, x5) + +inst_73: +// rs2_val == 0x955964C554C37000 and rs1_val == 0xAB4A4D5C22FEB755 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xab4a4d5c22feb755; op2val:0x955964c554c37000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xab4a4d5c22feb755, 0x955964c554c37000, x4, 408, x5) + +inst_74: +// rs2_val == 0x0B66A6669D416800 and rs1_val == 0x5CBAF664FCD220D7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5cbaf664fcd220d7; op2val:0xb66a6669d416800 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5cbaf664fcd220d7, 0xb66a6669d416800, x4, 416, x5) + +inst_75: +// rs2_val == 0x610EA25929454400 and rs1_val == 0xD497855D8B082833 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd497855d8b082833; op2val:0x610ea25929454400 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd497855d8b082833, 0x610ea25929454400, x4, 424, x5) + +inst_76: +// rs2_val == 0xC19961DDB6C00600 and rs1_val == 0x97DB1001072F6AC9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x97db1001072f6ac9; op2val:0xc19961ddb6c00600 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x97db1001072f6ac9, 0xc19961ddb6c00600, x4, 432, x5) + +inst_77: +// rs2_val == 0x4C41441591107F00 and rs1_val == 0xD63EF4DE0E724556 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd63ef4de0e724556; op2val:0x4c41441591107f00 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd63ef4de0e724556, 0x4c41441591107f00, x4, 440, x5) + +inst_78: +// rs2_val == 0xFC44172C98DFBD80 and rs1_val == 0x1BAF44CF3B50C99D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1baf44cf3b50c99d; op2val:0xfc44172c98dfbd80 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1baf44cf3b50c99d, 0xfc44172c98dfbd80, x4, 448, x5) + +inst_79: +// rs2_val == 0x985875B03F6A4D40 and rs1_val == 0xEAB762A9D6CBF3AE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xeab762a9d6cbf3ae; op2val:0x985875b03f6a4d40 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xeab762a9d6cbf3ae, 0x985875b03f6a4d40, x4, 456, x5) + +inst_80: +// rs2_val == 0xFCD876518F8264E0 and rs1_val == 0x9B90F7CAF66FA51D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9b90f7caf66fa51d; op2val:0xfcd876518f8264e0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9b90f7caf66fa51d, 0xfcd876518f8264e0, x4, 464, x5) + +inst_81: +// rs2_val == 0x443A809E48B4BE70 and rs1_val == 0xCBD297D6AEB522FC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcbd297d6aeb522fc; op2val:0x443a809e48b4be70 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xcbd297d6aeb522fc, 0x443a809e48b4be70, x4, 472, x5) + +inst_82: +// rs2_val == 0xB914351D12915378 and rs1_val == 0xDA53E66763735E07 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xda53e66763735e07; op2val:0xb914351d12915378 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xda53e66763735e07, 0xb914351d12915378, x4, 480, x5) + +inst_83: +// rs2_val == 0x922EC2BF33ECD4FC and rs1_val == 0x77A061BA4B1D37E9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x77a061ba4b1d37e9; op2val:0x922ec2bf33ecd4fc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x77a061ba4b1d37e9, 0x922ec2bf33ecd4fc, x4, 488, x5) + +inst_84: +// rs2_val == 0x0E1DB424323E7F5E and rs1_val == 0xA6C873830196F0C0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa6c873830196f0c0; op2val:0xe1db424323e7f5e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa6c873830196f0c0, 0xe1db424323e7f5e, x4, 496, x5) + +inst_85: +// rs2_val == 0x7F8C74B1AF5BC16D and rs1_val == 0x33439FC489476E6E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x33439fc489476e6e; op2val:0x7f8c74b1af5bc16d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x33439fc489476e6e, 0x7f8c74b1af5bc16d, x4, 504, x5) + +inst_86: +// rs1_val == 0x0000000000000000 and rs2_val == 0x1A323609E92A6130 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1a323609e92a6130 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x0, 0x1a323609e92a6130, x4, 512, x5) + +inst_87: +// rs1_val == 0x8000000000000000 and rs2_val == 0xED4ECED74BED2975 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8000000000000000; op2val:0xed4eced74bed2975 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8000000000000000, 0xed4eced74bed2975, x4, 520, x5) + +inst_88: +// rs1_val == 0x4000000000000000 and rs2_val == 0x29CB52AF3206B471 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4000000000000000; op2val:0x29cb52af3206b471 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4000000000000000, 0x29cb52af3206b471, x4, 528, x5) + +inst_89: +// rs1_val == 0x2000000000000000 and rs2_val == 0x0AE5DE174EF43B6F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2000000000000000; op2val:0xae5de174ef43b6f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2000000000000000, 0xae5de174ef43b6f, x4, 536, x5) + +inst_90: +// rs1_val == 0xD000000000000000 and rs2_val == 0xB725F591D236CDED +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd000000000000000; op2val:0xb725f591d236cded +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd000000000000000, 0xb725f591d236cded, x4, 544, x5) + +inst_91: +// rs1_val == 0x5800000000000000 and rs2_val == 0xD5F381D63480C0AD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5800000000000000; op2val:0xd5f381d63480c0ad +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5800000000000000, 0xd5f381d63480c0ad, x4, 552, x5) + +inst_92: +// rs1_val == 0xFC00000000000000 and rs2_val == 0x56FFE58D4360FEC9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfc00000000000000; op2val:0x56ffe58d4360fec9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfc00000000000000, 0x56ffe58d4360fec9, x4, 560, x5) + +inst_93: +// rs1_val == 0x4200000000000000 and rs2_val == 0x699492C960E011EB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4200000000000000; op2val:0x699492c960e011eb +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4200000000000000, 0x699492c960e011eb, x4, 568, x5) + +inst_94: +// rs1_val == 0x7700000000000000 and rs2_val == 0x25F013BA522AE822 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7700000000000000; op2val:0x25f013ba522ae822 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7700000000000000, 0x25f013ba522ae822, x4, 576, x5) + +inst_95: +// rs1_val == 0x6580000000000000 and rs2_val == 0x48659245994A555F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6580000000000000; op2val:0x48659245994a555f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6580000000000000, 0x48659245994a555f, x4, 584, x5) + +inst_96: +// rs1_val == 0x46C0000000000000 and rs2_val == 0x491F1B5A5D62953F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x46c0000000000000; op2val:0x491f1b5a5d62953f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x46c0000000000000, 0x491f1b5a5d62953f, x4, 592, x5) + +inst_97: +// rs1_val == 0x56E0000000000000 and rs2_val == 0x8B57454ACD07888B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x56e0000000000000; op2val:0x8b57454acd07888b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x56e0000000000000, 0x8b57454acd07888b, x4, 600, x5) + +inst_98: +// rs1_val == 0x56B0000000000000 and rs2_val == 0x2B9C75B64EA4788D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x56b0000000000000; op2val:0x2b9c75b64ea4788d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x56b0000000000000, 0x2b9c75b64ea4788d, x4, 608, x5) + +inst_99: +// rs1_val == 0x4738000000000000 and rs2_val == 0x045ABE77BB75156A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4738000000000000; op2val:0x45abe77bb75156a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4738000000000000, 0x45abe77bb75156a, x4, 616, x5) + +inst_100: +// rs1_val == 0x3544000000000000 and rs2_val == 0xA9CC49B7709E60F1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3544000000000000; op2val:0xa9cc49b7709e60f1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3544000000000000, 0xa9cc49b7709e60f1, x4, 624, x5) + +inst_101: +// rs1_val == 0x0932000000000000 and rs2_val == 0x75CDCD803FF7E48D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x932000000000000; op2val:0x75cdcd803ff7e48d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x932000000000000, 0x75cdcd803ff7e48d, x4, 632, x5) + +inst_102: +// rs1_val == 0xCD55000000000000 and rs2_val == 0xB2B64C4BE716713F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcd55000000000000; op2val:0xb2b64c4be716713f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xcd55000000000000, 0xb2b64c4be716713f, x4, 640, x5) + +inst_103: +// rs1_val == 0xFD42800000000000 and rs2_val == 0x6EB5568BB03FF489 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfd42800000000000; op2val:0x6eb5568bb03ff489 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfd42800000000000, 0x6eb5568bb03ff489, x4, 648, x5) + +inst_104: +// rs1_val == 0x8E8A400000000000 and rs2_val == 0x5DD1EE295C3D56E7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8e8a400000000000; op2val:0x5dd1ee295c3d56e7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8e8a400000000000, 0x5dd1ee295c3d56e7, x4, 656, x5) + +inst_105: +// rs1_val == 0x1370A00000000000 and rs2_val == 0xF19C9FDA18CCD7F7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1370a00000000000; op2val:0xf19c9fda18ccd7f7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1370a00000000000, 0xf19c9fda18ccd7f7, x4, 664, x5) + +inst_106: +// rs1_val == 0x1408B00000000000 and rs2_val == 0x74FB05DC575D258E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1408b00000000000; op2val:0x74fb05dc575d258e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1408b00000000000, 0x74fb05dc575d258e, x4, 672, x5) + +inst_107: +// rs1_val == 0xE87AF80000000000 and rs2_val == 0x9F7C62BB7CE1F4B3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe87af80000000000; op2val:0x9f7c62bb7ce1f4b3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe87af80000000000, 0x9f7c62bb7ce1f4b3, x4, 680, x5) + +inst_108: +// rs1_val == 0xDBA9040000000000 and rs2_val == 0x5D98418D6F9F34B2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdba9040000000000; op2val:0x5d98418d6f9f34b2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdba9040000000000, 0x5d98418d6f9f34b2, x4, 688, x5) + +inst_109: +// rs1_val == 0x01D61E0000000000 and rs2_val == 0xB21E137C4F832EC8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1d61e0000000000; op2val:0xb21e137c4f832ec8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1d61e0000000000, 0xb21e137c4f832ec8, x4, 696, x5) + +inst_110: +// rs1_val == 0x6E90570000000000 and rs2_val == 0xAFC08ACE244D9206 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6e90570000000000; op2val:0xafc08ace244d9206 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6e90570000000000, 0xafc08ace244d9206, x4, 704, x5) + +inst_111: +// rs1_val == 0x2E84D28000000000 and rs2_val == 0x52D700AC5B130474 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2e84d28000000000; op2val:0x52d700ac5b130474 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2e84d28000000000, 0x52d700ac5b130474, x4, 712, x5) + +inst_112: +// rs1_val == 0xC70A28C000000000 and rs2_val == 0x94A7A5EA64E77961 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc70a28c000000000; op2val:0x94a7a5ea64e77961 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc70a28c000000000, 0x94a7a5ea64e77961, x4, 720, x5) + +inst_113: +// rs1_val == 0xBAA4F32000000000 and rs2_val == 0x4FE6A6F6305E3F80 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbaa4f32000000000; op2val:0x4fe6a6f6305e3f80 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbaa4f32000000000, 0x4fe6a6f6305e3f80, x4, 728, x5) + +inst_114: +// rs1_val == 0x5C106A3000000000 and rs2_val == 0xCADB5BEC61250888 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5c106a3000000000; op2val:0xcadb5bec61250888 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5c106a3000000000, 0xcadb5bec61250888, x4, 736, x5) + +inst_115: +// rs1_val == 0x230B9F8800000000 and rs2_val == 0xCC381E1007E8BF65 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x230b9f8800000000; op2val:0xcc381e1007e8bf65 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x230b9f8800000000, 0xcc381e1007e8bf65, x4, 744, x5) + +inst_116: +// rs1_val == 0x8D60807C00000000 and rs2_val == 0x903636CF6291F0E2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8d60807c00000000; op2val:0x903636cf6291f0e2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8d60807c00000000, 0x903636cf6291f0e2, x4, 752, x5) + +inst_117: +// rs1_val == 0x2D47691A00000000 and rs2_val == 0xFAE216DC58F45328 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2d47691a00000000; op2val:0xfae216dc58f45328 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2d47691a00000000, 0xfae216dc58f45328, x4, 760, x5) + +inst_118: +// rs1_val == 0xFABF0A7B00000000 and rs2_val == 0x6AEB7DBD0A095049 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfabf0a7b00000000; op2val:0x6aeb7dbd0a095049 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfabf0a7b00000000, 0x6aeb7dbd0a095049, x4, 768, x5) + +inst_119: +// rs1_val == 0x11B6621F80000000 and rs2_val == 0x6F6E71B73344CBB0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x11b6621f80000000; op2val:0x6f6e71b73344cbb0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x11b6621f80000000, 0x6f6e71b73344cbb0, x4, 776, x5) + +inst_120: +// rs1_val == 0x486E4B47C0000000 and rs2_val == 0x440D8382E2ED8971 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x486e4b47c0000000; op2val:0x440d8382e2ed8971 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x486e4b47c0000000, 0x440d8382e2ed8971, x4, 784, x5) + +inst_121: +// rs1_val == 0x60E99D0E60000000 and rs2_val == 0xB1B0D8FE00F1F68E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x60e99d0e60000000; op2val:0xb1b0d8fe00f1f68e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x60e99d0e60000000, 0xb1b0d8fe00f1f68e, x4, 792, x5) + +inst_122: +// rs1_val == 0xF547AF48F0000000 and rs2_val == 0x855EFA7448F71CB0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf547af48f0000000; op2val:0x855efa7448f71cb0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf547af48f0000000, 0x855efa7448f71cb0, x4, 800, x5) + +inst_123: +// rs1_val == 0x9254A04D98000000 and rs2_val == 0x0BC66A8ADF880B11 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9254a04d98000000; op2val:0xbc66a8adf880b11 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9254a04d98000000, 0xbc66a8adf880b11, x4, 808, x5) + +inst_124: +// rs1_val == 0xADF58064EC000000 and rs2_val == 0x29828207BD230058 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xadf58064ec000000; op2val:0x29828207bd230058 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xadf58064ec000000, 0x29828207bd230058, x4, 816, x5) + +inst_125: +// rs1_val == 0x01DAE23576000000 and rs2_val == 0x80904B4C86F90255 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1dae23576000000; op2val:0x80904b4c86f90255 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1dae23576000000, 0x80904b4c86f90255, x4, 824, x5) + +inst_126: +// rs1_val == 0xB1FF9D608B000000 and rs2_val == 0x27A1689480EBE557 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb1ff9d608b000000; op2val:0x27a1689480ebe557 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb1ff9d608b000000, 0x27a1689480ebe557, x4, 832, x5) + +inst_127: +// rs1_val == 0x179FAFE7FB800000 and rs2_val == 0xFBDB4DE81DB6027C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x179fafe7fb800000; op2val:0xfbdb4de81db6027c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x179fafe7fb800000, 0xfbdb4de81db6027c, x4, 840, x5) + +inst_128: +// rs1_val == 0xFBCF76282C400000 and rs2_val == 0x805C2F63023590F7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfbcf76282c400000; op2val:0x805c2f63023590f7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfbcf76282c400000, 0x805c2f63023590f7, x4, 848, x5) + +inst_129: +// rs1_val == 0xE9FD4B63C2200000 and rs2_val == 0x577F8847CD26CE1C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe9fd4b63c2200000; op2val:0x577f8847cd26ce1c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe9fd4b63c2200000, 0x577f8847cd26ce1c, x4, 856, x5) + +inst_130: +// rs1_val == 0x42DC43547B700000 and rs2_val == 0xF29C583101B56748 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x42dc43547b700000; op2val:0xf29c583101b56748 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x42dc43547b700000, 0xf29c583101b56748, x4, 864, x5) + +inst_131: +// rs1_val == 0xED4764DD0CC80000 and rs2_val == 0x2E9115B65D3BBCE0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xed4764dd0cc80000; op2val:0x2e9115b65d3bbce0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xed4764dd0cc80000, 0x2e9115b65d3bbce0, x4, 872, x5) + +inst_132: +// rs1_val == 0x51B0F5AB96FC0000 and rs2_val == 0x2AE568BAFD1032E8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x51b0f5ab96fc0000; op2val:0x2ae568bafd1032e8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x51b0f5ab96fc0000, 0x2ae568bafd1032e8, x4, 880, x5) + +inst_133: +// rs1_val == 0xA544ADE7DDE60000 and rs2_val == 0xDB04A838F57083EF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa544ade7dde60000; op2val:0xdb04a838f57083ef +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa544ade7dde60000, 0xdb04a838f57083ef, x4, 888, x5) + +inst_134: +// rs1_val == 0x925C46CBD3570000 and rs2_val == 0x5E4945ED75923260 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x925c46cbd3570000; op2val:0x5e4945ed75923260 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x925c46cbd3570000, 0x5e4945ed75923260, x4, 896, x5) + +inst_135: +// rs1_val == 0x1AE589FB47F98000 and rs2_val == 0x096EBEC44616E73D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1ae589fb47f98000; op2val:0x96ebec44616e73d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1ae589fb47f98000, 0x96ebec44616e73d, x4, 904, x5) + +inst_136: +// rs1_val == 0x1D2ACD14F9C74000 and rs2_val == 0x387BE8B88CCAEC71 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1d2acd14f9c74000; op2val:0x387be8b88ccaec71 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1d2acd14f9c74000, 0x387be8b88ccaec71, x4, 912, x5) + +inst_137: +// rs1_val == 0x9C9D5A527952A000 and rs2_val == 0x0B21BBBA6D5FCD18 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9c9d5a527952a000; op2val:0xb21bbba6d5fcd18 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9c9d5a527952a000, 0xb21bbba6d5fcd18, x4, 920, x5) + +inst_138: +// rs1_val == 0x2B20055D1CE93000 and rs2_val == 0x073465B82DEDB6A7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2b20055d1ce93000; op2val:0x73465b82dedb6a7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2b20055d1ce93000, 0x73465b82dedb6a7, x4, 928, x5) + +inst_139: +// rs1_val == 0xAE39ED63E301E800 and rs2_val == 0x409BD3051D241ED6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xae39ed63e301e800; op2val:0x409bd3051d241ed6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xae39ed63e301e800, 0x409bd3051d241ed6, x4, 936, x5) + +inst_140: +// rs1_val == 0xD7F893C3AC86AC00 and rs2_val == 0xB0AB577ADDBAD0B1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd7f893c3ac86ac00; op2val:0xb0ab577addbad0b1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd7f893c3ac86ac00, 0xb0ab577addbad0b1, x4, 944, x5) + +inst_141: +// rs1_val == 0x19B3BFF3DE167A00 and rs2_val == 0x42F5D75EA9E16E27 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x19b3bff3de167a00; op2val:0x42f5d75ea9e16e27 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x19b3bff3de167a00, 0x42f5d75ea9e16e27, x4, 952, x5) + +inst_142: +// rs1_val == 0xF09825A406BF0D00 and rs2_val == 0xF7AB62A81529755D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf09825a406bf0d00; op2val:0xf7ab62a81529755d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf09825a406bf0d00, 0xf7ab62a81529755d, x4, 960, x5) + +inst_143: +// rs1_val == 0x01555A450E079180 and rs2_val == 0x8A88C0676273ED06 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1555a450e079180; op2val:0x8a88c0676273ed06 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1555a450e079180, 0x8a88c0676273ed06, x4, 968, x5) + +inst_144: +// rs1_val == 0x532E2CC5D2955440 and rs2_val == 0xF8A885186C5744BC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x532e2cc5d2955440; op2val:0xf8a885186c5744bc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x532e2cc5d2955440, 0xf8a885186c5744bc, x4, 976, x5) + +inst_145: +// rs1_val == 0xFB7523B06EC13320 and rs2_val == 0x8248F803A97BCC25 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfb7523b06ec13320; op2val:0x8248f803a97bcc25 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfb7523b06ec13320, 0x8248f803a97bcc25, x4, 984, x5) + +inst_146: +// rs1_val == 0x382CC710F0F1C6B0 and rs2_val == 0x331B2FB3D19E3224 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x382cc710f0f1c6b0; op2val:0x331b2fb3d19e3224 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x382cc710f0f1c6b0, 0x331b2fb3d19e3224, x4, 992, x5) + +inst_147: +// rs1_val == 0x4C52CFC2F0F54BC8 and rs2_val == 0xE7EDD86756F547AB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4c52cfc2f0f54bc8; op2val:0xe7edd86756f547ab +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4c52cfc2f0f54bc8, 0xe7edd86756f547ab, x4, 1000, x5) + +inst_148: +// rs1_val == 0x3DCBE16292D9BE34 and rs2_val == 0xFA60DBD625329041 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3dcbe16292d9be34; op2val:0xfa60dbd625329041 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3dcbe16292d9be34, 0xfa60dbd625329041, x4, 1008, x5) + +inst_149: +// rs1_val == 0xFE4544F6058F7FE6 and rs2_val == 0x7B87A9E25FEFE911 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfe4544f6058f7fe6; op2val:0x7b87a9e25fefe911 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfe4544f6058f7fe6, 0x7b87a9e25fefe911, x4, 1016, x5) + +inst_150: +// rs1_val == 0x44DCDA6A797D76DF and rs2_val == 0x248174E5598B88DB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x44dcda6a797d76df; op2val:0x248174e5598b88db +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x44dcda6a797d76df, 0x248174e5598b88db, x4, 1024, x5) + +inst_151: +// rs2_val == 0x7AF0702B7727BD62 and rs1_val == 0x33BFB0E80AAB4E37 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x33bfb0e80aab4e37; op2val:0x7af0702b7727bd62 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x33bfb0e80aab4e37, 0x7af0702b7727bd62, x4, 1032, x5) + +inst_152: +// rs2_val == 0xEF6855EDB3AA58E5 and rs1_val == 0xDEDBF20A8F64B9BC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdedbf20a8f64b9bc; op2val:0xef6855edb3aa58e5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdedbf20a8f64b9bc, 0xef6855edb3aa58e5, x4, 1040, x5) + +inst_153: +// rs2_val == 0xB93AC7EE3D56AC8B and rs1_val == 0x070202441D19DF81 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x70202441d19df81; op2val:0xb93ac7ee3d56ac8b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x70202441d19df81, 0xb93ac7ee3d56ac8b, x4, 1048, x5) + +inst_154: +// rs2_val == 0xCC31D1DCB5FF09A7 and rs1_val == 0xA3A304857D3AED38 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa3a304857d3aed38; op2val:0xcc31d1dcb5ff09a7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa3a304857d3aed38, 0xcc31d1dcb5ff09a7, x4, 1056, x5) + +inst_155: +// rs2_val == 0xEF900FB814D5348F and rs1_val == 0x0368A58382283AEE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x368a58382283aee; op2val:0xef900fb814d5348f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x368a58382283aee, 0xef900fb814d5348f, x4, 1064, x5) + +inst_156: +// rs2_val == 0x7D572379F81A1E9F and rs1_val == 0xA0FA6A24963AB5D7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa0fa6a24963ab5d7; op2val:0x7d572379f81a1e9f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa0fa6a24963ab5d7, 0x7d572379f81a1e9f, x4, 1072, x5) + +inst_157: +// rs2_val == 0xF5858BCCDD9329BF and rs1_val == 0x1606F8CC740E023D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1606f8cc740e023d; op2val:0xf5858bccdd9329bf +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1606f8cc740e023d, 0xf5858bccdd9329bf, x4, 1080, x5) + +inst_158: +// rs2_val == 0xEA7BD40266EEF97F and rs1_val == 0x81294A71DFE4C7A5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x81294a71dfe4c7a5; op2val:0xea7bd40266eef97f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x81294a71dfe4c7a5, 0xea7bd40266eef97f, x4, 1088, x5) + +inst_159: +// rs2_val == 0xB95BF2E9865292FF and rs1_val == 0x9CA99ACFAF698A52 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9ca99acfaf698a52; op2val:0xb95bf2e9865292ff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9ca99acfaf698a52, 0xb95bf2e9865292ff, x4, 1096, x5) + +inst_160: +// rs2_val == 0x097CEAE809FC3DFF and rs1_val == 0x0440EA57B2FE4FC2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x440ea57b2fe4fc2; op2val:0x97ceae809fc3dff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x440ea57b2fe4fc2, 0x97ceae809fc3dff, x4, 1104, x5) + +inst_161: +// rs2_val == 0x67212B9D64092BFF and rs1_val == 0x6C456BFCE10E43D4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6c456bfce10e43d4; op2val:0x67212b9d64092bff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6c456bfce10e43d4, 0x67212b9d64092bff, x4, 1112, x5) + +inst_162: +// rs2_val == 0x9DA9065DD92F97FF and rs1_val == 0x64AD8CD4914AAD80 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x64ad8cd4914aad80; op2val:0x9da9065dd92f97ff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x64ad8cd4914aad80, 0x9da9065dd92f97ff, x4, 1120, x5) + +inst_163: +// rs2_val == 0xBD7A505430D04FFF and rs1_val == 0x757E5228E88130BA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x757e5228e88130ba; op2val:0xbd7a505430d04fff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x757e5228e88130ba, 0xbd7a505430d04fff, x4, 1128, x5) + +inst_164: +// rs2_val == 0x6CB1BE29E6371FFF and rs1_val == 0xC6592AAD0AE95557 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc6592aad0ae95557; op2val:0x6cb1be29e6371fff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc6592aad0ae95557, 0x6cb1be29e6371fff, x4, 1136, x5) + +inst_165: +// rs2_val == 0x6F3B6FA6F8DD3FFF and rs1_val == 0x7FB1AC680B72BB91 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7fb1ac680b72bb91; op2val:0x6f3b6fa6f8dd3fff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7fb1ac680b72bb91, 0x6f3b6fa6f8dd3fff, x4, 1144, x5) + +inst_166: +// rs2_val == 0x249808D0BE5F7FFF and rs1_val == 0x06E1DD67303CA1D0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6e1dd67303ca1d0; op2val:0x249808d0be5f7fff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6e1dd67303ca1d0, 0x249808d0be5f7fff, x4, 1152, x5) + +inst_167: +// rs2_val == 0x1A5B9CCD70C2FFFF and rs1_val == 0xB8F66A4F832CBAFF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb8f66a4f832cbaff; op2val:0x1a5b9ccd70c2ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb8f66a4f832cbaff, 0x1a5b9ccd70c2ffff, x4, 1160, x5) + +inst_168: +// rs2_val == 0xF4EE2270D581FFFF and rs1_val == 0xC8738F370C99C902 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc8738f370c99c902; op2val:0xf4ee2270d581ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc8738f370c99c902, 0xf4ee2270d581ffff, x4, 1168, x5) + +inst_169: +// rs2_val == 0x8E2B5C7E1493FFFF and rs1_val == 0x66A4E1F83E0AD875 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x66a4e1f83e0ad875; op2val:0x8e2b5c7e1493ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x66a4e1f83e0ad875, 0x8e2b5c7e1493ffff, x4, 1176, x5) + +inst_170: +// rs2_val == 0x355695E68D37FFFF and rs1_val == 0x6673663C6DD54CB4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6673663c6dd54cb4; op2val:0x355695e68d37ffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6673663c6dd54cb4, 0x355695e68d37ffff, x4, 1184, x5) + +inst_171: +// rs2_val == 0xF4F31A2BCC6FFFFF and rs1_val == 0x7DE7F4261F893D02 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7de7f4261f893d02; op2val:0xf4f31a2bcc6fffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7de7f4261f893d02, 0xf4f31a2bcc6fffff, x4, 1192, x5) + +inst_172: +// rs2_val == 0x28F20E42BDDFFFFF and rs1_val == 0xF17DC31D6D64E7AD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf17dc31d6d64e7ad; op2val:0x28f20e42bddfffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf17dc31d6d64e7ad, 0x28f20e42bddfffff, x4, 1200, x5) + +inst_173: +// rs2_val == 0x5415A0435CBFFFFF and rs1_val == 0x1B607A8D3161B010 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1b607a8d3161b010; op2val:0x5415a0435cbfffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1b607a8d3161b010, 0x5415a0435cbfffff, x4, 1208, x5) + +inst_174: +// rs2_val == 0x590C01C43E7FFFFF and rs1_val == 0x04EF06236FB0F1C0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4ef06236fb0f1c0; op2val:0x590c01c43e7fffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4ef06236fb0f1c0, 0x590c01c43e7fffff, x4, 1216, x5) + +inst_175: +// rs2_val == 0x004FB0B2B4FFFFFF and rs1_val == 0x853ED27FA1D02D4D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x853ed27fa1d02d4d; op2val:0x4fb0b2b4ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x853ed27fa1d02d4d, 0x4fb0b2b4ffffff, x4, 1224, x5) + +inst_176: +// rs2_val == 0x03EB3F6C71FFFFFF and rs1_val == 0xEB9A53986BE07552 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xeb9a53986be07552; op2val:0x3eb3f6c71ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xeb9a53986be07552, 0x3eb3f6c71ffffff, x4, 1232, x5) + +inst_177: +// rs2_val == 0xE30AA425B3FFFFFF and rs1_val == 0xD183808ADB0BBA39 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd183808adb0bba39; op2val:0xe30aa425b3ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd183808adb0bba39, 0xe30aa425b3ffffff, x4, 1240, x5) + +inst_178: +// rs2_val == 0xD756C0F057FFFFFF and rs1_val == 0x1FF934CB5D1139A4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1ff934cb5d1139a4; op2val:0xd756c0f057ffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1ff934cb5d1139a4, 0xd756c0f057ffffff, x4, 1248, x5) + +inst_179: +// rs2_val == 0xB5BB3B242FFFFFFF and rs1_val == 0x3BBC81CE7A44C8AD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3bbc81ce7a44c8ad; op2val:0xb5bb3b242fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3bbc81ce7a44c8ad, 0xb5bb3b242fffffff, x4, 1256, x5) + +inst_180: +// rs2_val == 0xE52FB0819FFFFFFF and rs1_val == 0x8B89800093547E48 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8b89800093547e48; op2val:0xe52fb0819fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8b89800093547e48, 0xe52fb0819fffffff, x4, 1264, x5) + +inst_181: +// rs2_val == 0x710733FE3FFFFFFF and rs1_val == 0x1AB5FFE4994902CA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1ab5ffe4994902ca; op2val:0x710733fe3fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1ab5ffe4994902ca, 0x710733fe3fffffff, x4, 1272, x5) + +inst_182: +// rs2_val == 0xCEE6C9487FFFFFFF and rs1_val == 0x19A55FA94E2E7447 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x19a55fa94e2e7447; op2val:0xcee6c9487fffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x19a55fa94e2e7447, 0xcee6c9487fffffff, x4, 1280, x5) + +inst_183: +// rs2_val == 0x1E903AD2FFFFFFFF and rs1_val == 0xC0A83D81F7192179 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc0a83d81f7192179; op2val:0x1e903ad2ffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc0a83d81f7192179, 0x1e903ad2ffffffff, x4, 1288, x5) + +inst_184: +// rs2_val == 0xA6E915BDFFFFFFFF and rs1_val == 0x292268C615A03321 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x292268c615a03321; op2val:0xa6e915bdffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x292268c615a03321, 0xa6e915bdffffffff, x4, 1296, x5) + +inst_185: +// rs2_val == 0xBE4349E3FFFFFFFF and rs1_val == 0x36370FCCB733640C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x36370fccb733640c; op2val:0xbe4349e3ffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x36370fccb733640c, 0xbe4349e3ffffffff, x4, 1304, x5) + +inst_186: +// rs2_val == 0x3EA485F7FFFFFFFF and rs1_val == 0xE820BA0F6AEDE476 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe820ba0f6aede476; op2val:0x3ea485f7ffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe820ba0f6aede476, 0x3ea485f7ffffffff, x4, 1312, x5) + +inst_187: +// rs2_val == 0xC680322FFFFFFFFF and rs1_val == 0x4E0AA5616D2DB9E3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4e0aa5616d2db9e3; op2val:0xc680322fffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4e0aa5616d2db9e3, 0xc680322fffffffff, x4, 1320, x5) + +inst_188: +// rs2_val == 0x9EF4CE9FFFFFFFFF and rs1_val == 0x2C9AAA4CBFFB6C5C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2c9aaa4cbffb6c5c; op2val:0x9ef4ce9fffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2c9aaa4cbffb6c5c, 0x9ef4ce9fffffffff, x4, 1328, x5) + +inst_189: +// rs2_val == 0x83653EBFFFFFFFFF and rs1_val == 0x1C8073CF27B012A3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1c8073cf27b012a3; op2val:0x83653ebfffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1c8073cf27b012a3, 0x83653ebfffffffff, x4, 1336, x5) + +inst_190: +// rs2_val == 0x6FFD7D7FFFFFFFFF and rs1_val == 0xCC11F70E14A7DD83 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcc11f70e14a7dd83; op2val:0x6ffd7d7fffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xcc11f70e14a7dd83, 0x6ffd7d7fffffffff, x4, 1344, x5) + +inst_191: +// rs2_val == 0x365EE0FFFFFFFFFF and rs1_val == 0x0C9DC3EC2F6AA770 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc9dc3ec2f6aa770; op2val:0x365ee0ffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc9dc3ec2f6aa770, 0x365ee0ffffffffff, x4, 1352, x5) + +inst_192: +// rs2_val == 0x1CE551FFFFFFFFFF and rs1_val == 0x8DF80A8D112154CC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8df80a8d112154cc; op2val:0x1ce551ffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8df80a8d112154cc, 0x1ce551ffffffffff, x4, 1360, x5) + +inst_193: +// rs2_val == 0xA10263FFFFFFFFFF and rs1_val == 0xE05474E1F96EAFFA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe05474e1f96eaffa; op2val:0xa10263ffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe05474e1f96eaffa, 0xa10263ffffffffff, x4, 1368, x5) + +inst_194: +// rs2_val == 0x4CC607FFFFFFFFFF and rs1_val == 0x4B6AE0AB8FBFF9BA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4b6ae0ab8fbff9ba; op2val:0x4cc607ffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4b6ae0ab8fbff9ba, 0x4cc607ffffffffff, x4, 1376, x5) + +inst_195: +// rs2_val == 0x00D36FFFFFFFFFFF and rs1_val == 0x884A2AF82552FBF9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x884a2af82552fbf9; op2val:0xd36fffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x884a2af82552fbf9, 0xd36fffffffffff, x4, 1384, x5) + +inst_196: +// rs2_val == 0x7B40DFFFFFFFFFFF and rs1_val == 0x1475D76C0F99D8BC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1475d76c0f99d8bc; op2val:0x7b40dfffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1475d76c0f99d8bc, 0x7b40dfffffffffff, x4, 1392, x5) + +inst_197: +// rs2_val == 0x6A0F3FFFFFFFFFFF and rs1_val == 0x729D8FD2B68C8FCE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x729d8fd2b68c8fce; op2val:0x6a0f3fffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x729d8fd2b68c8fce, 0x6a0f3fffffffffff, x4, 1400, x5) + +inst_198: +// rs2_val == 0x1FE47FFFFFFFFFFF and rs1_val == 0xC407E2A913E5CF6B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc407e2a913e5cf6b; op2val:0x1fe47fffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc407e2a913e5cf6b, 0x1fe47fffffffffff, x4, 1408, x5) + +inst_199: +// rs2_val == 0xDBEEFFFFFFFFFFFF and rs1_val == 0x5697EBD547CD73ED +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5697ebd547cd73ed; op2val:0xdbeeffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5697ebd547cd73ed, 0xdbeeffffffffffff, x4, 1416, x5) + +inst_200: +// rs2_val == 0x9269FFFFFFFFFFFF and rs1_val == 0x69F35DA38364A709 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x69f35da38364a709; op2val:0x9269ffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x69f35da38364a709, 0x9269ffffffffffff, x4, 1424, x5) + +inst_201: +// rs2_val == 0x93FBFFFFFFFFFFFF and rs1_val == 0x9230A15AD0917142 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9230a15ad0917142; op2val:0x93fbffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9230a15ad0917142, 0x93fbffffffffffff, x4, 1432, x5) + +inst_202: +// rs2_val == 0x1AB7FFFFFFFFFFFF and rs1_val == 0xE057776C76427709 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe057776c76427709; op2val:0x1ab7ffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe057776c76427709, 0x1ab7ffffffffffff, x4, 1440, x5) + +inst_203: +// rs2_val == 0x222FFFFFFFFFFFFF and rs1_val == 0xB9E861C820176DBE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb9e861c820176dbe; op2val:0x222fffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb9e861c820176dbe, 0x222fffffffffffff, x4, 1448, x5) + +inst_204: +// rs2_val == 0x489FFFFFFFFFFFFF and rs1_val == 0x17C5DA8E9738BFB9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x17c5da8e9738bfb9; op2val:0x489fffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x17c5da8e9738bfb9, 0x489fffffffffffff, x4, 1456, x5) + +inst_205: +// rs2_val == 0x5A3FFFFFFFFFFFFF and rs1_val == 0xB888D0205843990B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb888d0205843990b; op2val:0x5a3fffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb888d0205843990b, 0x5a3fffffffffffff, x4, 1464, x5) + +inst_206: +// rs2_val == 0xEA7FFFFFFFFFFFFF and rs1_val == 0xEE8EB1B125FB16B4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xee8eb1b125fb16b4; op2val:0xea7fffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xee8eb1b125fb16b4, 0xea7fffffffffffff, x4, 1472, x5) + +inst_207: +// rs2_val == 0x82FFFFFFFFFFFFFF and rs1_val == 0x0341EA23BD94509C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x341ea23bd94509c; op2val:0x82ffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x341ea23bd94509c, 0x82ffffffffffffff, x4, 1480, x5) + +inst_208: +// rs2_val == 0xB1FFFFFFFFFFFFFF and rs1_val == 0x6D5C2871FE183D04 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6d5c2871fe183d04; op2val:0xb1ffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6d5c2871fe183d04, 0xb1ffffffffffffff, x4, 1488, x5) + +inst_209: +// rs2_val == 0xC3FFFFFFFFFFFFFF and rs1_val == 0x9BAE401E386EF954 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9bae401e386ef954; op2val:0xc3ffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9bae401e386ef954, 0xc3ffffffffffffff, x4, 1496, x5) + +inst_210: +// rs2_val == 0x87FFFFFFFFFFFFFF and rs1_val == 0x9241B7ED0274846B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9241b7ed0274846b; op2val:0x87ffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9241b7ed0274846b, 0x87ffffffffffffff, x4, 1504, x5) + +inst_211: +// rs2_val == 0x8FFFFFFFFFFFFFFF and rs1_val == 0x3E610134180C5DB5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3e610134180c5db5; op2val:0x8fffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3e610134180c5db5, 0x8fffffffffffffff, x4, 1512, x5) + +inst_212: +// rs2_val == 0x9FFFFFFFFFFFFFFF and rs1_val == 0x39C88EC041E5A5DE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x39c88ec041e5a5de; op2val:0x9fffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x39c88ec041e5a5de, 0x9fffffffffffffff, x4, 1520, x5) + +inst_213: +// rs2_val == 0xBFFFFFFFFFFFFFFF and rs1_val == 0xA67CE511275BBF80 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa67ce511275bbf80; op2val:0xbfffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa67ce511275bbf80, 0xbfffffffffffffff, x4, 1528, x5) + +inst_214: +// rs2_val == 0x7FFFFFFFFFFFFFFF and rs1_val == 0x24B6B671AE5FDCBB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x24b6b671ae5fdcbb; op2val:0x7fffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x24b6b671ae5fdcbb, 0x7fffffffffffffff, x4, 1536, x5) + +inst_215: +// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0x6DAE4547B0F94BB3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6dae4547b0f94bb3; op2val:0xffffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6dae4547b0f94bb3, 0xffffffffffffffff, x4, 1544, x5) + +inst_216: +// rs1_val == 0x0168EF241A5632E0 and rs2_val == 0x220746CC96C03042 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x168ef241a5632e0; op2val:0x220746cc96c03042 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x168ef241a5632e0, 0x220746cc96c03042, x4, 1552, x5) + +inst_217: +// rs1_val == 0x30D2EC9FD4D686CD and rs2_val == 0x2DC04D358033ADA7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x30d2ec9fd4d686cd; op2val:0x2dc04d358033ada7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x30d2ec9fd4d686cd, 0x2dc04d358033ada7, x4, 1560, x5) + +inst_218: +// rs1_val == 0xC42A14B631A3CF7B and rs2_val == 0xB6CF3DE46025666D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc42a14b631a3cf7b; op2val:0xb6cf3de46025666d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc42a14b631a3cf7b, 0xb6cf3de46025666d, x4, 1568, x5) + +inst_219: +// rs1_val == 0x27B2BDDA42EE9AA7 and rs2_val == 0x8964663CF541AB61 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x27b2bdda42ee9aa7; op2val:0x8964663cf541ab61 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x27b2bdda42ee9aa7, 0x8964663cf541ab61, x4, 1576, x5) + +inst_220: +// rs1_val == 0x2815A9156A8877CF and rs2_val == 0x7BEA8A85CD09E875 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2815a9156a8877cf; op2val:0x7bea8a85cd09e875 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2815a9156a8877cf, 0x7bea8a85cd09e875, x4, 1584, x5) + +inst_221: +// rs1_val == 0xFE0022C2EFEFFC5F and rs2_val == 0xDA80DDEFF3D107A2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfe0022c2efeffc5f; op2val:0xda80ddeff3d107a2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfe0022c2efeffc5f, 0xda80ddeff3d107a2, x4, 1592, x5) + +inst_222: +// rs1_val == 0x424F6311883EAD3F and rs2_val == 0x54FAD64046B88C5E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x424f6311883ead3f; op2val:0x54fad64046b88c5e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x424f6311883ead3f, 0x54fad64046b88c5e, x4, 1600, x5) + +inst_223: +// rs1_val == 0x4D0957FB733F597F and rs2_val == 0x86BDDB7C00F0A572 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4d0957fb733f597f; op2val:0x86bddb7c00f0a572 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4d0957fb733f597f, 0x86bddb7c00f0a572, x4, 1608, x5) + +inst_224: +// rs1_val == 0x448BFE1163DD2CFF and rs2_val == 0xC8EA69A8269E1925 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x448bfe1163dd2cff; op2val:0xc8ea69a8269e1925 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x448bfe1163dd2cff, 0xc8ea69a8269e1925, x4, 1616, x5) + +inst_225: +// rs1_val == 0x67DFCA774EF73DFF and rs2_val == 0x633F9E36FF03170E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x67dfca774ef73dff; op2val:0x633f9e36ff03170e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x67dfca774ef73dff, 0x633f9e36ff03170e, x4, 1624, x5) + +inst_226: +// rs1_val == 0xC312E040EB1873FF and rs2_val == 0x1C0290060A710087 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc312e040eb1873ff; op2val:0x1c0290060a710087 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc312e040eb1873ff, 0x1c0290060a710087, x4, 1632, x5) + +inst_227: +// rs1_val == 0x9F440F98291917FF and rs2_val == 0xB36964F8B74D1614 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9f440f98291917ff; op2val:0xb36964f8b74d1614 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9f440f98291917ff, 0xb36964f8b74d1614, x4, 1640, x5) + +inst_228: +// rs1_val == 0x5569DAB7FFE78FFF and rs2_val == 0xA09C74E3CF1EC7BA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5569dab7ffe78fff; op2val:0xa09c74e3cf1ec7ba +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5569dab7ffe78fff, 0xa09c74e3cf1ec7ba, x4, 1648, x5) + +inst_229: +// rs1_val == 0x03FD3B8CBA185FFF and rs2_val == 0xDDC89919457288A2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3fd3b8cba185fff; op2val:0xddc89919457288a2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3fd3b8cba185fff, 0xddc89919457288a2, x4, 1656, x5) + +inst_230: +// rs1_val == 0x0D5574B45F67BFFF and rs2_val == 0x07C8ADBBEE84A991 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd5574b45f67bfff; op2val:0x7c8adbbee84a991 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd5574b45f67bfff, 0x7c8adbbee84a991, x4, 1664, x5) + +inst_231: +// rs1_val == 0x8BA43677F63C7FFF and rs2_val == 0x42C1BE6C4C4006C3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8ba43677f63c7fff; op2val:0x42c1be6c4c4006c3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8ba43677f63c7fff, 0x42c1be6c4c4006c3, x4, 1672, x5) + +inst_232: +// rs1_val == 0x231D058C9D02FFFF and rs2_val == 0x4CACE19165844C17 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x231d058c9d02ffff; op2val:0x4cace19165844c17 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x231d058c9d02ffff, 0x4cace19165844c17, x4, 1680, x5) + +inst_233: +// rs1_val == 0x8C0F5CBFD6F1FFFF and rs2_val == 0x0D4C58ABE5C7946A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8c0f5cbfd6f1ffff; op2val:0xd4c58abe5c7946a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8c0f5cbfd6f1ffff, 0xd4c58abe5c7946a, x4, 1688, x5) + +inst_234: +// rs1_val == 0xB7E7669E0C03FFFF and rs2_val == 0x9EDFCC1B64A14081 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb7e7669e0c03ffff; op2val:0x9edfcc1b64a14081 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb7e7669e0c03ffff, 0x9edfcc1b64a14081, x4, 1696, x5) + +inst_235: +// rs1_val == 0x4399EC2A5857FFFF and rs2_val == 0xD24F0724CD41CAD2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4399ec2a5857ffff; op2val:0xd24f0724cd41cad2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4399ec2a5857ffff, 0xd24f0724cd41cad2, x4, 1704, x5) + +inst_236: +// rs1_val == 0x7A9AC0A716EFFFFF and rs2_val == 0x5F249E4DEE8F948A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7a9ac0a716efffff; op2val:0x5f249e4dee8f948a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7a9ac0a716efffff, 0x5f249e4dee8f948a, x4, 1712, x5) + +inst_237: +// rs1_val == 0x0143AC65E6DFFFFF and rs2_val == 0xAE7C7AE4DE38D7E2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x143ac65e6dfffff; op2val:0xae7c7ae4de38d7e2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x143ac65e6dfffff, 0xae7c7ae4de38d7e2, x4, 1720, x5) + +inst_238: +// rs1_val == 0xE31F9713E4BFFFFF and rs2_val == 0xBD5A3C088B9F8591 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe31f9713e4bfffff; op2val:0xbd5a3c088b9f8591 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe31f9713e4bfffff, 0xbd5a3c088b9f8591, x4, 1728, x5) + +inst_239: +// rs1_val == 0xCDBD527DF77FFFFF and rs2_val == 0x529D62B60C96A183 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcdbd527df77fffff; op2val:0x529d62b60c96a183 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xcdbd527df77fffff, 0x529d62b60c96a183, x4, 1736, x5) + +inst_240: +// rs1_val == 0x59DDE3310AFFFFFF and rs2_val == 0x4BA4996688F931F4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x59dde3310affffff; op2val:0x4ba4996688f931f4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x59dde3310affffff, 0x4ba4996688f931f4, x4, 1744, x5) + +inst_241: +// rs1_val == 0xE05E8C5F95FFFFFF and rs2_val == 0xFD670591955235A4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe05e8c5f95ffffff; op2val:0xfd670591955235a4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe05e8c5f95ffffff, 0xfd670591955235a4, x4, 1752, x5) + +inst_242: +// rs1_val == 0x19835A0D23FFFFFF and rs2_val == 0x7DABB7005C6C32A5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x19835a0d23ffffff; op2val:0x7dabb7005c6c32a5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x19835a0d23ffffff, 0x7dabb7005c6c32a5, x4, 1760, x5) + +inst_243: +// rs1_val == 0x636A75E397FFFFFF and rs2_val == 0x088B3E9E4A7A5C05 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x636a75e397ffffff; op2val:0x88b3e9e4a7a5c05 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x636a75e397ffffff, 0x88b3e9e4a7a5c05, x4, 1768, x5) + +inst_244: +// rs1_val == 0x280088DBCFFFFFFF and rs2_val == 0x4ED6242837E0DE00 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x280088dbcfffffff; op2val:0x4ed6242837e0de00 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x280088dbcfffffff, 0x4ed6242837e0de00, x4, 1776, x5) + +inst_245: +// rs1_val == 0x4A18738A1FFFFFFF and rs2_val == 0x1CA7BD1F0D770F3C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4a18738a1fffffff; op2val:0x1ca7bd1f0d770f3c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4a18738a1fffffff, 0x1ca7bd1f0d770f3c, x4, 1784, x5) + +inst_246: +// rs1_val == 0xFDD2CB403FFFFFFF and rs2_val == 0x63C2504C8DFC2307 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfdd2cb403fffffff; op2val:0x63c2504c8dfc2307 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfdd2cb403fffffff, 0x63c2504c8dfc2307, x4, 1792, x5) + +inst_247: +// rs1_val == 0xC61B1FBF7FFFFFFF and rs2_val == 0x30BFF1924E6EE408 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc61b1fbf7fffffff; op2val:0x30bff1924e6ee408 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc61b1fbf7fffffff, 0x30bff1924e6ee408, x4, 1800, x5) + +inst_248: +// rs1_val == 0x0BACFC76FFFFFFFF and rs2_val == 0xEBDA5A4F998D1525 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbacfc76ffffffff; op2val:0xebda5a4f998d1525 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbacfc76ffffffff, 0xebda5a4f998d1525, x4, 1808, x5) + +inst_249: +// rs1_val == 0x65CB60BDFFFFFFFF and rs2_val == 0x1635B51A75EE935F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x65cb60bdffffffff; op2val:0x1635b51a75ee935f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x65cb60bdffffffff, 0x1635b51a75ee935f, x4, 1816, x5) + +inst_250: +// rs1_val == 0xA4053173FFFFFFFF and rs2_val == 0x6CE859BB59CF4BB2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa4053173ffffffff; op2val:0x6ce859bb59cf4bb2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa4053173ffffffff, 0x6ce859bb59cf4bb2, x4, 1824, x5) + +inst_251: +// rs1_val == 0x3E87B427FFFFFFFF and rs2_val == 0x499006C897933E6C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3e87b427ffffffff; op2val:0x499006c897933e6c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3e87b427ffffffff, 0x499006c897933e6c, x4, 1832, x5) + +inst_252: +// rs1_val == 0x6CC30F6FFFFFFFFF and rs2_val == 0x3C5B3EEE9687F28F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6cc30f6fffffffff; op2val:0x3c5b3eee9687f28f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6cc30f6fffffffff, 0x3c5b3eee9687f28f, x4, 1840, x5) + +inst_253: +// rs1_val == 0x25784F5FFFFFFFFF and rs2_val == 0xD95FD86AD2FE2E7E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x25784f5fffffffff; op2val:0xd95fd86ad2fe2e7e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x25784f5fffffffff, 0xd95fd86ad2fe2e7e, x4, 1848, x5) + +inst_254: +// rs1_val == 0x27B23DBFFFFFFFFF and rs2_val == 0xE91014A0B6D75E84 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x27b23dbfffffffff; op2val:0xe91014a0b6d75e84 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x27b23dbfffffffff, 0xe91014a0b6d75e84, x4, 1856, x5) + +inst_255: +// rs1_val == 0xD5A2037FFFFFFFFF and rs2_val == 0x01769A3C092936E8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd5a2037fffffffff; op2val:0x1769a3c092936e8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd5a2037fffffffff, 0x1769a3c092936e8, x4, 1864, x5) + +inst_256: +// rs1_val == 0xE81FDCFFFFFFFFFF and rs2_val == 0x85A300E0784ABEBB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe81fdcffffffffff; op2val:0x85a300e0784abebb +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe81fdcffffffffff, 0x85a300e0784abebb, x4, 1872, x5) + +inst_257: +// rs1_val == 0xFF7745FFFFFFFFFF and rs2_val == 0x1F1BAF6A4F829B65 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xff7745ffffffffff; op2val:0x1f1baf6a4f829b65 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xff7745ffffffffff, 0x1f1baf6a4f829b65, x4, 1880, x5) + +inst_258: +// rs1_val == 0x708903FFFFFFFFFF and rs2_val == 0x86CE51BD17B8B123 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x708903ffffffffff; op2val:0x86ce51bd17b8b123 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x708903ffffffffff, 0x86ce51bd17b8b123, x4, 1888, x5) + +inst_259: +// rs1_val == 0xA4BE27FFFFFFFFFF and rs2_val == 0xB1F5D8538B16BB0D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa4be27ffffffffff; op2val:0xb1f5d8538b16bb0d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa4be27ffffffffff, 0xb1f5d8538b16bb0d, x4, 1896, x5) + +inst_260: +// rs1_val == 0x31602FFFFFFFFFFF and rs2_val == 0x2AD6072539BE2172 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x31602fffffffffff; op2val:0x2ad6072539be2172 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x31602fffffffffff, 0x2ad6072539be2172, x4, 1904, x5) + +inst_261: +// rs1_val == 0xBA4A1FFFFFFFFFFF and rs2_val == 0x5761A86691E42ACB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xba4a1fffffffffff; op2val:0x5761a86691e42acb +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xba4a1fffffffffff, 0x5761a86691e42acb, x4, 1912, x5) + +inst_262: +// rs1_val == 0x7F103FFFFFFFFFFF and rs2_val == 0x09E4D1F4975A8550 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7f103fffffffffff; op2val:0x9e4d1f4975a8550 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7f103fffffffffff, 0x9e4d1f4975a8550, x4, 1920, x5) + +inst_263: +// rs1_val == 0xF8A77FFFFFFFFFFF and rs2_val == 0x9A7EF9E4B432D4F7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf8a77fffffffffff; op2val:0x9a7ef9e4b432d4f7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf8a77fffffffffff, 0x9a7ef9e4b432d4f7, x4, 1928, x5) + +inst_264: +// rs1_val == 0xDA88FFFFFFFFFFFF and rs2_val == 0xA827F5A3B76D454D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xda88ffffffffffff; op2val:0xa827f5a3b76d454d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xda88ffffffffffff, 0xa827f5a3b76d454d, x4, 1936, x5) + +inst_265: +// rs1_val == 0x2449FFFFFFFFFFFF and rs2_val == 0x59C05BB9CD9CB03A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2449ffffffffffff; op2val:0x59c05bb9cd9cb03a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2449ffffffffffff, 0x59c05bb9cd9cb03a, x4, 1944, x5) + +inst_266: +// rs1_val == 0x6C9BFFFFFFFFFFFF and rs2_val == 0xDE14BFF2EED7A24A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6c9bffffffffffff; op2val:0xde14bff2eed7a24a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6c9bffffffffffff, 0xde14bff2eed7a24a, x4, 1952, x5) + +inst_267: +// rs1_val == 0x6E27FFFFFFFFFFFF and rs2_val == 0x63C2A48F76B1FD3D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6e27ffffffffffff; op2val:0x63c2a48f76b1fd3d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6e27ffffffffffff, 0x63c2a48f76b1fd3d, x4, 1960, x5) + +inst_268: +// rs1_val == 0x31CFFFFFFFFFFFFF and rs2_val == 0x5DCF019DB3988B52 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x31cfffffffffffff; op2val:0x5dcf019db3988b52 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x31cfffffffffffff, 0x5dcf019db3988b52, x4, 1968, x5) + +inst_269: +// rs1_val == 0x215FFFFFFFFFFFFF and rs2_val == 0x90BB34803C464110 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x215fffffffffffff; op2val:0x90bb34803c464110 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x215fffffffffffff, 0x90bb34803c464110, x4, 1976, x5) + +inst_270: +// rs1_val == 0x433FFFFFFFFFFFFF and rs2_val == 0x47B7097B9B01F7CC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x433fffffffffffff; op2val:0x47b7097b9b01f7cc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x433fffffffffffff, 0x47b7097b9b01f7cc, x4, 1984, x5) + +inst_271: +// rs1_val == 0x857FFFFFFFFFFFFF and rs2_val == 0x40D90A1E5B331999 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x857fffffffffffff; op2val:0x40d90a1e5b331999 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x857fffffffffffff, 0x40d90a1e5b331999, x4, 1992, x5) + +inst_272: +// rs1_val == 0xACFFFFFFFFFFFFFF and rs2_val == 0x4B1634E12D37DE81 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xacffffffffffffff; op2val:0x4b1634e12d37de81 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xacffffffffffffff, 0x4b1634e12d37de81, x4, 2000, x5) + +inst_273: +// rs1_val == 0x6DFFFFFFFFFFFFFF and rs2_val == 0xFCB627AFBF97E520 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6dffffffffffffff; op2val:0xfcb627afbf97e520 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6dffffffffffffff, 0xfcb627afbf97e520, x4, 2008, x5) + +inst_274: +// rs1_val == 0x3BFFFFFFFFFFFFFF and rs2_val == 0xABB4DA1C6DF8CCF6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3bffffffffffffff; op2val:0xabb4da1c6df8ccf6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3bffffffffffffff, 0xabb4da1c6df8ccf6, x4, 2016, x5) + +inst_275: +// rs1_val == 0x67FFFFFFFFFFFFFF and rs2_val == 0x41B79D35E08409F0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x67ffffffffffffff; op2val:0x41b79d35e08409f0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x67ffffffffffffff, 0x41b79d35e08409f0, x4, 2024, x5) + +inst_276: +// rs1_val == 0x2FFFFFFFFFFFFFFF and rs2_val == 0xD38CADCD432FF218 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2fffffffffffffff; op2val:0xd38cadcd432ff218 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2fffffffffffffff, 0xd38cadcd432ff218, x4, 2032, x5) + +inst_277: +// rs1_val == 0xDFFFFFFFFFFFFFFF and rs2_val == 0xFE339ECA03B1D74B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffffffffffff; op2val:0xfe339eca03b1d74b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdfffffffffffffff, 0xfe339eca03b1d74b, x4, 2040, x5) +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_278: +// rs1_val == 0xBFFFFFFFFFFFFFFF and rs2_val == 0x07AC5FED4B6EA010 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffffffffffff; op2val:0x7ac5fed4b6ea010 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbfffffffffffffff, 0x7ac5fed4b6ea010, x4, 0, x5) + +inst_279: +// rs1_val == 0x7FFFFFFFFFFFFFFF and rs2_val == 0x2FF7C0FCBBE8F88D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffffffffffff; op2val:0x2ff7c0fcbbe8f88d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7fffffffffffffff, 0x2ff7c0fcbbe8f88d, x4, 8, x5) + +inst_280: +// rs1_val == 0xFFFFFFFFFFFFFFFF and rs2_val == 0xA6EA1C0D2F8B9E9D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffff; op2val:0xa6ea1c0d2f8b9e9d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffff, 0xa6ea1c0d2f8b9e9d, x4, 16, x5) + +inst_281: +// rs2_val == 0xF192D0D741B2BB9A and rs1_val == 0x8138093C66CC59EE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8138093c66cc59ee; op2val:0xf192d0d741b2bb9a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8138093c66cc59ee, 0xf192d0d741b2bb9a, x4, 24, x5) + +inst_282: +// rs2_val == 0x5D8A9EA91D617A4D and rs1_val == 0xBD21A9561BA9A6B5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbd21a9561ba9a6b5; op2val:0x5d8a9ea91d617a4d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbd21a9561ba9a6b5, 0x5d8a9ea91d617a4d, x4, 32, x5) + +inst_283: +// rs2_val == 0x2537437FC3015FA0 and rs1_val == 0x932D823FFAE6F67D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x932d823ffae6f67d; op2val:0x2537437fc3015fa0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x932d823ffae6f67d, 0x2537437fc3015fa0, x4, 40, x5) + +inst_284: +// rs2_val == 0x17F8388BA8E61CB6 and rs1_val == 0xB3852A64369580FF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb3852a64369580ff; op2val:0x17f8388ba8e61cb6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb3852a64369580ff, 0x17f8388ba8e61cb6, x4, 48, x5) + +inst_285: +// rs2_val == 0x0DE1B9523B6B6093 and rs1_val == 0x567B159A4C8281A2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x567b159a4c8281a2; op2val:0xde1b9523b6b6093 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x567b159a4c8281a2, 0xde1b9523b6b6093, x4, 56, x5) + +inst_286: +// rs2_val == 0x07571FE602B653E5 and rs1_val == 0x9FBFCB0AE717A666 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9fbfcb0ae717a666; op2val:0x7571fe602b653e5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9fbfcb0ae717a666, 0x7571fe602b653e5, x4, 64, x5) + +inst_287: +// rs2_val == 0x037ADFD3CC1E0438 and rs1_val == 0x7805EC944D3B8462 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7805ec944d3b8462; op2val:0x37adfd3cc1e0438 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7805ec944d3b8462, 0x37adfd3cc1e0438, x4, 72, x5) + +inst_288: +// rs2_val == 0x01F2BAE567DEF006 and rs1_val == 0x2F5031F8B8FE90A6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2f5031f8b8fe90a6; op2val:0x1f2bae567def006 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2f5031f8b8fe90a6, 0x1f2bae567def006, x4, 80, x5) + +inst_289: +// rs2_val == 0x00C42276E94AE4A8 and rs1_val == 0xC3E60E906E803472 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc3e60e906e803472; op2val:0xc42276e94ae4a8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc3e60e906e803472, 0xc42276e94ae4a8, x4, 88, x5) + +inst_290: +// rs2_val == 0x007FB91691D6CEDD and rs1_val == 0x421D9B0AC32C4DA8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x421d9b0ac32c4da8; op2val:0x7fb91691d6cedd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x421d9b0ac32c4da8, 0x7fb91691d6cedd, x4, 96, x5) + +inst_291: +// rs2_val == 0x0037193EE4A7C5BA and rs1_val == 0xF088BED0A11F7657 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf088bed0a11f7657; op2val:0x37193ee4a7c5ba +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf088bed0a11f7657, 0x37193ee4a7c5ba, x4, 104, x5) + +inst_292: +// rs2_val == 0x00172F2A1844EBD2 and rs1_val == 0x03F26964CAD764C4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3f26964cad764c4; op2val:0x172f2a1844ebd2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3f26964cad764c4, 0x172f2a1844ebd2, x4, 112, x5) + +inst_293: +// rs2_val == 0x000FCB682E67A854 and rs1_val == 0x9AD8E8B131F3C57C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9ad8e8b131f3c57c; op2val:0xfcb682e67a854 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9ad8e8b131f3c57c, 0xfcb682e67a854, x4, 120, x5) + +inst_294: +// rs2_val == 0x0007044699D68912 and rs1_val == 0xD8219C9D0A76F50A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd8219c9d0a76f50a; op2val:0x7044699d68912 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd8219c9d0a76f50a, 0x7044699d68912, x4, 128, x5) + +inst_295: +// rs2_val == 0x0003DB776D2B6540 and rs1_val == 0x6E19CE135AC51CC8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6e19ce135ac51cc8; op2val:0x3db776d2b6540 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6e19ce135ac51cc8, 0x3db776d2b6540, x4, 136, x5) + +inst_296: +// rs2_val == 0x00013EF0C3C4B8A1 and rs1_val == 0x6410FF8753AAF3B7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6410ff8753aaf3b7; op2val:0x13ef0c3c4b8a1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6410ff8753aaf3b7, 0x13ef0c3c4b8a1, x4, 144, x5) + +inst_297: +// rs2_val == 0x0000AC0B226B5502 and rs1_val == 0x764A44B4AE53C374 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x764a44b4ae53c374; op2val:0xac0b226b5502 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x764a44b4ae53c374, 0xac0b226b5502, x4, 152, x5) + +inst_298: +// rs2_val == 0x000067A5ED48D09E and rs1_val == 0x946C61BC186211CB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x946c61bc186211cb; op2val:0x67a5ed48d09e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x946c61bc186211cb, 0x67a5ed48d09e, x4, 160, x5) + +inst_299: +// rs2_val == 0x000028A12C2869B7 and rs1_val == 0xFD8464202874799A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfd8464202874799a; op2val:0x28a12c2869b7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfd8464202874799a, 0x28a12c2869b7, x4, 168, x5) + +inst_300: +// rs2_val == 0x00001BF925849DE3 and rs1_val == 0x1D6D2A932F3DC554 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1d6d2a932f3dc554; op2val:0x1bf925849de3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1d6d2a932f3dc554, 0x1bf925849de3, x4, 176, x5) + +inst_301: +// rs2_val == 0x00000F99099565A3 and rs1_val == 0x9199165CE7B4B57E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9199165ce7b4b57e; op2val:0xf99099565a3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9199165ce7b4b57e, 0xf99099565a3, x4, 184, x5) + +inst_302: +// rs2_val == 0x0000044ECD872AB5 and rs1_val == 0x1B2E2CD77B692CDA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1b2e2cd77b692cda; op2val:0x44ecd872ab5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1b2e2cd77b692cda, 0x44ecd872ab5, x4, 192, x5) + +inst_303: +// rs2_val == 0x0000021380B68BE6 and rs1_val == 0xD53C269BAF88E590 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd53c269baf88e590; op2val:0x21380b68be6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd53c269baf88e590, 0x21380b68be6, x4, 200, x5) + +inst_304: +// rs2_val == 0x00000112B467FB8B and rs1_val == 0xC21668AAA2792E75 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc21668aaa2792e75; op2val:0x112b467fb8b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc21668aaa2792e75, 0x112b467fb8b, x4, 208, x5) + +inst_305: +// rs2_val == 0x000000A4E94FBD21 and rs1_val == 0x5C7FB02DF7E7A342 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5c7fb02df7e7a342; op2val:0xa4e94fbd21 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5c7fb02df7e7a342, 0xa4e94fbd21, x4, 216, x5) + +inst_306: +// rs2_val == 0x0000007518972E45 and rs1_val == 0xAEB0A94C91E4F834 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaeb0a94c91e4f834; op2val:0x7518972e45 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaeb0a94c91e4f834, 0x7518972e45, x4, 224, x5) + +inst_307: +// rs2_val == 0x0000002D671C82FC and rs1_val == 0xCC81F272AF6A3E68 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xcc81f272af6a3e68; op2val:0x2d671c82fc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xcc81f272af6a3e68, 0x2d671c82fc, x4, 232, x5) + +inst_308: +// rs2_val == 0x000000118B142F97 and rs1_val == 0x2041C033B47053DE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2041c033b47053de; op2val:0x118b142f97 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2041c033b47053de, 0x118b142f97, x4, 240, x5) + +inst_309: +// rs2_val == 0x0000000818D6084E and rs1_val == 0xF0F1D8DBD508FF34 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf0f1d8dbd508ff34; op2val:0x818d6084e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf0f1d8dbd508ff34, 0x818d6084e, x4, 248, x5) + +inst_310: +// rs2_val == 0x0000000650DD1AF1 and rs1_val == 0x426E6DDF1690A1F7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x426e6ddf1690a1f7; op2val:0x650dd1af1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x426e6ddf1690a1f7, 0x650dd1af1, x4, 256, x5) + +inst_311: +// rs2_val == 0x000000023B8F801D and rs1_val == 0xE715DFE558FC0A18 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe715dfe558fc0a18; op2val:0x23b8f801d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe715dfe558fc0a18, 0x23b8f801d, x4, 264, x5) + +inst_312: +// rs2_val == 0x0000000187951CB6 and rs1_val == 0xDB54E659962E5835 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdb54e659962e5835; op2val:0x187951cb6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdb54e659962e5835, 0x187951cb6, x4, 272, x5) + +inst_313: +// rs2_val == 0x00000000E0303F46 and rs1_val == 0xA372959988B48922 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa372959988b48922; op2val:0xe0303f46 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa372959988b48922, 0xe0303f46, x4, 280, x5) + +inst_314: +// rs2_val == 0x000000007B1BDA5E and rs1_val == 0x6FD08D91E0F48D2F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6fd08d91e0f48d2f; op2val:0x7b1bda5e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6fd08d91e0f48d2f, 0x7b1bda5e, x4, 288, x5) + +inst_315: +// rs2_val == 0x0000000031B0F86A and rs1_val == 0x1AD9C6D87FB2D83B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1ad9c6d87fb2d83b; op2val:0x31b0f86a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1ad9c6d87fb2d83b, 0x31b0f86a, x4, 296, x5) + +inst_316: +// rs2_val == 0x000000001CDE8A08 and rs1_val == 0x05D54CB2FA2F0AFD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5d54cb2fa2f0afd; op2val:0x1cde8a08 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5d54cb2fa2f0afd, 0x1cde8a08, x4, 304, x5) + +inst_317: +// rs2_val == 0x000000000BCE1A9C and rs1_val == 0x9E2E5BE56B66EC95 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9e2e5be56b66ec95; op2val:0xbce1a9c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9e2e5be56b66ec95, 0xbce1a9c, x4, 312, x5) + +inst_318: +// rs2_val == 0x0000000006A1096C and rs1_val == 0x866534CD79FE0C5F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x866534cd79fe0c5f; op2val:0x6a1096c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x866534cd79fe0c5f, 0x6a1096c, x4, 320, x5) + +inst_319: +// rs2_val == 0x0000000003211CB3 and rs1_val == 0x56F552452080F2AC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x56f552452080f2ac; op2val:0x3211cb3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x56f552452080f2ac, 0x3211cb3, x4, 328, x5) + +inst_320: +// rs2_val == 0x0000000001E48237 and rs1_val == 0x44EB31E46776FD34 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x44eb31e46776fd34; op2val:0x1e48237 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x44eb31e46776fd34, 0x1e48237, x4, 336, x5) + +inst_321: +// rs2_val == 0x0000000000B0FBCE and rs1_val == 0x7DB4D3B51F36DDF8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7db4d3b51f36ddf8; op2val:0xb0fbce +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7db4d3b51f36ddf8, 0xb0fbce, x4, 344, x5) + +inst_322: +// rs2_val == 0x00000000005516A9 and rs1_val == 0x3372969F7F65D54D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3372969f7f65d54d; op2val:0x5516a9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3372969f7f65d54d, 0x5516a9, x4, 352, x5) + +inst_323: +// rs2_val == 0x000000000021BF99 and rs1_val == 0xA38D0F398FC0819E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa38d0f398fc0819e; op2val:0x21bf99 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa38d0f398fc0819e, 0x21bf99, x4, 360, x5) + +inst_324: +// rs2_val == 0x00000000001F99DE and rs1_val == 0xB06670AAF2FBC7F9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb06670aaf2fbc7f9; op2val:0x1f99de +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb06670aaf2fbc7f9, 0x1f99de, x4, 368, x5) + +inst_325: +// rs2_val == 0x00000000000BEEC1 and rs1_val == 0x23F7D227EA7F7301 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x23f7d227ea7f7301; op2val:0xbeec1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x23f7d227ea7f7301, 0xbeec1, x4, 376, x5) + +inst_326: +// rs2_val == 0x0000000000079DD3 and rs1_val == 0x887AAE6A2C42EEAC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x887aae6a2c42eeac; op2val:0x79dd3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x887aae6a2c42eeac, 0x79dd3, x4, 384, x5) + +inst_327: +// rs2_val == 0x0000000000035BC3 and rs1_val == 0x106607DCDE17B009 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x106607dcde17b009; op2val:0x35bc3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x106607dcde17b009, 0x35bc3, x4, 392, x5) + +inst_328: +// rs2_val == 0x000000000001DB50 and rs1_val == 0xC4AAF35A6BE1FCDE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc4aaf35a6be1fcde; op2val:0x1db50 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc4aaf35a6be1fcde, 0x1db50, x4, 400, x5) + +inst_329: +// rs2_val == 0x000000000000C1F1 and rs1_val == 0x0981ABB61530959B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x981abb61530959b; op2val:0xc1f1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x981abb61530959b, 0xc1f1, x4, 408, x5) + +inst_330: +// rs2_val == 0x0000000000004632 and rs1_val == 0xDB14A009B7E06D03 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdb14a009b7e06d03; op2val:0x4632 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdb14a009b7e06d03, 0x4632, x4, 416, x5) + +inst_331: +// rs2_val == 0x0000000000003CDC and rs1_val == 0xEEA93B6FCA71067B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xeea93b6fca71067b; op2val:0x3cdc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xeea93b6fca71067b, 0x3cdc, x4, 424, x5) + +inst_332: +// rs2_val == 0x0000000000001AD8 and rs1_val == 0xFA342B15167CD62E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfa342b15167cd62e; op2val:0x1ad8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfa342b15167cd62e, 0x1ad8, x4, 432, x5) + +inst_333: +// rs2_val == 0x0000000000000E5E and rs1_val == 0xB7B8B1A0EC9A5DC8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb7b8b1a0ec9a5dc8; op2val:0xe5e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb7b8b1a0ec9a5dc8, 0xe5e, x4, 440, x5) + +inst_334: +// rs2_val == 0x0000000000000456 and rs1_val == 0x3473F51FFB7A3B3B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3473f51ffb7a3b3b; op2val:0x456 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3473f51ffb7a3b3b, 0x456, x4, 448, x5) + +inst_335: +// rs2_val == 0x0000000000000216 and rs1_val == 0x57D53E43F1BAE498 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x57d53e43f1bae498; op2val:0x216 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x57d53e43f1bae498, 0x216, x4, 456, x5) + +inst_336: +// rs2_val == 0x000000000000014D and rs1_val == 0x2141C6D163522556 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2141c6d163522556; op2val:0x14d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2141c6d163522556, 0x14d, x4, 464, x5) + +inst_337: +// rs2_val == 0x00000000000000AD and rs1_val == 0xE7FF25B9B3257DDA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe7ff25b9b3257dda; op2val:0xad +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe7ff25b9b3257dda, 0xad, x4, 472, x5) + +inst_338: +// rs2_val == 0x0000000000000042 and rs1_val == 0x28C0D4AEC196C5C2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x28c0d4aec196c5c2; op2val:0x42 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x28c0d4aec196c5c2, 0x42, x4, 480, x5) + +inst_339: +// rs2_val == 0x0000000000000032 and rs1_val == 0x0942C3FBB6D3E879 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x942c3fbb6d3e879; op2val:0x32 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x942c3fbb6d3e879, 0x32, x4, 488, x5) + +inst_340: +// rs2_val == 0x000000000000001E and rs1_val == 0x418BFBB079A2ED17 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x418bfbb079a2ed17; op2val:0x1e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x418bfbb079a2ed17, 0x1e, x4, 496, x5) + +inst_341: +// rs2_val == 0x000000000000000D and rs1_val == 0xAF3AEAA313F5BC90 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaf3aeaa313f5bc90; op2val:0xd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaf3aeaa313f5bc90, 0xd, x4, 504, x5) + +inst_342: +// rs2_val == 0x0000000000000004 and rs1_val == 0x1D849E2BA111F5FB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1d849e2ba111f5fb; op2val:0x4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1d849e2ba111f5fb, 0x4, x4, 512, x5) + +inst_343: +// rs2_val == 0x0000000000000003 and rs1_val == 0x44339C10D4652689 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x44339c10d4652689; op2val:0x3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x44339c10d4652689, 0x3, x4, 520, x5) + +inst_344: +// rs2_val == 0x0000000000000001 and rs1_val == 0x746FE5B967BA7848 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x746fe5b967ba7848; op2val:0x1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x746fe5b967ba7848, 0x1, x4, 528, x5) + +inst_345: +// rs2_val == 0x0000000000000000 and rs1_val == 0x9064DBD9CAA0A141 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9064dbd9caa0a141; op2val:0x0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9064dbd9caa0a141, 0x0, x4, 536, x5) + +inst_346: +// rs1_val == 0x880F73BBD42779F6 and rs2_val == 0x9211A8D847F439F3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x880f73bbd42779f6; op2val:0x9211a8d847f439f3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x880f73bbd42779f6, 0x9211a8d847f439f3, x4, 544, x5) + +inst_347: +// rs1_val == 0x6030130961EEAC38 and rs2_val == 0x520235BC73D58E1C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6030130961eeac38; op2val:0x520235bc73d58e1c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6030130961eeac38, 0x520235bc73d58e1c, x4, 552, x5) + +inst_348: +// rs1_val == 0x2CFCC3964671120E and rs2_val == 0xD4B59C0536CDF8A1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2cfcc3964671120e; op2val:0xd4b59c0536cdf8a1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2cfcc3964671120e, 0xd4b59c0536cdf8a1, x4, 560, x5) + +inst_349: +// rs1_val == 0x1B4C0859D26542EF and rs2_val == 0x735DC3271CE262D6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1b4c0859d26542ef; op2val:0x735dc3271ce262d6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1b4c0859d26542ef, 0x735dc3271ce262d6, x4, 568, x5) + +inst_350: +// rs1_val == 0x086B6A04B6125E0D and rs2_val == 0xFAF8DFCDF33335B6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x86b6a04b6125e0d; op2val:0xfaf8dfcdf33335b6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x86b6a04b6125e0d, 0xfaf8dfcdf33335b6, x4, 576, x5) + +inst_351: +// rs1_val == 0x06720C5422DC73AC and rs2_val == 0xD945BBF3E5498256 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6720c5422dc73ac; op2val:0xd945bbf3e5498256 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6720c5422dc73ac, 0xd945bbf3e5498256, x4, 584, x5) + +inst_352: +// rs1_val == 0x02D51BFFE1594DC5 and rs2_val == 0x51054839EBB9C596 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2d51bffe1594dc5; op2val:0x51054839ebb9c596 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2d51bffe1594dc5, 0x51054839ebb9c596, x4, 592, x5) + +inst_353: +// rs1_val == 0x01554174CDC02ECE and rs2_val == 0xEA0A668AC12F694D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1554174cdc02ece; op2val:0xea0a668ac12f694d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1554174cdc02ece, 0xea0a668ac12f694d, x4, 600, x5) + +inst_354: +// rs1_val == 0x00A746A4AA785C62 and rs2_val == 0xA2592B9D32D1464E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa746a4aa785c62; op2val:0xa2592b9d32d1464e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa746a4aa785c62, 0xa2592b9d32d1464e, x4, 608, x5) + +inst_355: +// rs1_val == 0x005A629624AA1735 and rs2_val == 0x53B3B0FF3DD1E044 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5a629624aa1735; op2val:0x53b3b0ff3dd1e044 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5a629624aa1735, 0x53b3b0ff3dd1e044, x4, 616, x5) + +inst_356: +// rs1_val == 0x00260FDDF517E383 and rs2_val == 0x5E320F4A02E50777 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x260fddf517e383; op2val:0x5e320f4a02e50777 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x260fddf517e383, 0x5e320f4a02e50777, x4, 624, x5) + +inst_357: +// rs1_val == 0x00159ECB99EDD4D2 and rs2_val == 0x05B4D7567B1FFC6A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x159ecb99edd4d2; op2val:0x5b4d7567b1ffc6a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x159ecb99edd4d2, 0x5b4d7567b1ffc6a, x4, 632, x5) + +inst_358: +// rs1_val == 0x000DC63D84C955F2 and rs2_val == 0x07A04E6483B852D7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdc63d84c955f2; op2val:0x7a04e6483b852d7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdc63d84c955f2, 0x7a04e6483b852d7, x4, 640, x5) + +inst_359: +// rs1_val == 0x0006690070C61509 and rs2_val == 0x8A8F7AEFD69F6B16 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6690070c61509; op2val:0x8a8f7aefd69f6b16 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6690070c61509, 0x8a8f7aefd69f6b16, x4, 648, x5) + +inst_360: +// rs1_val == 0x0002B3DBBA6BC77D and rs2_val == 0x94B953EDB1B43D07 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2b3dbba6bc77d; op2val:0x94b953edb1b43d07 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2b3dbba6bc77d, 0x94b953edb1b43d07, x4, 656, x5) + +inst_361: +// rs1_val == 0x0001BC4414881EDD and rs2_val == 0xE13A099641D812CD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1bc4414881edd; op2val:0xe13a099641d812cd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1bc4414881edd, 0xe13a099641d812cd, x4, 664, x5) + +inst_362: +// rs1_val == 0x0000F1B26111B4B6 and rs2_val == 0x9BDEB398032FBCE3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf1b26111b4b6; op2val:0x9bdeb398032fbce3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf1b26111b4b6, 0x9bdeb398032fbce3, x4, 672, x5) + +inst_363: +// rs1_val == 0x0000676692A38329 and rs2_val == 0xA98A372E9FFD6A18 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x676692a38329; op2val:0xa98a372e9ffd6a18 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x676692a38329, 0xa98a372e9ffd6a18, x4, 680, x5) + +inst_364: +// rs1_val == 0x0000239D5A11CCA6 and rs2_val == 0xDD0C8B9407BFC096 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x239d5a11cca6; op2val:0xdd0c8b9407bfc096 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x239d5a11cca6, 0xdd0c8b9407bfc096, x4, 688, x5) + +inst_365: +// rs1_val == 0x00001FA08BCCE7CE and rs2_val == 0xEA3D9BE7F6A00758 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1fa08bcce7ce; op2val:0xea3d9be7f6a00758 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1fa08bcce7ce, 0xea3d9be7f6a00758, x4, 696, x5) + +inst_366: +// rs1_val == 0x00000C1FBB01EA76 and rs2_val == 0x13446DF8128AE84A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc1fbb01ea76; op2val:0x13446df8128ae84a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc1fbb01ea76, 0x13446df8128ae84a, x4, 704, x5) + +inst_367: +// rs1_val == 0x000006E3F6782942 and rs2_val == 0x7B73CCF813284C79 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6e3f6782942; op2val:0x7b73ccf813284c79 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6e3f6782942, 0x7b73ccf813284c79, x4, 712, x5) + +inst_368: +// rs1_val == 0x000002FA798C06FF and rs2_val == 0xB372C56B5B8349CE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2fa798c06ff; op2val:0xb372c56b5b8349ce +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2fa798c06ff, 0xb372c56b5b8349ce, x4, 720, x5) + +inst_369: +// rs1_val == 0x0000012BA82CB2CE and rs2_val == 0xEC7038C908FB09A0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x12ba82cb2ce; op2val:0xec7038c908fb09a0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x12ba82cb2ce, 0xec7038c908fb09a0, x4, 728, x5) + +inst_370: +// rs1_val == 0x000000AA236EBA20 and rs2_val == 0xFB019DF47349DBC4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaa236eba20; op2val:0xfb019df47349dbc4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaa236eba20, 0xfb019df47349dbc4, x4, 736, x5) + +inst_371: +// rs1_val == 0x0000004A482EA761 and rs2_val == 0x1799E72821AF214A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4a482ea761; op2val:0x1799e72821af214a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4a482ea761, 0x1799e72821af214a, x4, 744, x5) + +inst_372: +// rs1_val == 0x000000287219C1DB and rs2_val == 0x0BEDDB070F7A0443 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x287219c1db; op2val:0xbeddb070f7a0443 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x287219c1db, 0xbeddb070f7a0443, x4, 752, x5) + +inst_373: +// rs1_val == 0x00000015BFBD7D15 and rs2_val == 0x44E9E4A511B41900 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x15bfbd7d15; op2val:0x44e9e4a511b41900 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x15bfbd7d15, 0x44e9e4a511b41900, x4, 760, x5) + +inst_374: +// rs1_val == 0x0000000E47BC7549 and rs2_val == 0xEA3A0683EAD81DCD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe47bc7549; op2val:0xea3a0683ead81dcd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe47bc7549, 0xea3a0683ead81dcd, x4, 768, x5) + +inst_375: +// rs1_val == 0x000000063A782EBC and rs2_val == 0x9D42F6709DA9B14D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x63a782ebc; op2val:0x9d42f6709da9b14d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x63a782ebc, 0x9d42f6709da9b14d, x4, 776, x5) + +inst_376: +// rs1_val == 0x000000024B1E943F and rs2_val == 0x119B4FE5FA285A0D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x24b1e943f; op2val:0x119b4fe5fa285a0d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x24b1e943f, 0x119b4fe5fa285a0d, x4, 784, x5) + +inst_377: +// rs1_val == 0x000000016BBA8D22 and rs2_val == 0x98B20411E7A28CBD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x16bba8d22; op2val:0x98b20411e7a28cbd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x16bba8d22, 0x98b20411e7a28cbd, x4, 792, x5) + +inst_378: +// rs1_val == 0x00000000BD0840FC and rs2_val == 0x64409DDBB45F51C3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbd0840fc; op2val:0x64409ddbb45f51c3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbd0840fc, 0x64409ddbb45f51c3, x4, 800, x5) + +inst_379: +// rs1_val == 0x000000005BEA8594 and rs2_val == 0xF6724BA08329C05B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5bea8594; op2val:0xf6724ba08329c05b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5bea8594, 0xf6724ba08329c05b, x4, 808, x5) + +inst_380: +// rs1_val == 0x000000003A8137EA and rs2_val == 0x0C2282666BE49EE7 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3a8137ea; op2val:0xc2282666be49ee7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3a8137ea, 0xc2282666be49ee7, x4, 816, x5) + +inst_381: +// rs1_val == 0x000000001891DD3D and rs2_val == 0xE1D7300F6361B9F8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1891dd3d; op2val:0xe1d7300f6361b9f8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1891dd3d, 0xe1d7300f6361b9f8, x4, 824, x5) + +inst_382: +// rs1_val == 0x000000000BF8670E and rs2_val == 0xB9B338EB3FDF2348 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbf8670e; op2val:0xb9b338eb3fdf2348 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbf8670e, 0xb9b338eb3fdf2348, x4, 832, x5) + +inst_383: +// rs1_val == 0x000000000711EB58 and rs2_val == 0xA2F7647A952E1B8B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x711eb58; op2val:0xa2f7647a952e1b8b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x711eb58, 0xa2f7647a952e1b8b, x4, 840, x5) + +inst_384: +// rs1_val == 0x00000000028DD9F4 and rs2_val == 0x12CA3F7062DC08D6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x28dd9f4; op2val:0x12ca3f7062dc08d6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x28dd9f4, 0x12ca3f7062dc08d6, x4, 848, x5) + +inst_385: +// rs1_val == 0x00000000011E7A61 and rs2_val == 0x9C3ECB54C5CEFDD8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x11e7a61; op2val:0x9c3ecb54c5cefdd8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x11e7a61, 0x9c3ecb54c5cefdd8, x4, 856, x5) + +inst_386: +// rs1_val == 0x0000000000C793F6 and rs2_val == 0x36971E1B2577C1EC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc793f6; op2val:0x36971e1b2577c1ec +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc793f6, 0x36971e1b2577c1ec, x4, 864, x5) + +inst_387: +// rs1_val == 0x0000000000736008 and rs2_val == 0x93B3A3D9A44F576A +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x736008; op2val:0x93b3a3d9a44f576a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x736008, 0x93b3a3d9a44f576a, x4, 872, x5) + +inst_388: +// rs1_val == 0x000000000034106A and rs2_val == 0x050684BFE286852C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x34106a; op2val:0x50684bfe286852c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x34106a, 0x50684bfe286852c, x4, 880, x5) + +inst_389: +// rs1_val == 0x00000000001A83B3 and rs2_val == 0x65151C401DD377BF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1a83b3; op2val:0x65151c401dd377bf +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1a83b3, 0x65151c401dd377bf, x4, 888, x5) + +inst_390: +// rs1_val == 0x0000000000099A1F and rs2_val == 0x21870F0BC4FF64DE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x99a1f; op2val:0x21870f0bc4ff64de +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x99a1f, 0x21870f0bc4ff64de, x4, 896, x5) + +inst_391: +// rs1_val == 0x00000000000700AF and rs2_val == 0x0F552C9402CDF2AF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x700af; op2val:0xf552c9402cdf2af +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x700af, 0xf552c9402cdf2af, x4, 904, x5) + +inst_392: +// rs1_val == 0x000000000002F165 and rs2_val == 0x2274EA181E34B3F1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2f165; op2val:0x2274ea181e34b3f1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2f165, 0x2274ea181e34b3f1, x4, 912, x5) + +inst_393: +// rs1_val == 0x0000000000016F3F and rs2_val == 0xF6CDB2F803E0D681 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x16f3f; op2val:0xf6cdb2f803e0d681 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x16f3f, 0xf6cdb2f803e0d681, x4, 920, x5) + +inst_394: +// rs1_val == 0x000000000000B80F and rs2_val == 0x563E9BED45100358 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb80f; op2val:0x563e9bed45100358 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb80f, 0x563e9bed45100358, x4, 928, x5) + +inst_395: +// rs1_val == 0x0000000000004DAA and rs2_val == 0x6B77730F65BD9ACB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4daa; op2val:0x6b77730f65bd9acb +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4daa, 0x6b77730f65bd9acb, x4, 936, x5) + +inst_396: +// rs1_val == 0x0000000000002242 and rs2_val == 0x785116080D650372 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2242; op2val:0x785116080d650372 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2242, 0x785116080d650372, x4, 944, x5) + +inst_397: +// rs1_val == 0x0000000000001AAB and rs2_val == 0x36A80BDF0023B682 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1aab; op2val:0x36a80bdf0023b682 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1aab, 0x36a80bdf0023b682, x4, 952, x5) + +inst_398: +// rs1_val == 0x0000000000000A12 and rs2_val == 0x4A789CB3D8B9B45C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa12; op2val:0x4a789cb3d8b9b45c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa12, 0x4a789cb3d8b9b45c, x4, 960, x5) + +inst_399: +// rs1_val == 0x000000000000065B and rs2_val == 0xC42B7170902A174F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x65b; op2val:0xc42b7170902a174f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x65b, 0xc42b7170902a174f, x4, 968, x5) + +inst_400: +// rs1_val == 0x00000000000003FD and rs2_val == 0x834C687A3ACB6266 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3fd; op2val:0x834c687a3acb6266 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3fd, 0x834c687a3acb6266, x4, 976, x5) + +inst_401: +// rs1_val == 0x0000000000000191 and rs2_val == 0xB53302FC154CD2AA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x191; op2val:0xb53302fc154cd2aa +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x191, 0xb53302fc154cd2aa, x4, 984, x5) + +inst_402: +// rs1_val == 0x00000000000000AA and rs2_val == 0x15C1D2DFA9964AEF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaa; op2val:0x15c1d2dfa9964aef +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaa, 0x15c1d2dfa9964aef, x4, 992, x5) + +inst_403: +// rs1_val == 0x0000000000000079 and rs2_val == 0xA3EA284D3BD03346 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x79; op2val:0xa3ea284d3bd03346 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x79, 0xa3ea284d3bd03346, x4, 1000, x5) + +inst_404: +// rs1_val == 0x0000000000000026 and rs2_val == 0x76C468AEC7321CC0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x26; op2val:0x76c468aec7321cc0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x26, 0x76c468aec7321cc0, x4, 1008, x5) + +inst_405: +// rs1_val == 0x0000000000000014 and rs2_val == 0xE12B2B8F30B17D0B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x14; op2val:0xe12b2b8f30b17d0b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x14, 0xe12b2b8f30b17d0b, x4, 1016, x5) + +inst_406: +// rs1_val == 0x000000000000000F and rs2_val == 0x8743FEB6D4EA65D0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0x8743feb6d4ea65d0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf, 0x8743feb6d4ea65d0, x4, 1024, x5) + +inst_407: +// rs1_val == 0x0000000000000007 and rs2_val == 0xA66B0D389D95847E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0xa66b0d389d95847e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7, 0xa66b0d389d95847e, x4, 1032, x5) + +inst_408: +// rs1_val == 0x0000000000000002 and rs2_val == 0x2FA91425CB008853 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2fa91425cb008853 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2, 0x2fa91425cb008853, x4, 1040, x5) + +inst_409: +// rs1_val == 0x0000000000000001 and rs2_val == 0x309D6B79965EDA32 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x309d6b79965eda32 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1, 0x309d6b79965eda32, x4, 1048, x5) + +inst_410: +// rs1_val == 0x0000000000000000 and rs2_val == 0x7734D7C1C7FDE805 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7734d7c1c7fde805 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x0, 0x7734d7c1c7fde805, x4, 1056, x5) + +inst_411: +// rs2_val == 0x2DC86F6D7443F200 and rs1_val == 0x2BB9DAA6AA01E126 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2bb9daa6aa01e126; op2val:0x2dc86f6d7443f200 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2bb9daa6aa01e126, 0x2dc86f6d7443f200, x4, 1064, x5) + +inst_412: +// rs2_val == 0xB2DE7072DAB0001E and rs1_val == 0xF01528EC3591DB10 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf01528ec3591db10; op2val:0xb2de7072dab0001e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf01528ec3591db10, 0xb2de7072dab0001e, x4, 1072, x5) + +inst_413: +// rs2_val == 0xDABFC6D78901096D and rs1_val == 0x74A8EAEC656224E9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x74a8eaec656224e9; op2val:0xdabfc6d78901096d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x74a8eaec656224e9, 0xdabfc6d78901096d, x4, 1080, x5) + +inst_414: +// rs2_val == 0xE3FF5EC4DCF0C656 and rs1_val == 0xFCC1650F30D268BD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfcc1650f30d268bd; op2val:0xe3ff5ec4dcf0c656 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfcc1650f30d268bd, 0xe3ff5ec4dcf0c656, x4, 1088, x5) + +inst_415: +// rs2_val == 0xF7FE676EB828FD34 and rs1_val == 0x61D4C262D69F32E6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x61d4c262d69f32e6; op2val:0xf7fe676eb828fd34 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x61d4c262d69f32e6, 0xf7fe676eb828fd34, x4, 1096, x5) + +inst_416: +// rs2_val == 0xFBDE6F8E2D79E311 and rs1_val == 0xBEE2EE6EF38E735F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xbee2ee6ef38e735f; op2val:0xfbde6f8e2d79e311 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xbee2ee6ef38e735f, 0xfbde6f8e2d79e311, x4, 1104, x5) + +inst_417: +// rs2_val == 0xFD5D359F1A5928F7 and rs1_val == 0x6D80FEEE74BC1570 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6d80feee74bc1570; op2val:0xfd5d359f1a5928f7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6d80feee74bc1570, 0xfd5d359f1a5928f7, x4, 1112, x5) + +inst_418: +// rs2_val == 0xFEB171E36F6DA856 and rs1_val == 0xEFB5B593B918B7FA +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xefb5b593b918b7fa; op2val:0xfeb171e36f6da856 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xefb5b593b918b7fa, 0xfeb171e36f6da856, x4, 1120, x5) + +inst_419: +// rs2_val == 0xFF4F4D100880B668 and rs1_val == 0xEE412DA70282F24D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xee412da70282f24d; op2val:0xff4f4d100880b668 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xee412da70282f24d, 0xff4f4d100880b668, x4, 1128, x5) + +inst_420: +// rs2_val == 0xFFA80C91E9E678BB and rs1_val == 0x09322235B8B00CB6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9322235b8b00cb6; op2val:0xffa80c91e9e678bb +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9322235b8b00cb6, 0xffa80c91e9e678bb, x4, 1136, x5) + +inst_421: +// rs2_val == 0xFFDB13919C23BDB8 and rs1_val == 0xC9763989EB74F8BB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc9763989eb74f8bb; op2val:0xffdb13919c23bdb8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc9763989eb74f8bb, 0xffdb13919c23bdb8, x4, 1144, x5) + +inst_422: +// rs2_val == 0xFFE25182F83106C9 and rs1_val == 0x0C64D280859B4C3F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc64d280859b4c3f; op2val:0xffe25182f83106c9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc64d280859b4c3f, 0xffe25182f83106c9, x4, 1152, x5) + +inst_423: +// rs2_val == 0xFFF0FFC40E22C1E0 and rs1_val == 0xAC79FC270DA5F55F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xac79fc270da5f55f; op2val:0xfff0ffc40e22c1e0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xac79fc270da5f55f, 0xfff0ffc40e22c1e0, x4, 1160, x5) + +inst_424: +// rs2_val == 0xFFF8E49CE41BA01B and rs1_val == 0x513EE651F044106F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x513ee651f044106f; op2val:0xfff8e49ce41ba01b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x513ee651f044106f, 0xfff8e49ce41ba01b, x4, 1168, x5) + +inst_425: +// rs2_val == 0xFFFCD94D8514F49D and rs1_val == 0x612FAF5E8E73758E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x612faf5e8e73758e; op2val:0xfffcd94d8514f49d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x612faf5e8e73758e, 0xfffcd94d8514f49d, x4, 1176, x5) + +inst_426: +// rs2_val == 0xFFFEE5CEB3B2FA55 and rs1_val == 0x36334245FB566E3E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x36334245fb566e3e; op2val:0xfffee5ceb3b2fa55 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x36334245fb566e3e, 0xfffee5ceb3b2fa55, x4, 1184, x5) + +inst_427: +// rs2_val == 0xFFFF34A37D61CE76 and rs1_val == 0x2A9CC14F63ADCDBB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2a9cc14f63adcdbb; op2val:0xffff34a37d61ce76 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2a9cc14f63adcdbb, 0xffff34a37d61ce76, x4, 1192, x5) + +inst_428: +// rs2_val == 0xFFFFB7E981B4D2D8 and rs1_val == 0x279EE9737825D0F4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x279ee9737825d0f4; op2val:0xffffb7e981b4d2d8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x279ee9737825d0f4, 0xffffb7e981b4d2d8, x4, 1200, x5) + +inst_429: +// rs2_val == 0xFFFFC1B69BFA3293 and rs1_val == 0x2DD60261C5F0A710 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2dd60261c5f0a710; op2val:0xffffc1b69bfa3293 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2dd60261c5f0a710, 0xffffc1b69bfa3293, x4, 1208, x5) + +inst_430: +// rs2_val == 0xFFFFEB07E778555D and rs1_val == 0x071C80A02407E26D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x71c80a02407e26d; op2val:0xffffeb07e778555d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x71c80a02407e26d, 0xffffeb07e778555d, x4, 1216, x5) + +inst_431: +// rs2_val == 0xFFFFF54D4CAE8B6C and rs1_val == 0x76B4A3DDD9E0E7FE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x76b4a3ddd9e0e7fe; op2val:0xfffff54d4cae8b6c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x76b4a3ddd9e0e7fe, 0xfffff54d4cae8b6c, x4, 1224, x5) + +inst_432: +// rs2_val == 0xFFFFF905DBC1F2B1 and rs1_val == 0x1D95CDB0B5C06C9D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1d95cdb0b5c06c9d; op2val:0xfffff905dbc1f2b1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1d95cdb0b5c06c9d, 0xfffff905dbc1f2b1, x4, 1232, x5) + +inst_433: +// rs2_val == 0xFFFFFCC036C35DC6 and rs1_val == 0x6B3D9C59D788D65B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6b3d9c59d788d65b; op2val:0xfffffcc036c35dc6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6b3d9c59d788d65b, 0xfffffcc036c35dc6, x4, 1240, x5) + +inst_434: +// rs2_val == 0xFFFFFE5BA2465D2A and rs1_val == 0x891B827336015D9B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x891b827336015d9b; op2val:0xfffffe5ba2465d2a +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x891b827336015d9b, 0xfffffe5ba2465d2a, x4, 1248, x5) + +inst_435: +// rs2_val == 0xFFFFFF0FCF4C7D09 and rs1_val == 0xB68A272A255C09E0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb68a272a255c09e0; op2val:0xffffff0fcf4c7d09 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb68a272a255c09e0, 0xffffff0fcf4c7d09, x4, 1256, x5) + +inst_436: +// rs2_val == 0xFFFFFF8D49AAE370 and rs1_val == 0xCE80F7B19E49C4A1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xce80f7b19e49c4a1; op2val:0xffffff8d49aae370 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xce80f7b19e49c4a1, 0xffffff8d49aae370, x4, 1264, x5) + +inst_437: +// rs2_val == 0xFFFFFFDF2752AA64 and rs1_val == 0x897D9FC51AC24829 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x897d9fc51ac24829; op2val:0xffffffdf2752aa64 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x897d9fc51ac24829, 0xffffffdf2752aa64, x4, 1272, x5) + +inst_438: +// rs2_val == 0xFFFFFFEE40D0E5E8 and rs1_val == 0x75F32B5017550D1C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x75f32b5017550d1c; op2val:0xffffffee40d0e5e8 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x75f32b5017550d1c, 0xffffffee40d0e5e8, x4, 1280, x5) + +inst_439: +// rs2_val == 0xFFFFFFF3A0A1C6F0 and rs1_val == 0x239853F9120FF957 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x239853f9120ff957; op2val:0xfffffff3a0a1c6f0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x239853f9120ff957, 0xfffffff3a0a1c6f0, x4, 1288, x5) + +inst_440: +// rs2_val == 0xFFFFFFFA748CA591 and rs1_val == 0x08D6920B2F8D1335 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x8d6920b2f8d1335; op2val:0xfffffffa748ca591 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x8d6920b2f8d1335, 0xfffffffa748ca591, x4, 1296, x5) + +inst_441: +// rs2_val == 0xFFFFFFFC9FC745D1 and rs1_val == 0x94038F336056F5E8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x94038f336056f5e8; op2val:0xfffffffc9fc745d1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x94038f336056f5e8, 0xfffffffc9fc745d1, x4, 1304, x5) + +inst_442: +// rs2_val == 0xFFFFFFFEA97CBF85 and rs1_val == 0xA9632E3DBD0070AE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa9632e3dbd0070ae; op2val:0xfffffffea97cbf85 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa9632e3dbd0070ae, 0xfffffffea97cbf85, x4, 1312, x5) + +inst_443: +// rs2_val == 0xFFFFFFFF1FE84EBD and rs1_val == 0x1141B67F62A12347 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1141b67f62a12347; op2val:0xffffffff1fe84ebd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1141b67f62a12347, 0xffffffff1fe84ebd, x4, 1320, x5) + +inst_444: +// rs2_val == 0xFFFFFFFFAF8957F9 and rs1_val == 0xFAA97965C478EF2D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfaa97965c478ef2d; op2val:0xffffffffaf8957f9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfaa97965c478ef2d, 0xffffffffaf8957f9, x4, 1328, x5) + +inst_445: +// rs2_val == 0xFFFFFFFFC165F0F1 and rs1_val == 0xD6AC851F07155A89 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd6ac851f07155a89; op2val:0xffffffffc165f0f1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd6ac851f07155a89, 0xffffffffc165f0f1, x4, 1336, x5) + +inst_446: +// rs2_val == 0xFFFFFFFFEFADEA82 and rs1_val == 0x07F5E51DC2CC1D38 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x7f5e51dc2cc1d38; op2val:0xffffffffefadea82 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x7f5e51dc2cc1d38, 0xffffffffefadea82, x4, 1344, x5) + +inst_447: +// rs2_val == 0xFFFFFFFFF7FCC18C and rs1_val == 0x9875BAD195A6BEA9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9875bad195a6bea9; op2val:0xfffffffff7fcc18c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9875bad195a6bea9, 0xfffffffff7fcc18c, x4, 1352, x5) + +inst_448: +// rs2_val == 0xFFFFFFFFF8D3E5F5 and rs1_val == 0x339863C4D216EEA8 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x339863c4d216eea8; op2val:0xfffffffff8d3e5f5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x339863c4d216eea8, 0xfffffffff8d3e5f5, x4, 1360, x5) + +inst_449: +// rs2_val == 0xFFFFFFFFFC15B0F7 and rs1_val == 0xC988A7CCFC9A02B1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc988a7ccfc9a02b1; op2val:0xfffffffffc15b0f7 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc988a7ccfc9a02b1, 0xfffffffffc15b0f7, x4, 1368, x5) + +inst_450: +// rs2_val == 0xFFFFFFFFFEAC3217 and rs1_val == 0x5BC1C3660C20B9EE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5bc1c3660c20b9ee; op2val:0xfffffffffeac3217 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5bc1c3660c20b9ee, 0xfffffffffeac3217, x4, 1376, x5) + +inst_451: +// rs2_val == 0xFFFFFFFFFF5BA80C and rs1_val == 0x132A717606AEC150 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x132a717606aec150; op2val:0xffffffffff5ba80c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x132a717606aec150, 0xffffffffff5ba80c, x4, 1384, x5) + +inst_452: +// rs2_val == 0xFFFFFFFFFF87984F and rs1_val == 0x498DB88F37C4C6B9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x498db88f37c4c6b9; op2val:0xffffffffff87984f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x498db88f37c4c6b9, 0xffffffffff87984f, x4, 1392, x5) + +inst_453: +// rs2_val == 0xFFFFFFFFFFCE1BBC and rs1_val == 0xC313BC1FA311D81E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xc313bc1fa311d81e; op2val:0xffffffffffce1bbc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xc313bc1fa311d81e, 0xffffffffffce1bbc, x4, 1400, x5) + +inst_454: +// rs2_val == 0xFFFFFFFFFFEF1D76 and rs1_val == 0xB183406662EBF954 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb183406662ebf954; op2val:0xffffffffffef1d76 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb183406662ebf954, 0xffffffffffef1d76, x4, 1408, x5) + +inst_455: +// rs2_val == 0xFFFFFFFFFFF3AE62 and rs1_val == 0xAA467BBE0320DF72 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaa467bbe0320df72; op2val:0xfffffffffff3ae62 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaa467bbe0320df72, 0xfffffffffff3ae62, x4, 1416, x5) + +inst_456: +// rs2_val == 0xFFFFFFFFFFF81475 and rs1_val == 0x9B9AAAD179A6551F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9b9aaad179a6551f; op2val:0xfffffffffff81475 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9b9aaad179a6551f, 0xfffffffffff81475, x4, 1424, x5) + +inst_457: +// rs2_val == 0xFFFFFFFFFFFCE9A5 and rs1_val == 0xE4367CCAD9716F2C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe4367ccad9716f2c; op2val:0xfffffffffffce9a5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe4367ccad9716f2c, 0xfffffffffffce9a5, x4, 1432, x5) + +inst_458: +// rs2_val == 0xFFFFFFFFFFFE5770 and rs1_val == 0x3C2C0E921E4E0FAD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x3c2c0e921e4e0fad; op2val:0xfffffffffffe5770 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x3c2c0e921e4e0fad, 0xfffffffffffe5770, x4, 1440, x5) + +inst_459: +// rs2_val == 0xFFFFFFFFFFFF4877 and rs1_val == 0xB264D045E3D4DD27 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xb264d045e3d4dd27; op2val:0xffffffffffff4877 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xb264d045e3d4dd27, 0xffffffffffff4877, x4, 1448, x5) + +inst_460: +// rs2_val == 0xFFFFFFFFFFFFB0B3 and rs1_val == 0x6BAEEA37B4C8839B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6baeea37b4c8839b; op2val:0xffffffffffffb0b3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6baeea37b4c8839b, 0xffffffffffffb0b3, x4, 1456, x5) + +inst_461: +// rs2_val == 0xFFFFFFFFFFFFDD0F and rs1_val == 0xE0F003E954084572 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe0f003e954084572; op2val:0xffffffffffffdd0f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe0f003e954084572, 0xffffffffffffdd0f, x4, 1464, x5) + +inst_462: +// rs2_val == 0xFFFFFFFFFFFFE1E2 and rs1_val == 0xF69B0AE6C099BC4C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf69b0ae6c099bc4c; op2val:0xffffffffffffe1e2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf69b0ae6c099bc4c, 0xffffffffffffe1e2, x4, 1472, x5) + +inst_463: +// rs2_val == 0xFFFFFFFFFFFFF4E3 and rs1_val == 0x29ADE05F7DDDCC72 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x29ade05f7dddcc72; op2val:0xfffffffffffff4e3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x29ade05f7dddcc72, 0xfffffffffffff4e3, x4, 1480, x5) + +inst_464: +// rs2_val == 0xFFFFFFFFFFFFFB86 and rs1_val == 0x13E6D9036DC3CCEE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x13e6d9036dc3ccee; op2val:0xfffffffffffffb86 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x13e6d9036dc3ccee, 0xfffffffffffffb86, x4, 1488, x5) + +inst_465: +// rs2_val == 0xFFFFFFFFFFFFFDC4 and rs1_val == 0x0AF2EE97D9ADF5D6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaf2ee97d9adf5d6; op2val:0xfffffffffffffdc4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaf2ee97d9adf5d6, 0xfffffffffffffdc4, x4, 1496, x5) + +inst_466: +// rs2_val == 0xFFFFFFFFFFFFFEC3 and rs1_val == 0x2A5DC0A00D453CDF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x2a5dc0a00d453cdf; op2val:0xfffffffffffffec3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x2a5dc0a00d453cdf, 0xfffffffffffffec3, x4, 1504, x5) + +inst_467: +// rs2_val == 0xFFFFFFFFFFFFFF3F and rs1_val == 0xE6A4D5CACB293EBD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe6a4d5cacb293ebd; op2val:0xffffffffffffff3f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe6a4d5cacb293ebd, 0xffffffffffffff3f, x4, 1512, x5) + +inst_468: +// rs2_val == 0xFFFFFFFFFFFFFFB1 and rs1_val == 0xA422AF666ED26006 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xa422af666ed26006; op2val:0xffffffffffffffb1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xa422af666ed26006, 0xffffffffffffffb1, x4, 1520, x5) + +inst_469: +// rs2_val == 0xFFFFFFFFFFFFFFD6 and rs1_val == 0x755961A98347DDF5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x755961a98347ddf5; op2val:0xffffffffffffffd6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x755961a98347ddf5, 0xffffffffffffffd6, x4, 1528, x5) + +inst_470: +// rs2_val == 0xFFFFFFFFFFFFFFEF and rs1_val == 0x9AAACD63FC6C3192 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9aaacd63fc6c3192; op2val:0xffffffffffffffef +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9aaacd63fc6c3192, 0xffffffffffffffef, x4, 1536, x5) + +inst_471: +// rs2_val == 0xFFFFFFFFFFFFFFF4 and rs1_val == 0x9C1F741F7886E3EB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9c1f741f7886e3eb; op2val:0xfffffffffffffff4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9c1f741f7886e3eb, 0xfffffffffffffff4, x4, 1544, x5) + +inst_472: +// rs2_val == 0xFFFFFFFFFFFFFFFB and rs1_val == 0x5902A9DBE1832AB6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x5902a9dbe1832ab6; op2val:0xfffffffffffffffb +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x5902a9dbe1832ab6, 0xfffffffffffffffb, x4, 1552, x5) + +inst_473: +// rs2_val == 0xFFFFFFFFFFFFFFFC and rs1_val == 0x1694BD33596F5F89 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1694bd33596f5f89; op2val:0xfffffffffffffffc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1694bd33596f5f89, 0xfffffffffffffffc, x4, 1560, x5) + +inst_474: +// rs2_val == 0xFFFFFFFFFFFFFFFE and rs1_val == 0xDD4EC4D8CA11073D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xdd4ec4d8ca11073d; op2val:0xfffffffffffffffe +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xdd4ec4d8ca11073d, 0xfffffffffffffffe, x4, 1568, x5) + +inst_475: +// rs2_val == 0xFFFFFFFFFFFFFFFF and rs1_val == 0xEF677106DC17AE6E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xef677106dc17ae6e; op2val:0xffffffffffffffff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xef677106dc17ae6e, 0xffffffffffffffff, x4, 1576, x5) + +inst_476: +// rs1_val == 0x313971C0A85AB567 and rs2_val == 0x0CD5D8E29408AD7D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x313971c0a85ab567; op2val:0xcd5d8e29408ad7d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x313971c0a85ab567, 0xcd5d8e29408ad7d, x4, 1584, x5) + +inst_477: +// rs1_val == 0xAEC1527485D77C9B and rs2_val == 0xDEC85B0D53A27EE1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xaec1527485d77c9b; op2val:0xdec85b0d53a27ee1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xaec1527485d77c9b, 0xdec85b0d53a27ee1, x4, 1592, x5) + +inst_478: +// rs1_val == 0xD9157BEE56DF45DE and rs2_val == 0x93017690E356529C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xd9157bee56df45de; op2val:0x93017690e356529c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xd9157bee56df45de, 0x93017690e356529c, x4, 1600, x5) + +inst_479: +// rs1_val == 0xE9907C4BEA37DC21 and rs2_val == 0x647981B7041BBAAB +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xe9907c4bea37dc21; op2val:0x647981b7041bbaab +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xe9907c4bea37dc21, 0x647981b7041bbaab, x4, 1608, x5) + +inst_480: +// rs1_val == 0xF1CCAECF31150919 and rs2_val == 0xFBDF8F979267ACB5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xf1ccaecf31150919; op2val:0xfbdf8f979267acb5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xf1ccaecf31150919, 0xfbdf8f979267acb5, x4, 1616, x5) + +inst_481: +// rs1_val == 0xFAB2993A91ED6C94 and rs2_val == 0xF37E27A312EA67E4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfab2993a91ed6c94; op2val:0xf37e27a312ea67e4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfab2993a91ed6c94, 0xf37e27a312ea67e4, x4, 1624, x5) + +inst_482: +// rs1_val == 0xFC6F9F6B4EC6F18C and rs2_val == 0x0DA64FCFEF8C60C0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfc6f9f6b4ec6f18c; op2val:0xda64fcfef8c60c0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfc6f9f6b4ec6f18c, 0xda64fcfef8c60c0, x4, 1632, x5) + +inst_483: +// rs1_val == 0xFE11D383484641F6 and rs2_val == 0x3DA82C982FB5A758 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfe11d383484641f6; op2val:0x3da82c982fb5a758 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfe11d383484641f6, 0x3da82c982fb5a758, x4, 1640, x5) + +inst_484: +// rs1_val == 0xFF0D9D6012E91176 and rs2_val == 0x9C3D087C65835BDF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xff0d9d6012e91176; op2val:0x9c3d087c65835bdf +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xff0d9d6012e91176, 0x9c3d087c65835bdf, x4, 1648, x5) + +inst_485: +// rs1_val == 0xFFBE66B1042D678A and rs2_val == 0xA6C5E21453E1E6BC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffbe66b1042d678a; op2val:0xa6c5e21453e1e6bc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffbe66b1042d678a, 0xa6c5e21453e1e6bc, x4, 1656, x5) + +inst_486: +// rs1_val == 0xFFD1F3AAB87CE2A6 and rs2_val == 0xD6220B4FBBC9DF37 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffd1f3aab87ce2a6; op2val:0xd6220b4fbbc9df37 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffd1f3aab87ce2a6, 0xd6220b4fbbc9df37, x4, 1664, x5) + +inst_487: +// rs1_val == 0xFFEB78CC9651EE66 and rs2_val == 0xFE0A9C6C9A592829 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffeb78cc9651ee66; op2val:0xfe0a9c6c9a592829 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffeb78cc9651ee66, 0xfe0a9c6c9a592829, x4, 1672, x5) + +inst_488: +// rs1_val == 0xFFF6F2FC7B8B7233 and rs2_val == 0xDA70525A725F3A8F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfff6f2fc7b8b7233; op2val:0xda70525a725f3a8f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfff6f2fc7b8b7233, 0xda70525a725f3a8f, x4, 1680, x5) + +inst_489: +// rs1_val == 0xFFFA3D4FA3B633AC and rs2_val == 0xB9FEF1D65956B39D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffa3d4fa3b633ac; op2val:0xb9fef1d65956b39d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffa3d4fa3b633ac, 0xb9fef1d65956b39d, x4, 1688, x5) + +inst_490: +// rs1_val == 0xFFFD116081ED75E8 and rs2_val == 0x67913217437CBC41 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffd116081ed75e8; op2val:0x67913217437cbc41 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffd116081ed75e8, 0x67913217437cbc41, x4, 1696, x5) + +inst_491: +// rs1_val == 0xFFFEB3BEA0FBC248 and rs2_val == 0x81AA70AC4B43AD85 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffeb3bea0fbc248; op2val:0x81aa70ac4b43ad85 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffeb3bea0fbc248, 0x81aa70ac4b43ad85, x4, 1704, x5) + +inst_492: +// rs1_val == 0xFFFF2DD55C37849B and rs2_val == 0xC26801926C967B0E +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffff2dd55c37849b; op2val:0xc26801926c967b0e +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffff2dd55c37849b, 0xc26801926c967b0e, x4, 1712, x5) + +inst_493: +// rs1_val == 0xFFFFAE62C5B3E01C and rs2_val == 0x5916D2810E24D9CC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffae62c5b3e01c; op2val:0x5916d2810e24d9cc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffae62c5b3e01c, 0x5916d2810e24d9cc, x4, 1720, x5) + +inst_494: +// rs1_val == 0xFFFFC75235A7EFC1 and rs2_val == 0x3322358CA6FABA7B +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffc75235a7efc1; op2val:0x3322358ca6faba7b +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffc75235a7efc1, 0x3322358ca6faba7b, x4, 1728, x5) + +inst_495: +// rs1_val == 0xFFFFE41C44115B05 and rs2_val == 0xA08B84F3C86342C2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffe41c44115b05; op2val:0xa08b84f3c86342c2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffe41c44115b05, 0xa08b84f3c86342c2, x4, 1736, x5) + +inst_496: +// rs1_val == 0xFFFFF59572976012 and rs2_val == 0x8E368CE0BE5265F3 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff59572976012; op2val:0x8e368ce0be5265f3 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffff59572976012, 0x8e368ce0be5265f3, x4, 1744, x5) + +inst_497: +// rs1_val == 0xFFFFF9E2E3356CC4 and rs2_val == 0xB8F4169F85BF95C0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffff9e2e3356cc4; op2val:0xb8f4169f85bf95c0 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffff9e2e3356cc4, 0xb8f4169f85bf95c0, x4, 1752, x5) + +inst_498: +// rs1_val == 0xFFFFFC47FC7C6D0B and rs2_val == 0xFFEC35FEAF334E15 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffc47fc7c6d0b; op2val:0xffec35feaf334e15 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffc47fc7c6d0b, 0xffec35feaf334e15, x4, 1760, x5) + +inst_499: +// rs1_val == 0xFFFFFE96FC6113A4 and rs2_val == 0x6D3F408B31D4FF08 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffe96fc6113a4; op2val:0x6d3f408b31d4ff08 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffe96fc6113a4, 0x6d3f408b31d4ff08, x4, 1768, x5) + +inst_500: +// rs1_val == 0xFFFFFF3F985A9EF1 and rs2_val == 0xC96EFDC4EB6992D5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffff3f985a9ef1; op2val:0xc96efdc4eb6992d5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffff3f985a9ef1, 0xc96efdc4eb6992d5, x4, 1776, x5) + +inst_501: +// rs1_val == 0xFFFFFFA4FB37BEC9 and rs2_val == 0x242A809B7A3209FE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffa4fb37bec9; op2val:0x242a809b7a3209fe +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffa4fb37bec9, 0x242a809b7a3209fe, x4, 1784, x5) + +inst_502: +// rs1_val == 0xFFFFFFC50BD61D6D and rs2_val == 0xF65E7737FBD2570D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffc50bd61d6d; op2val:0xf65e7737fbd2570d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffc50bd61d6d, 0xf65e7737fbd2570d, x4, 1792, x5) + +inst_503: +// rs1_val == 0xFFFFFFE96F738905 and rs2_val == 0xE380A1764A104E66 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffe96f738905; op2val:0xe380a1764a104e66 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffe96f738905, 0xe380a1764a104e66, x4, 1800, x5) + +inst_504: +// rs1_val == 0xFFFFFFF1AFE08A14 and rs2_val == 0xF65CF3F31DCACF74 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff1afe08a14; op2val:0xf65cf3f31dcacf74 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffff1afe08a14, 0xf65cf3f31dcacf74, x4, 1808, x5) + +inst_505: +// rs1_val == 0xFFFFFFFBBD59213F and rs2_val == 0x9E7E1FC352B42EFF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffbbd59213f; op2val:0x9e7e1fc352b42eff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffbbd59213f, 0x9e7e1fc352b42eff, x4, 1816, x5) + +inst_506: +// rs1_val == 0xFFFFFFFDA6478A56 and rs2_val == 0x85FCD601E8D7A714 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffda6478a56; op2val:0x85fcd601e8d7a714 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffda6478a56, 0x85fcd601e8d7a714, x4, 1824, x5) + +inst_507: +// rs1_val == 0xFFFFFFFEB9E93D53 and rs2_val == 0x6C5E1578754F9B96 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffeb9e93d53; op2val:0x6c5e1578754f9b96 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffeb9e93d53, 0x6c5e1578754f9b96, x4, 1832, x5) + +inst_508: +// rs1_val == 0xFFFFFFFF75697302 and rs2_val == 0x72745307EC325EEC +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff75697302; op2val:0x72745307ec325eec +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffff75697302, 0x72745307ec325eec, x4, 1840, x5) + +inst_509: +// rs1_val == 0xFFFFFFFF955D1CE8 and rs2_val == 0x7C2C966DCCADB61F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff955d1ce8; op2val:0x7c2c966dccadb61f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffff955d1ce8, 0x7c2c966dccadb61f, x4, 1848, x5) + +inst_510: +// rs1_val == 0xFFFFFFFFD7BE0830 and rs2_val == 0x9BB4752D1BD775C5 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffd7be0830; op2val:0x9bb4752d1bd775c5 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffd7be0830, 0x9bb4752d1bd775c5, x4, 1856, x5) + +inst_511: +// rs1_val == 0xFFFFFFFFE046AB61 and rs2_val == 0x0CF25923109FF475 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffe046ab61; op2val:0xcf25923109ff475 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffe046ab61, 0xcf25923109ff475, x4, 1864, x5) + +inst_512: +// rs1_val == 0xFFFFFFFFF14135A5 and rs2_val == 0xDF3C45B4090A96C9 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffff14135a5; op2val:0xdf3c45b4090a96c9 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffff14135a5, 0xdf3c45b4090a96c9, x4, 1872, x5) + +inst_513: +// rs1_val == 0xFFFFFFFFFBA1A6CB and rs2_val == 0x8F0846A22A71A2AD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffba1a6cb; op2val:0x8f0846a22a71a2ad +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffba1a6cb, 0x8f0846a22a71a2ad, x4, 1880, x5) + +inst_514: +// rs1_val == 0xFFFFFFFFFC9E9033 and rs2_val == 0x66B072B9E5E290BE +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffc9e9033; op2val:0x66b072b9e5e290be +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffc9e9033, 0x66b072b9e5e290be, x4, 1888, x5) + +inst_515: +// rs1_val == 0xFFFFFFFFFE238B6B and rs2_val == 0x2A933AD31011EEB4 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffe238b6b; op2val:0x2a933ad31011eeb4 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffe238b6b, 0x2a933ad31011eeb4, x4, 1896, x5) + +inst_516: +// rs1_val == 0xFFFFFFFFFF7D8180 and rs2_val == 0x8525E8A8458DA5EF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff7d8180; op2val:0x8525e8a8458da5ef +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffff7d8180, 0x8525e8a8458da5ef, x4, 1904, x5) + +inst_517: +// rs1_val == 0xFFFFFFFFFF832E3F and rs2_val == 0x9535971C67A07B54 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffff832e3f; op2val:0x9535971c67a07b54 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffff832e3f, 0x9535971c67a07b54, x4, 1912, x5) + +inst_518: +// rs1_val == 0xFFFFFFFFFFC77CDC and rs2_val == 0x3E1C852151C5B8B2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffc77cdc; op2val:0x3e1c852151c5b8b2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffc77cdc, 0x3e1c852151c5b8b2, x4, 1920, x5) + +inst_519: +// rs1_val == 0xFFFFFFFFFFE22746 and rs2_val == 0xB66B32848B7B5378 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffe22746; op2val:0xb66b32848b7b5378 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffe22746, 0xb66b32848b7b5378, x4, 1928, x5) + +inst_520: +// rs1_val == 0xFFFFFFFFFFF20599 and rs2_val == 0x53FBFF6C58FA6E1C +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffff20599; op2val:0x53fbff6c58fa6e1c +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffff20599, 0x53fbff6c58fa6e1c, x4, 1936, x5) + +inst_521: +// rs1_val == 0xFFFFFFFFFFFBE2C6 and rs2_val == 0xC70AFC922C9F7296 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffbe2c6; op2val:0xc70afc922c9f7296 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffbe2c6, 0xc70afc922c9f7296, x4, 1944, x5) + +inst_522: +// rs1_val == 0xFFFFFFFFFFFC39A2 and rs2_val == 0xDD68F2012DAF94C1 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffc39a2; op2val:0xdd68f2012daf94c1 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffc39a2, 0xdd68f2012daf94c1, x4, 1952, x5) + +inst_523: +// rs1_val == 0xFFFFFFFFFFFED06B and rs2_val == 0x7213516D6A013380 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffed06b; op2val:0x7213516d6a013380 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffed06b, 0x7213516d6a013380, x4, 1960, x5) + +inst_524: +// rs1_val == 0xFFFFFFFFFFFF5EA2 and rs2_val == 0x18BB28E9C5EC6148 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff5ea2; op2val:0x18bb28e9c5ec6148 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffff5ea2, 0x18bb28e9c5ec6148, x4, 1968, x5) + +inst_525: +// rs1_val == 0xFFFFFFFFFFFF8647 and rs2_val == 0x265039F699EF1857 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffff8647; op2val:0x265039f699ef1857 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffff8647, 0x265039f699ef1857, x4, 1976, x5) + +inst_526: +// rs1_val == 0xFFFFFFFFFFFFC823 and rs2_val == 0x14B91C79DAE98554 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffc823; op2val:0x14b91c79dae98554 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffc823, 0x14b91c79dae98554, x4, 1984, x5) + +inst_527: +// rs1_val == 0xFFFFFFFFFFFFEDB9 and rs2_val == 0x0973E89C3D061437 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffedb9; op2val:0x973e89c3d061437 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffedb9, 0x973e89c3d061437, x4, 1992, x5) + +inst_528: +// rs1_val == 0xFFFFFFFFFFFFF576 and rs2_val == 0xD798C9CF280B11FD +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffff576; op2val:0xd798c9cf280b11fd +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffff576, 0xd798c9cf280b11fd, x4, 2000, x5) + +inst_529: +// rs1_val == 0xFFFFFFFFFFFFFADF and rs2_val == 0x95A4D257A7298C66 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffadf; op2val:0x95a4d257a7298c66 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffadf, 0x95a4d257a7298c66, x4, 2008, x5) + +inst_530: +// rs1_val == 0xFFFFFFFFFFFFFD7F and rs2_val == 0x735C076B8C8A18B2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffd7f; op2val:0x735c076b8c8a18b2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffd7f, 0x735c076b8c8a18b2, x4, 2016, x5) + +inst_531: +// rs1_val == 0xFFFFFFFFFFFFFEE4 and rs2_val == 0x894DEAB44D88450F +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffee4; op2val:0x894deab44d88450f +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffee4, 0x894deab44d88450f, x4, 2024, x5) + +inst_532: +// rs1_val == 0xFFFFFFFFFFFFFF11 and rs2_val == 0x953B00B00B54AA22 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff11; op2val:0x953b00b00b54aa22 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff11, 0x953b00b00b54aa22, x4, 2032, x5) + +inst_533: +// rs1_val == 0xFFFFFFFFFFFFFF8B and rs2_val == 0xF829D29F3D4806C2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffff8b; op2val:0xf829d29f3d4806c2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffff8b, 0xf829d29f3d4806c2, x4, 2040, x5) +RVTEST_SIGBASE( x4,signature_x4_2) + +inst_534: +// rs1_val == 0xFFFFFFFFFFFFFFCD and rs2_val == 0xA96EC2B34D984BFF +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffcd; op2val:0xa96ec2b34d984bff +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffcd, 0xa96ec2b34d984bff, x4, 0, x5) + +inst_535: +// rs1_val == 0xFFFFFFFFFFFFFFE0 and rs2_val == 0x432779EEACCA7F0D +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xffffffffffffffe0; op2val:0x432779eeacca7f0d +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xffffffffffffffe0, 0x432779eeacca7f0d, x4, 8, x5) + +inst_536: +// rs1_val == 0xFFFFFFFFFFFFFFF5 and rs2_val == 0x61B0EE095AE6A228 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffff5; op2val:0x61b0ee095ae6a228 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffff5, 0x61b0ee095ae6a228, x4, 16, x5) + +inst_537: +// rs1_val == 0xFFFFFFFFFFFFFFFB and rs2_val == 0xFF1E5BEFBEDC25E6 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffb; op2val:0xff1e5befbedc25e6 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffb, 0xff1e5befbedc25e6, x4, 24, x5) + +inst_538: +// rs1_val == 0xFFFFFFFFFFFFFFFC and rs2_val == 0x137A977753E8EB43 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffc; op2val:0x137a977753e8eb43 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffc, 0x137a977753e8eb43, x4, 32, x5) + +inst_539: +// rs1_val == 0xFFFFFFFFFFFFFFFE and rs2_val == 0x2904CDEFCF84B683 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffffffffffe; op2val:0x2904cdefcf84b683 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xfffffffffffffffe, 0x2904cdefcf84b683, x4, 40, x5) + +inst_540: +// rs1_val == 0x6af29145404fd8ed and rs2_val == 0x990e75eafff569c2 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x6af29145404fd8ed; op2val:0x990e75eafff569c2 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x6af29145404fd8ed, 0x990e75eafff569c2, x4, 48, x5) + +inst_541: +// rs1_val == 0x1f7d946f17168ab3 and rs2_val == 0x66eae3d9bbb4f560 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x1f7d946f17168ab3; op2val:0x66eae3d9bbb4f560 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x1f7d946f17168ab3, 0x66eae3d9bbb4f560, x4, 56, x5) + +inst_542: +// rs1_val == 0x4113ee60952acffe and rs2_val == 0x53a66ed1dc80d916 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x4113ee60952acffe; op2val:0x53a66ed1dc80d916 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x4113ee60952acffe, 0x53a66ed1dc80d916, x4, 64, x5) + +inst_543: +// rs1_val == 0x9bedfe390d6ddd9d and rs2_val == 0xd05668ae0fdb82bc +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0x9bedfe390d6ddd9d; op2val:0xd05668ae0fdb82bc +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0x9bedfe390d6ddd9d, 0xd05668ae0fdb82bc, x4, 72, x5) + +inst_544: +// rs2_val == 0x6900000000000000 and rs1_val == 0xDE81BCAD0B815EF0 +// opcode: xperm8 ; op1:x10; op2:x11; dest:x12; op1val:0xde81bcad0b815ef0; op2val:0x6900000000000000 +TEST_RR_OP(xperm8, x12, x10, x11, 0x0000000000000000, 0xde81bcad0b815ef0, 0x6900000000000000, x4, 80, x5) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x6_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x6_1: + .fill 22*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 256*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 256*(XLEN/32),4,0xdeadbeef + + +signature_x4_2: + .fill 11*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END From 8c5c4c4ffef4449bb6e63a3de28265d27b958ce4 Mon Sep 17 00:00:00 2001 From: phthinh Date: Sat, 18 Dec 2021 21:45:54 +0000 Subject: [PATCH 6/6] add an entry in CHANGELOG.md --- CHANGELOG.md | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/CHANGELOG.md b/CHANGELOG.md index 14da62be1..505c7cbdd 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -1,5 +1,10 @@ # CHANGELOG +## [2.7.0] - 2021-12-18 + - Updated K Crypto (Scalar) instructions for the V.1.0.0 ratified spec. + - changed xperm.n -> xperm4 and xperm.b -> xperm8 instructions + - removed unsupported packu + ## [2.6.1] - 2021-11-25 - Fixed RVTEST_FP_ENABLE macro for the issue #223