Skip to content

Latest commit

 

History

History
19 lines (15 loc) · 732 Bytes

README.md

File metadata and controls

19 lines (15 loc) · 732 Bytes

VHDL-exercises-examples

Hey, I hope my exercises can provide value to your own study. Most of the variable and file names are in english. Feel free to use deepl.com to translate the occasional german comments.

Some general VHDL questions I encountered and researched

An incomplete documentation of GDHL commands

A couple of personal notes for the most important GHDL commands to run VHDL programms

Translations

In case you're looking for a VHDL traffic light example. 🇬🇧 traffic light = 🇩🇪 Ampel