This repository has been archived by the owner on May 15, 2024. It is now read-only.
forked from kaushalmodi/custom_uvm_report_server
-
Notifications
You must be signed in to change notification settings - Fork 0
/
hello_world.sv
51 lines (46 loc) · 1.84 KB
/
hello_world.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
//----------------------------------------------------------------------
// Copyright 2007-2010 Mentor Graphics Corporation
// Copyright 2007-2010 Cadence Design Systems, Inc.
// Copyright 2010-2011 Synopsys, Inc.
// All Rights Reserved Worldwide
//
// Licensed under the Apache License, Version 2.0 (the
// "License"); you may not use this file except in
// compliance with the License. You may obtain a copy of
// the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in
// writing, software distributed under the License is
// distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
// CONDITIONS OF ANY KIND, either express or implied. See
// the License for the specific language governing
// permissions and limitations under the License.
//----------------------------------------------------------------------
`timescale 1ns / 1ns
module hello_world;
import uvm_pkg::*;
`include "uvm_macros.svh"
`include "packet.sv"
`include "producer.sv"
`include "consumer.sv"
`include "top.sv"
top mytop;
initial begin
// Print the simulation time in ns by default
$timeformat(-9, 0, "", 11); // units, precision, suffix, min field width
uvm_default_table_printer.knobs.name_width=20;
uvm_default_table_printer.knobs.type_width=50;
uvm_default_table_printer.knobs.size_width=10;
uvm_default_table_printer.knobs.value_width=14;
uvm_config_int::set(null, "top.producer1","num_packets",2);
uvm_config_int::set(null, "top.producer2","num_packets",4);
uvm_config_int::set(null, "*","recording_detail",UVM_LOW);
//uvm_default_printer = uvm_default_tree_printer;
uvm_default_printer.knobs.reference=0;
mytop = new("top");
uvm_default_table_printer.knobs.type_width=20;
run_test();
end
endmodule