Skip to content

Prajna-Ramamurthy/Pseudo-Random-Binary-Sequence-Generator

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

5 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Pseudo-Random-Binary-Sequence-Generator

Digital Design and Computer Organisation Mini-project

A Pseudo Random Binary sequence (PRBS), is a binary sequence that, while generated with a deterministic algorithm, is difficult to predict and exhibits statistical behavior similar to a truly random sequence.

PRBS is essentially a random sequence of binary numbers. It is random in a sense that the value of an element of the sequence is independent of the values of any of the other elements. It is 'pseudo' because it is deterministic and after N elements it starts to repeat itself, unlike real random sequences.

A PRBS often acts as a "one-time padding" key sequence, therefore should be of good statistical properties, complex structure meanwhile simpleness in implementation. To meet these needs, a spatiotemporal chaotic map is digitized to develop a highly paralleled PRBS generator that accommodates to Field Programmable Gate Array (FPGA) implementation.

FPGA is an IC (Integrated Circuit) that can be programmed to perform a customized operation for a specific application. They have thousands of gates. Languages such as VHDL and Verilog are used to write the code for FPGA programming.

PRBS Generators are used in telecommunication such as in analog-to-information conversion, encryption, simulation, correlation technique and time-of-flight spectroscopy. Pseudo Random Sequence is widely used in spread spectrum communication, to spread and de-spread the information sequence.