Skip to content

Commit

Permalink
Fix track_end setting when blank.
Browse files Browse the repository at this point in the history
  • Loading branch information
DavidSagan committed Jun 28, 2024
1 parent fb866de commit d5f3e1d
Show file tree
Hide file tree
Showing 3 changed files with 4 additions and 4 deletions.
2 changes: 1 addition & 1 deletion tao/code/tao_beam_track_endpoint.f90
Original file line number Diff line number Diff line change
Expand Up @@ -57,7 +57,7 @@ function tao_beam_track_endpoint (ele_id, lat, branch_str, where, u) result (ele
else
ie = u%model_branch(branch%ix_branch)%beam%ix_track_start - 1
if (ie == -1) then
ele => branch%ele(0)
ele => branch%ele(branch%n_ele_track)
else
ele => branch%ele(ie)
endif
Expand Down
4 changes: 2 additions & 2 deletions tao/code/tao_show_this.f90
Original file line number Diff line number Diff line change
Expand Up @@ -446,8 +446,8 @@ subroutine tao_show_this (what, result_id, lines, nl)
nl=nl+1; write(lines(nl), amt) 'dump_file = ', quote(u%beam%dump_file)
nl=nl+1; write(lines(nl), rmt3) 'comb_ds_save = ', tao_branch%comb_ds_save, ' ! Note: -1 => Use (latice branch length)/plot_page%n_curve_pts'
!!!! nl=nl+1; write(lines(nl), rmt) 'comb_max_ds_save = ', tao_branch%bunch_params_comb(1)%max_ds_save
nl=nl+1; write(lines(nl), fmt) 'track_start = ', quote(bb%track_start)
nl=nl+1; write(lines(nl), fmt) 'track_end = ', quote(bb%track_end)
nl=nl+1; write(lines(nl), amt) 'track_start = ', quote(bb%track_start), ' ! ', ele_full_name(branch%ele(bb%ix_track_start))
nl=nl+1; write(lines(nl), amt) 'track_end = ', quote(bb%track_end), ' ! ', ele_full_name(branch%ele(bb%ix_track_end))

beam => u%model_branch(0)%ele(bb%ix_track_start)%beam
if (allocated(beam%bunch)) then
Expand Down
2 changes: 1 addition & 1 deletion tao/version/tao_version_mod.f90
Original file line number Diff line number Diff line change
Expand Up @@ -6,5 +6,5 @@
!-

module tao_version_mod
character(*), parameter :: tao_version_date = "2024/06/28 11:20:17"
character(*), parameter :: tao_version_date = "2024/06/28 17:45:55"
end module

0 comments on commit d5f3e1d

Please sign in to comment.