Skip to content
/ APB-UVM Public

APB verification based on Universal verification Method

License

Notifications You must be signed in to change notification settings

cp024s/APB-UVM

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

4 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

APB-UVM

Welcome to APB-UVM, a repository for the Universal Verification Methodology (UVM) based verification environment for the Advanced Peripheral Bus (APB) protocol.

Overview

APB-UVM is a meticulously crafted repository aimed at providing a robust verification environment for designs utilizing the Advanced Peripheral Bus (APB) protocol, built on the Universal Verification Methodology (UVM). With a focus on reliability and efficiency, APB-UVM offers a suite of meticulously designed modular components and testbenches tailored to ensure comprehensive verification of APB-based designs.

Whether you're verifying read, write, burst, or error scenarios, APB-UVM's comprehensive testbenches provide the necessary tools to thoroughly evaluate the functionality and compliance of your design with the APB protocol specifications. The modular design of the verification environment fosters flexibility, enabling seamless integration and customization of components to suit specific project requirements.

APB-UVM doesn't just stop at functionality; it emphasizes configurability, offering a range of parameters and configurations to adapt the verification environment to diverse design specifications and constraints. This flexibility ensures that APB-UVM remains adaptable to evolving project needs, accommodating changes and enhancements with ease.

For those navigating through the verification process, APB-UVM's documentation serves as a guiding light, offering detailed insights into environment setup, testbench architecture, configuration options, and usage examples. Whether you're a seasoned verification engineer or a newcomer to UVM, the documentation provides the necessary guidance to navigate through the intricacies of APB-UVM effortlessly.

In essence, APB-UVM stands as a testament to excellence in verification methodology, providing a solid foundation for verifying APB-based designs with confidence and efficiency.

Features

  • Modular Design: The verification environment is designed with modularity in mind, allowing easy integration and customization of components.
  • Comprehensive Testbenches: Various testbenches are provided to verify different aspects of the APB protocol, including read, write, burst, and error scenarios.
  • Configurability: Parameters and configurations are provided to adapt the verification environment to different design requirements.
  • Documentation: Detailed documentation is provided to guide users through the setup, configuration, and usage of the verification environment.

Documentation

The documentation covers various topics, including:

  • Environment setup
  • Testbench architecture
  • Configuration options
  • Usage examples

Contributions

Contributions to APB-UVM are welcome! If you encounter any issues or have suggestions for improvements, please open an issue on the GitHub repository.

License

APB-UVM is licensed under the MIT License. You are free to use, modify, and distribute the code for both commercial and non-commercial purposes.

Acknowledgments

APB-UVM is developed and maintained by cp024s. We would like to thank the UVM community for their contributions and support.

Contact

For any inquiries or support, feel free to contact the repository owner at spamsofcp@gmail.com.

About

APB verification based on Universal verification Method

Topics

Resources

License

Stars

Watchers

Forks