Skip to content

Actions: intel/llvm

SYCL Post Commit

Actions

Loading...
Loading

Show workflow options

Create status badge

Loading
655 workflow run results
655 workflow run results

Filter by Event

Filter by Status

Filter by Branch

Filter by Actor

[SYCL][ESIMD] atomic_update with data size less than 4 bytes should u…
SYCL Post Commit #655: Commit 5332773 pushed by sarnex
April 11, 2024 16:51 2h 14m 54s sycl
April 11, 2024 16:51 2h 14m 54s
[OpenCL] Config dependent-load flag to exclude CWD from DLL search pa…
SYCL Post Commit #654: Commit 05644a4 pushed by dm-vodopyanov
April 11, 2024 15:51 2h 34m 35s sycl
April 11, 2024 15:51 2h 34m 35s
[SYCL][COMPAT] shared memory test split from main tests (#13063)
SYCL Post Commit #653: Commit 00b210e pushed by steffenlarsen
April 11, 2024 14:55 1h 44m 51s sycl
April 11, 2024 14:55 1h 44m 51s
[SYCL][Graph] Disable graph tests failing on Arc (#13360)
SYCL Post Commit #652: Commit 220a309 pushed by sommerlukas
April 11, 2024 14:01 1h 33m 3s sycl
April 11, 2024 14:01 1h 33m 3s
[SYCL][NATIVECPU] Threadpool implementation for Native CPU (#13176)
SYCL Post Commit #651: Commit e404d99 pushed by steffenlarsen
April 11, 2024 13:30 2h 0m 59s sycl
April 11, 2024 13:30 2h 0m 59s
[SYCL][COMPAT] Extended device_info properties. (#13050)
SYCL Post Commit #650: Commit d932fca pushed by martygrant
April 11, 2024 13:17 1h 44m 41s sycl
April 11, 2024 13:17 1h 44m 41s
[SYCL] Handle PI_EVENT_STATUS_QUEUED return value (#13024)
SYCL Post Commit #649: Commit 92e2a76 pushed by steffenlarsen
April 11, 2024 10:44 1h 53m 31s sycl
April 11, 2024 10:44 1h 53m 31s
[SYCL][Driver] Add target DeviceConfigFile to DEPENDS of clangDriver …
SYCL Post Commit #648: Commit b1c514a pushed by steffenlarsen
April 11, 2024 10:43 1h 37m 35s sycl
April 11, 2024 10:43 1h 37m 35s
[NFC][SYCL][Bindless Image] Fix error print when VERBOSE_PRINT=1 (#13…
SYCL Post Commit #647: Commit d652283 pushed by ldrumm
April 11, 2024 10:32 1h 33m 41s sycl
April 11, 2024 10:32 1h 33m 41s
[NFC][test-e2e][kernel_from_file] Link with libdevice sanitizer (#13246)
SYCL Post Commit #646: Commit 541dd3a pushed by ldrumm
April 11, 2024 10:26 1h 45m 24s sycl
April 11, 2024 10:26 1h 45m 24s
[SYCL][E2E] Test kernel with kernel_device_specific::work_group_size …
SYCL Post Commit #645: Commit ce1c9ca pushed by againull
April 10, 2024 18:55 1h 21m 48s sycl
April 10, 2024 18:55 1h 21m 48s
[UR] Bump CUDA tag to 6e76c98a (#12285)
SYCL Post Commit #644: Commit 1d52f90 pushed by sarnex
April 10, 2024 16:56 1h 22m 36s sycl
April 10, 2024 16:56 1h 22m 36s
[UR] Bump HIP tag to 08b3e8fe (#13352)
SYCL Post Commit #643: Commit 7cf70dd pushed by sarnex
April 10, 2024 16:44 1h 33m 18s sycl
April 10, 2024 16:44 1h 33m 18s
[UR] Bump Native CPU tag to e2b5b7fa (#13349)
SYCL Post Commit #642: Commit a14d0b5 pushed by steffenlarsen
April 10, 2024 15:06 1h 47m 25s sycl
April 10, 2024 15:06 1h 47m 25s
[HIP][UR] Fix memory type detection in allocation info queries and US…
SYCL Post Commit #641: Commit 60a5c90 pushed by steffenlarsen
April 10, 2024 15:06 1h 33m 34s sycl
April 10, 2024 15:06 1h 33m 34s
[UR] Bump CUDA tag to cda0cd94 (#12287)
SYCL Post Commit #640: Commit e3b112b pushed by steffenlarsen
April 10, 2024 15:06 1h 24m 48s sycl
April 10, 2024 15:06 1h 24m 48s
Revert "[CI] Add timeout limit for SYCL-CTS"
SYCL Post Commit #639: Pull request #13350 opened by steffenlarsen
April 10, 2024 14:34 1h 29m 17s revert-13335-add-timeout-for-cts
April 10, 2024 14:34 1h 29m 17s
[SYCL][libclc][NATIVECPU] Implement generic atomic load for generic t…
SYCL Post Commit #638: Commit 65bdffb pushed by dm-vodopyanov
April 10, 2024 13:56 1h 22m 35s sycl
April 10, 2024 13:56 1h 22m 35s
[CI] Add timeout limit for SYCL-CTS (#13335)
SYCL Post Commit #637: Commit 38c5524 pushed by steffenlarsen
April 10, 2024 12:18 1h 22m 1s sycl
April 10, 2024 12:18 1h 22m 1s
[UR] Bump CUDA tag to 05b58992 (#13344)
SYCL Post Commit #636: Commit 090323e pushed by steffenlarsen
April 10, 2024 11:24 1h 22m 15s sycl
April 10, 2024 11:24 1h 22m 15s
[CI][SYCL-CTS] Skip hierarchical, re-enable h_item (#13334)
SYCL Post Commit #635: Commit 1eda3d6 pushed by steffenlarsen
April 10, 2024 10:28 1h 21m 39s sycl
April 10, 2024 10:28 1h 21m 39s
[CI] Add timeout limit for SYCL-CTS
SYCL Post Commit #634: Pull request #13335 reopened by KornevNikita
April 10, 2024 08:38 1h 22m 27s KornevNikita:add-timeout-for-cts
April 10, 2024 08:38 1h 22m 27s
[DeviceSanitizer] Strip off pointer casts and inbounds GEPs (#13262)
SYCL Post Commit #633: Commit 13a80f8 pushed by steffenlarsen
April 10, 2024 06:50 1h 47m 3s sycl
April 10, 2024 06:50 1h 47m 3s
[SYCL] Update clangd test after queue.hpp change in PR#13241 (#13342)
SYCL Post Commit #632: Commit b963e89 pushed by againull
April 10, 2024 03:48 1h 30m 39s sycl
April 10, 2024 03:48 1h 30m 39s
Revert "[SYCL][Driver] Link with sycl libs at link step of clang-cl -…
SYCL Post Commit #631: Commit 4b993a7 pushed by againull
April 9, 2024 19:59 1h 50m 21s sycl
April 9, 2024 19:59 1h 50m 21s