Skip to content

Release v1.2.0

Latest
Compare
Choose a tag to compare
@jchabloz jchabloz released this 16 Mar 01:16
· 6 commits to main since this release
1adb789

What's Changed

  • [Python] Modified Verisocks constructor and Verisocks.connect() method to include arguments for multiple, delayed connection trials. Examples and test have been simplified accordingly.
  • [C] Added correct management of system call interrupts while waiting on client connection in the server code (see here for details about the issue).
  • [Doc] Added section on alternative simulators support in doc.
  • [Python] Added method verisocks.utils.setup_sim_run() to simplify foreseen support for alternative simulators.

Full Changelog: v1.1.0...v1.2.0