Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[SYCL][Graph] Update doc for UR PR moving reset commands to a dedicated cmd-list #357

Closed
wants to merge 744 commits into from
Closed
Show file tree
Hide file tree
Changes from all commits
Commits
Show all changes
744 commits
Select commit Hold shift + click to select a range
0a600c3
[mlir][nvgpu] Make `phaseParity` of `mbarrier.try_wait` `i1` (#81460)
grypp Feb 13, 2024
4588525
CoverageMappingReader/Writer: MCDCConditionID shouldn't be zero
chapuni Feb 12, 2024
270f2c5
[clang][dataflow] Add `Environment::initializeFieldsWithValues()`. (#…
martinboehme Feb 13, 2024
5b01522
[AMDGPU] Use LLT::isPointerOrPointerVector in legalizer (#81582)
jayfoad Feb 13, 2024
d860ea9
[HWASAN] Update dbg.assign intrinsics in HWAsan pass (#79864)
OCHyams Feb 13, 2024
44706bd
[InstCombine] Don't add fcmp instructions to strictfp functions (#81498)
ostannard Feb 13, 2024
ca61e6a
Revert "[CVP] Check whether the default case is reachable (#79993)" (…
dtcxzyw Feb 13, 2024
ebe77cc
[clang-tidy] ignore local variable with [maybe_unused] attribute in b…
HerrCai0907 Feb 13, 2024
8c6e96d
[clang][Dataflow] Fix unnecessary copy in `initializeFieldsWithValues…
antoniofrighetto Feb 13, 2024
f506192
[libc][NFC] Small `abs` related simplifications (#79858)
gchatelet Feb 13, 2024
4c93109
[AMDGPU][NFC] Get rid of some operand decoders defined using macros. …
kosarev Feb 13, 2024
fe3406e
[lld] Add target support for SystemZ (s390x) (#75643)
uweigand Feb 13, 2024
9ca1a15
[flang][Driver] Add -masm option to flang (#81490)
DavidSpickett Feb 13, 2024
a8fb0dc
[dataflow] CXXOperatorCallExpr equal operator might not be a glvalue …
paulsemel Feb 13, 2024
79ce2c9
[mlir][VectorOps] Add conversion of 1-D vector.interleave ops to LLVM…
MacDue Feb 13, 2024
e678e6e
[gn build] Port fe3406e34988
llvmgnsyncbot Feb 13, 2024
8456e0c
[ADT] Allow std::next to work on BitVector's set_bits_iterator (#80830)
jayfoad Feb 13, 2024
55d6643
[mlir][openmp] - Add the depend clause to omp.target and related offl…
bhandarkar-pranav Feb 13, 2024
e79ad7b
[flang][docs] Fix a couple of warnings
DavidSpickett Feb 13, 2024
97088b2
[RemoveDIs][ValueMapper] Remap DIAssignIDs in DPValues (#81595)
OCHyams Feb 13, 2024
bfc0b7c
[mlir][linalg] Document ops not supported by the vectoriser (nfc) (#8…
banach-space Feb 13, 2024
35ef399
[mlir][vector] ND vectors linearization pass (#81159)
Hardcode84 Feb 13, 2024
990896a
[clang][Interp][NFC] Fix a prototype argument name
tbaederr Feb 13, 2024
208edf7
[RISCV] Fix assertion in lowerEXTRACT_SUBVECTOR
lukel97 Feb 13, 2024
9b718c0
[clang][Interp] Handle CXXUuidofExprs
tbaederr Feb 13, 2024
a70077e
[SystemZ][z/OS][libcxx] mark aligned allocation tests XFAIL on z/OS (…
abhina-sree Feb 13, 2024
a17a3e9
[MC/DC] Refactor: Make `MCDCParams` as `std::variant` (#81227)
chapuni Feb 13, 2024
880afa1
[TableGen] Use vectors instead of sets for testing intersection. NFC.…
jayfoad Feb 13, 2024
bb60c06
[clang][Interp] Handle Requires- and ConceptSpecializationExprs
tbaederr Feb 13, 2024
f655778
[OpenACC] Implement AST for OpenACC Compute Constructs (#81188)
erichkeane Feb 13, 2024
af56bea
[gn build] Port f65577830073
llvmgnsyncbot Feb 13, 2024
742ec3a
MCDCTypes.h: Add ctors, fixup for #81227
chapuni Feb 13, 2024
4612208
[Object][COFF][NFC] Make writeImportLibrary NativeExports argument op…
cjacek Feb 13, 2024
d759618
Reapply "[DebugInfo][RemoveDIs] Turn on non-instrinsic debug-info by …
OCHyams Feb 13, 2024
f7cddf8
[TableGen] Use std::move instead of swap. NFC. (#81606)
jayfoad Feb 13, 2024
d1f510c
Fix warning by removing unused variable (#81604)
Leporacanthicus Feb 13, 2024
5e5e51e
Make use of std::inserter. NFC.
jayfoad Feb 13, 2024
38c706e
[GitHub][workflows] Ask reviewers to merge PRs when author cannot (#8…
DavidSpickett Feb 13, 2024
89c1bf1
[ARM] __ARM_ARCH macro definition fix (#81493)
jwestwood921 Feb 13, 2024
25b9ed6
[DAGCombine] Fix multi-use miscompile in load combine (#81586)
nikic Feb 13, 2024
4ad9f5b
ci: Temporarily disable the buildkite job on Windows (#81538)
tstellar Feb 13, 2024
192c23b
[SLP] Add X86 version of non-power-of-2 vectorization tests.
fhahn Feb 13, 2024
485ebbf
[TableGen] Use emplace_back instead of resize to size() + 1. NFC.
jayfoad Feb 13, 2024
4f13f35
[NFC][LLVM][AsmWriter] Extract logic to write out ConstantFP from Wri…
paulwalker-arm Feb 13, 2024
987258f
[Flang] Add __powerpc__ macro to set c_intmax_t to c_int64_t rather t…
DanielCChen Feb 13, 2024
381a00d
[clang][Driver][HLSL] Fix formatting of clang-dxc options group title
DavidSpickett Feb 13, 2024
11fcae6
[LLVM] Add `__builtin_readsteadycounter` intrinsic and builtin for re…
jhuber6 Feb 13, 2024
1f90af1
[TableGen] Do not speculatively grow RegUnitSets. NFC.
jayfoad Feb 13, 2024
8ba4ff3
[DirectX][NFC] Change specification of overload types and attribute i…
bharadwajy Feb 13, 2024
1d84792
[clang][Driver] Small correction to print-runtime-dir
DavidSpickett Feb 13, 2024
a23c262
Merge from 'sycl' to 'sycl-web'
Feb 13, 2024
d58c128
[lldb-dap][NFC] Add Breakpoint struct to share common logic. (#80753)
ZequanWu Feb 13, 2024
7a5c1a4
[clang][docs] Fix warning in LanguageExtensions
DavidSpickett Feb 13, 2024
7a47113
[mlir][nfc] Add tests for linalg.mmt4d (#81422)
banach-space Feb 13, 2024
f879ac0
[libc] Rework the RPC interface to accept runtime wave sizes (#80914)
jhuber6 Feb 13, 2024
d79c3c5
[flang][cuda] Lower launch_bounds values (#81537)
clementval Feb 13, 2024
1dacfd1
[libc] Round up time for GPU nanosleep implementation (#81630)
jhuber6 Feb 13, 2024
e847abc
[TableGen] Remove trivial helper function hasRegUnit. NFC.
jayfoad Feb 13, 2024
a7cebad
[TableGen] Trivial simplification in computeRegUnitSets. NFC.
jayfoad Feb 13, 2024
9be7b0a
[IRGen][AArch64][RISCV] Generalize bitcast between i1 predicate vecto…
topperc Feb 13, 2024
742a06f
[clang] Remove #undef alloca workaround (#81534)
aeubanks Feb 13, 2024
9838c85
[RISCV] Copy typepromotion-overflow.ll from AArch64. NFC
topperc Feb 13, 2024
7d40ea8
[RISCV] Enable the TypePromotion pass from AArch64/ARM.
topperc Feb 13, 2024
5e3c7e3
[flang][cuda] Lower cluster_dims values (#81636)
clementval Feb 13, 2024
502a88b
[lldb][NFCI] Add header guard to PlatformRemoteAppleXR.h (#81565)
bulbazord Feb 13, 2024
63198e0
[libc] Remove remaining GPU architecture dependent instructions (#81612)
jhuber6 Feb 13, 2024
6eae6b9
Merge from 'main' to 'sycl-web' (110 commits)
jyu2-git Feb 13, 2024
16140ff
[mlir][ROCDL] Add synchronization primitives (#80888)
giuseros Feb 13, 2024
c830c12
[libc] Remove leftover target dependent intrinsic
jhuber6 Feb 13, 2024
2422e96
[NFC][InstrProf]Factor out getCanonicalName to compute the canonical …
minglotus-6 Feb 13, 2024
79ce933
[InstCombine] Extend `(lshr/shl (shl/lshr -1, x), x)` -> `(lshr/shl -…
goldsteinn Feb 13, 2024
f79f58d
Revert "[clang] Remove #undef alloca workaround" (#81649)
Prabhuk Feb 13, 2024
e20462a
[StatepointLowering] Use Constant instead of TargetConstant for undef…
danilaml Feb 13, 2024
9dd2c59
InstCombine: Enable SimplifyDemandedUseFPClass and remove flag (#81108)
arsenm Feb 13, 2024
fc0e9c8
[libc++][modules] Re-add build dir CMakeLists.txt. (#81370)
mordante Feb 13, 2024
a04c636
Don't count all the frames just to skip the current inlined ones. (#8…
jimingham Feb 13, 2024
a69ecb2
Add the ability to define a Python based command that uses CommandObj…
jimingham Feb 13, 2024
be9f8ff
[mlir][flang][openmp] Rework wsloop reduction operations (#80019)
DavidTruby Feb 13, 2024
3985eda
[docs] Update links for C++ compiler supported features in CodingStan…
RIscRIpt Feb 13, 2024
1b65742
[SeparateConstOffsetFromGEP] Reorder trivial GEP chains to separate c…
jrbyrnes Feb 13, 2024
3a48630
[Clang][Sema] Diagnose friend declarations with enum elaborated-type-…
sdkrystian Feb 13, 2024
2772692
[Flang][OpenMP] NFC: Code-format changes
kiranchandramohan Feb 13, 2024
f5cc961
[mlir] Fix a warning
kazutakahirata Feb 13, 2024
ec0aa16
[SeparateConstOffsetFromGEP] Fix test after 1b65742f8c71f576381fe85d5…
jrbyrnes Feb 13, 2024
ca8cb53
Merge from 'sycl' to 'sycl-web' (4 commits)
Feb 13, 2024
ac97562
[OpenMP][AIX]Define struct kmp_base_tas_lock with the order of two me…
xingxue-ibm Feb 13, 2024
c1a99b2
[Sparc] limit MaxAtomicSizeInBitsSupported to 32 for 32-bit Sparc. (#…
jyknight Feb 13, 2024
d0a1bf8
[TypePromotion] Remove an unreachable 'return false'. NFC
topperc Feb 13, 2024
4e00551
[libc] Allow BigInt class to use base word types other than uint64_t.…
lntue Feb 13, 2024
f0b271e
Temporarily disable the TestAddParsedCommand.py while I figure out
jimingham Feb 13, 2024
2400f70
[mlir][sparse] add assemble test for Batched-CSR and CSR-Dense (#81660)
aartbik Feb 13, 2024
5296149
[DWARFDump] Make --verify handle all sections by default (#81559)
felipepiovezan Feb 13, 2024
91f4a84
[lldb][DWARFIndex] Use IDX_parent to implement GetFullyQualifiedType …
felipepiovezan Feb 13, 2024
fa77e1f
[DebugInfo][RemoveDIs] Convert back to intrinsic form for ThinLTO
jmorse Feb 13, 2024
99c5a66
Revert "[SeparateConstOffsetFromGEP] Reorder trivial GEP chains to se…
preames Feb 13, 2024
8c56e78
[lldb-dap] Add support for data breakpoint. (#81541)
ZequanWu Feb 13, 2024
735e88e
Merge from 'main' to 'sycl-web' (32 commits)
jyu2-git Feb 13, 2024
473ef10
[WebAssembly] Demote PHIs in catchswitch BB only (#81570)
aheejin Feb 13, 2024
fd3a0c1
Revert "Reapply "[DebugInfo][RemoveDIs] Turn on non-instrinsic debug-…
aeubanks Feb 13, 2024
84277fe
[libc][stdfix] Generate stdfix.h header with fixed point precision ma…
lntue Feb 13, 2024
9f87bfe
[SPIRV] Add to LINK_COMPONENTS to fix BUILD_SHARED_LIBS build
jrtc27 Feb 13, 2024
c92bf6b
[SPIRV] Add to LINK_COMPONENTS to fix BUILD_SHARED_LIBS check
jrtc27 Feb 13, 2024
5b38615
[lldb][test] Switch LLDB API tests from vendored unittest2 to unittes…
rupprecht Feb 13, 2024
137bd78
[flang] Register LLVMTranslationDialectInterface for FIR. (#81668)
vzakhari Feb 13, 2024
e06f352
[-Wunsafe-buffer-usage] Emit fixits for array decayed to pointer (#80…
jkorous-apple Feb 13, 2024
017675f
[attributes][analyzer] Generalize [[clang::suppress]] to declarations…
haoNoQ Feb 13, 2024
0de2b26
[RISCV] Register fixed stack slots for callee saved registers for -ms…
topperc Feb 13, 2024
cb1a9f7
[InstSimplify] Add trivial simplifications for gc.relocate intrinsic …
danilaml Feb 13, 2024
4bc2a4f
[gn] fix typo in 8c56e78ec531
nico Feb 13, 2024
bf3d5db
[lld/ELF] fix typos to cycle bots
nico Feb 13, 2024
a6b846a
[libc++][ranges] Implement ranges::contains_subrange (#66963)
ZijunZhaoCCK Feb 13, 2024
9168a21
[gn build] Port a6b846ae1e58
llvmgnsyncbot Feb 13, 2024
3122969
[mlir][sparse] add doubly compressed test case to assembly op (#81687)
aartbik Feb 13, 2024
3647ff1
Used std::vector::reserve when I meant std::vector::resize.
jimingham Feb 14, 2024
f45b9d9
[RISCV] Add canonical ISA string as Module metadata in IR. (#80760)
topperc Feb 14, 2024
21630ef
[X86][CodeGen] Restrict F128 lowering to GNU environment (#81664)
pranavk Feb 14, 2024
429919e
[mlir][sparse][pybind][CAPI] remove LevelType enum from CAPI, constru…
PeimingLiu Feb 14, 2024
1ec8197
Temporarily skip this test for Python 3.9.
jimingham Feb 14, 2024
7180c23
[SeparateConstOffsetFromGEP] Reland: Reorder trivial GEP chains to se…
jrbyrnes Feb 14, 2024
1dbb9fd
Merge from 'sycl' to 'sycl-web' (3 commits)
Feb 14, 2024
29d1aca
[AMDGPU][MLIR]Add shmem-optimization as an op using transform dialect…
erman-gurses Feb 14, 2024
d33f6f4
Merge from 'main' to 'sycl-web' (33 commits)
jyu2-git Feb 14, 2024
22d2f3a
Move the parsed_cmd conversion def's to module level functions.
jimingham Feb 14, 2024
edff3ff
[llvm][Support] Add ExponentialBackoff helper (#81206)
Bigcheese Feb 14, 2024
14b0d0d
[gn build] Port edff3ff4d37a
llvmgnsyncbot Feb 14, 2024
09e9895
[clang][InstallAPI] Introduce basic driver to write out tbd files (#…
cyndyishida Feb 14, 2024
a3f61c8
[SHT_LLVM_BB_ADDR_MAP][obj2yaml] Implements PGOAnalysisMap for elf2ya…
red1bluelost Feb 14, 2024
ec5f4a4
[InstallAPI] Add missing link to clangBasic
cyndyishida Feb 14, 2024
3f738a4
[Sanitizers][ABI] Remove too strong assert in asan_abi_shim (#81696)
wrotki Feb 14, 2024
bc08cc2
[mlir][tensor] Add support for tensor.pack static shapes inference. (…
hanhanW Feb 14, 2024
b9567bc
[RISCV] Use SelectionDAG::getVScale in lowerVPReverseExperimental. NF…
lukel97 Feb 14, 2024
69bcb69
Apply clang-tidy fixes for llvm-qualified-auto in TensorOps.cpp (NFC)
joker-eph Oct 20, 2023
a854982
Apply clang-tidy fixes for readability-simplify-boolean-expr in Tenso…
joker-eph Oct 20, 2023
d2f0676
Apply clang-tidy fixes for readability-identifier-naming in TosaOps.c…
joker-eph Oct 20, 2023
153661d
Apply clang-tidy fixes for llvm-qualified-auto in TransformOps.cpp (NFC)
joker-eph Oct 20, 2023
70ebc78
Apply clang-tidy fixes for performance-unnecessary-value-param in Tra…
joker-eph Oct 20, 2023
61c83e9
Revert "[clang-format][NFC] Make LangOpts global in namespace Format"
owenca Feb 14, 2024
eafe98f
[clang-format] Always add a space after #if and #elif (#81578)
owenca Feb 14, 2024
3537ccc
[mlir][Bazel] Add missing dependency after 29d1aca05caa06e30d7a76ee15…
akuegel Feb 14, 2024
e625310
[DAGCombiner] Remove unnecessary commonAlignment from CombineExtLoad.…
topperc Feb 14, 2024
86ce491
[DAGCombiner] Remove unneeded commonAlignment from reduceLoadWidth. (…
topperc Feb 14, 2024
b5d694b
[mlir][nvvm] Introduce `nvvm.barrier` OP (#81487)
grypp Feb 14, 2024
dc866ae
[ValueTracking] Move the `isSignBitCheck` helper into ValueTracking. …
dtcxzyw Feb 14, 2024
a2eb234
[clang][analyzer] Reformat code of BoolAssignmentChecker (NFC). (#81461)
balazske Feb 14, 2024
0fee211
[RISCV] Remove -riscv-v-fixed-length-vector-lmul-max from tests. NFC …
lukel97 Feb 14, 2024
bd2f7bb
[bazel] Port for 09e98950bfcff7ad376922932efb2b56e4db9898
hokein Feb 14, 2024
5c8985e
clangCodeGen: Introduce `MCDC::State` with `MCDCState.h` (#81497)
chapuni Feb 14, 2024
243f14d
[bazel] Add missing dependencies for the newly-added install_api lib
hokein Feb 14, 2024
470c5b8
[InstSimplify][InstCombine] Remove unnecessary `m_c_*` matchers. (#81…
dtcxzyw Feb 14, 2024
5932f3f
[clangCodeGen] Fix the build failure for 5c8985e7703b013c5df0612c3cbc…
hokein Feb 14, 2024
855bac2
[llvm-dwp] Add missing llvm-dwp tool in lit config tool substitution …
Enna1 Feb 14, 2024
8f0435f
[bazel][mlir] Fix deps of //mlir:AMDGPUTransformOps
chsigg Feb 14, 2024
17ac5b1
[AMDGPU] Make use of defvar in DSDIR definitions. NFC.
jayfoad Feb 14, 2024
cb8f910
[AMDGPU] Do not test both wave sizes for DSDIR disassembly (#81719)
jayfoad Feb 14, 2024
65b5647
[DeadStoreElimination] Optimize tautological assignments (#75744)
BK1603 Feb 14, 2024
55a7ff8
[mlir][nfc] Move Op signature to one line
banach-space Feb 14, 2024
124cd11
Revert "[GitHub][workflows] Ask reviewers to merge PRs when author ca…
nikic Feb 14, 2024
786537e
[X86] Use explicit const SDValue& to avoid implicit copy in for-range…
RKSimon Feb 13, 2024
f82e080
[X86] Add v8i64/v16i32/v16i64 ctpop reduction test coverage
RKSimon Feb 13, 2024
ca56966
[VPlan] Properly retain flags when cloning VPReplicateRecipe.
fhahn Feb 14, 2024
f1b2865
[mlir][docs] Fix typos in TargetLLVMIR.md (#81549)
keryell Feb 14, 2024
0c8b594
[llvm-dlltool][NFC] Factor out parseModuleDefinition helper. (#81620)
cjacek Feb 14, 2024
82f3cbc
[MLIR][Python] Added a base class to all builtin floating point types…
superbobry Feb 14, 2024
6cab375
[AArch64] Add tests for fusion on Ampere1/1A/1B (#81725)
ptomsich Feb 14, 2024
debca7e
[VPlan] Move dropping of poison flags to VPlanTransforms. (NFC)
fhahn Feb 14, 2024
5027569
[clang][NFC] Use "notable" for "interesting" identifiers in `Identifi…
Endilll Feb 14, 2024
c5e1384
[clang][docs] Remove trailing whitespace
DavidSpickett Feb 14, 2024
16a0629
[ValueTracking] Compute known FPClass from signbit idiom (#80740)
dtcxzyw Feb 14, 2024
0323235
[libc] Add user defined literals to initialize `BigInt` and `__uint12…
gchatelet Feb 14, 2024
f723260
[TableGen] Stop using make_pair and make_tuple. NFC. (#81730)
jayfoad Feb 14, 2024
6c84709
[AArch64] Materialize constants via fneg. (#80641)
davemgreen Feb 14, 2024
bd8fcf7
[mlir][python] expose LLVMStructType API (#81672)
ftynse Feb 14, 2024
8e24bc0
[C23] Do not diagnose binary literals as an extension (#81658)
AaronBallman Feb 14, 2024
1a1fcac
[MC/DC] Refactor: Introduce `ConditionIDs` as `std::array<2>` (#81221)
chapuni Feb 14, 2024
43c7eb5
[AMDGPU] Replace '.' with '-' in generic target names (#81718)
Pierre-vh Feb 14, 2024
dd1897c
[AArch64] Initial Ampere1B scheduling model (#81341)
ptomsich Feb 14, 2024
2d7fdfa
[gn] port 09e98950bfcf (InstallAPI)
nico Feb 14, 2024
a50bd0d
[RemoveDIs] Replicate dbg intrinsic movement pattern in SelectOptimiz…
OCHyams Feb 14, 2024
b37bd78
[clang][Interp][NFC] Add missing special cases for implicit functions
tbaederr Feb 14, 2024
232cf94
[mlir] update bazel for bd8fcf75df11406527de423daa63e21c3ec8609b
ftynse Feb 14, 2024
9c06b07
[AMDGPU] Refactor export instruction definitions. NFC. (#81738)
jayfoad Feb 14, 2024
457c179
[NFC] Add API documentation and annotations (#78635)
llvm-beanz Feb 14, 2024
995c906
[bazel][mlir] Fix after 232cf9498f15626029bad1c2a7cdaebc4bb11d95
chsigg Feb 14, 2024
ea2d938
[mlir][Transforms][NFC] Improve listener layering in dialect conversi…
matthias-springer Feb 14, 2024
1c10821
[LoopVectorize] Fix divide-by-zero bug (#80836) (#81721)
david-arm Feb 14, 2024
8faefe3
[mlir][Transforms][NFC] Modularize block actions (#81237)
matthias-springer Feb 14, 2024
a93a4ec
Reapply "[DebugInfo][RemoveDIs] Turn on non-instrinsic debug-info by …
OCHyams Feb 14, 2024
2347a47
[clang][Interp][NFC] Make a local variable const
tbaederr Feb 14, 2024
8f4cd2c
[mlir][Transforms] Support `moveOpBefore`/`After` in dialect conversi…
matthias-springer Feb 14, 2024
4efbf52
[libc][__support][bit] remove compiler has builtin checks (#81679)
nickdesaulniers Feb 14, 2024
0f6f5bf
[libc][__support][bit] simplify FLZ (#81678)
nickdesaulniers Feb 14, 2024
7c4c274
[MLIR][NFC] Fix some comments in padding transform. (#81741)
javedabsar1 Feb 14, 2024
6059671
[libc][stdbit] implement stdc_first_leading_one (C23) (#81502)
nickdesaulniers Feb 14, 2024
6f90773
[lld/ELF] Avoid unnecessary TPOFF relocations in GOT for -pie (#81739)
uweigand Feb 14, 2024
411554a
[libc][NFC] Use user defined literals to build 128 and 256 bit consta…
gchatelet Feb 14, 2024
0f33c54
[polly][ScheduleOptimizer] Use IslMaxOperationsGuard helper instead o…
kartcq Feb 14, 2024
78d401b
Revert "[libc][NFC] Use user defined literals to build 128 and 256 bi…
gchatelet Feb 14, 2024
630f82e
[Clang][CodeGen] Loose the cast check when emitting builtins (#81669)
shiltian Feb 14, 2024
dbc40b3
[lldb] Fix the flakey Concurrent tests on macOS (#81710)
jasonmolenda Feb 14, 2024
1ddc541
Apply clang-tidy fixes for readability-simplify-boolean-expr in Trans…
joker-eph Oct 20, 2023
8383bf2
Apply clang-tidy fixes for llvm-else-after-return in IndexingUtils.cp…
joker-eph Oct 20, 2023
89dc313
Apply clang-tidy fixes for llvm-qualified-auto in VectorUnroll.cpp (NFC)
joker-eph Oct 20, 2023
bf4480d
Apply clang-tidy fixes for readability-identifier-naming in SparseTen…
joker-eph Oct 20, 2023
d99d258
Apply clang-tidy fixes for llvm-include-order in InferIntRangeInterfa…
joker-eph Oct 20, 2023
275eeda
[RISCV] Split long build_vector sequences to reduce critical path (#8…
preames Feb 14, 2024
307cd88
[lldb][NFCI] Remove CommandObjectProcessHandle::VerifyCommandOptionVa…
bulbazord Feb 14, 2024
16e7d68
[libc][stdbit] implement stdc_first_trailing_zero (C23) (#81526)
nickdesaulniers Feb 14, 2024
6d4ffbd
[clang][CodeGen] Shift relink option implementation away from module …
lamb-j Feb 14, 2024
0728f6d
Merge from 'sycl' to 'sycl-web'
Feb 14, 2024
5337a8a
[SYCL][Graph] Add node and graph queries for mixed usage (#12366)
Bensuo Feb 15, 2024
f910a4c
[SYCL][Fusion] Set `IsNewDbgInfoFormat` when creating new functions (…
victor-eds Feb 15, 2024
62a0010
[UR] bump tag to f11823e1 (#12721)
igchor Feb 15, 2024
00eebe1
[SYCL][Matrix] Add joint matrix query for CUDA and HIP backends (#12075)
konradkusiak97 Feb 15, 2024
6b8792c
[CUDA][HIP][TEST-E2E] Include the necessary environment paths during …
mmoadeli Feb 15, 2024
3f445cf
[SYCL] fix for syclcompat test on Windows (#12696)
cperkinsintel Feb 15, 2024
6194f3c
[SYCL] Revert friend changes to assignment and incr/decr for swizzles…
steffenlarsen Feb 15, 2024
44a74d0
[ESIMD] Fix atomic_update() implementation for N=16 and N=32 on Gen12…
v-klochkov Feb 15, 2024
4565039
Merge from 'main' to 'sycl-web' (107 commits)
jyu2-git Feb 15, 2024
a617aad
Implement SPV_INTEL_task_sequence extension (#2340)
vmaksimo Feb 9, 2024
6695b8a
Remove internal values for SPV_INTEL_cache_controls (#2346)
vmaksimo Feb 9, 2024
64cefa5
Fix SPIR-V consumption of DebugInfoNone for debug types (#2341)
MrSidims Feb 10, 2024
ae1d570
Fix DebugTypeVector test (#2347)
MrSidims Feb 12, 2024
f7b658f
Map to unordered_map for SPIRVIdToEntryMap (#2348)
bwlodarcz Feb 12, 2024
d18a70f
Fix BufferLocationINTEL decoration translation (#2335)
MrSidims Feb 12, 2024
272ba9e
Prepare for non-instrinsic debug info (#2362)
MrSidims Feb 14, 2024
55a143b
Fix allowed types for OpConstantNull (#2361)
svenvh Feb 15, 2024
339c1c6
Map FPFastMathModeINTEL on SPV_INTEL_fp_fast_math_mode (#2360)
MrSidims Feb 15, 2024
1bec982
[SYCL] Fix malloc shared by throwing when usm_shared_allocations not …
lbushi25 Feb 15, 2024
90bcc32
[UR] Pull in fix from UR #1344. (#12725)
aarongreig Feb 15, 2024
1c223e1
[SYCL] Disable warnings when compiling plugins from UR sources (#12730)
againull Feb 15, 2024
746ed9f
Bump cryptography from 41.0.6 to 42.0.0 in llvm/utils/git/requirement…
lucyli-ca Feb 16, 2024
0c74e16
LLVM and SPIRV-LLVM-Translator pulldown (WW07 2024)
bb-sycl Feb 16, 2024
79d775e
[SYCL] add overlooked default context test . (#12728)
cperkinsintel Feb 16, 2024
aa015f3
[NFCI] More convertToOpenCLType-related simplifications (#12717)
aelovikov-intel Feb 16, 2024
d747667
[SYCL][Graph] Clean-up E2E Tests (#12685)
EwanC Feb 16, 2024
f316273
[ESIMD] Enable -fsycl-esimd-force-stateless-mem by default (#9452)
v-klochkov Feb 16, 2024
27c9546
[SYCL][ESIMD] Implement scatter for local accessors accepting compile…
fineg74 Feb 16, 2024
76bbf93
[SYCL][ESIMD] Reenable slm_block_load_store test (#12733)
fineg74 Feb 16, 2024
1a98c4c
[UR] Update UR to 227a5ed (#12742)
againull Feb 16, 2024
54a67eb
[SYCL][Graph] Avoid unnecessary inter-partition dependencies (#12680)
mfrancepillois Feb 19, 2024
f614781
[SYCL][Bindless] Fix Grad flag (#12729)
Naghasan Feb 19, 2024
5310b20
UR fix for MaxRegsPerBlock check in setKernelParams (#12549)
rafbiels Feb 19, 2024
d697024
Fix a leak in pi_unified_runtime.cpp. (#12589)
yingcong-wu Feb 19, 2024
8293a5c
[NFC][SYCL] Move a helper to its single legacy use (#12740)
aelovikov-intel Feb 19, 2024
b36cdd1
[NFC][SYCL] Drop unused is_<type class>_v traits (#12739)
aelovikov-intel Feb 20, 2024
5fae0aa
Bump cryptography from 42.0.0 to 42.0.2 in /llvm/utils/git (#12746)
dependabot[bot] Feb 20, 2024
436e687
[ESIMD][NFC][E2E] Fix 570 compilation warnings in ESIMD E2E tests (#1…
v-klochkov Feb 20, 2024
6863dfc
[GHA] Uplift Linux GPU RT version to 24.05.28454.6 (#12764)
bb-sycl Feb 20, 2024
8e21a1d
[SYCL][Graph] Update doc for UR PR moving reset commands to a dedicat…
mfrancepillois Feb 20, 2024
ed730fe
Merge branch 'sycl' into maxime/UR-improve-ZE-enqueue-delay
mfrancepillois Feb 20, 2024
File filter

Filter by extension

Filter by extension


Conversations
Failed to load comments.
Loading
Jump to
The table of contents is too big for display.
Diff view
Diff view
  •  
  •  
  •  
5 changes: 4 additions & 1 deletion .ci/generate-buildkite-pipeline-premerge
Original file line number Diff line number Diff line change
Expand Up @@ -233,7 +233,10 @@ linux_projects=$(add-dependencies ${linux_projects_to_test} | sort | uniq)

windows_projects_to_test=$(exclude-windows $(compute-projects-to-test ${modified_projects}))
windows_check_targets=$(check-targets ${windows_projects_to_test} | sort | uniq)
windows_projects=$(add-dependencies ${windows_projects_to_test} | sort | uniq)
# Temporary disable the windows job.
# See https://discourse.llvm.org/t/rfc-future-of-windows-pre-commit-ci/76840
#windows_projects=$(add-dependencies ${windows_projects_to_test} | sort | uniq)
windows_projects=""

# Generate the appropriate pipeline
if [[ "${linux_projects}" != "" ]]; then
Expand Down
3 changes: 3 additions & 0 deletions .git-blame-ignore-revs
Original file line number Diff line number Diff line change
Expand Up @@ -78,3 +78,6 @@ f6d557ee34b6bbdb1dc32f29e34b4a4a8ad35e81
082b89b25faae3e45a023caf51b65ca0f02f377f
0ba22f51d128bee9d69756c56c4678097270e10b
84da0e1bb75f8666cf222d2f600f37bebb9ea389

# [NFC] clang-format utils/TableGen (#80973)
b9079baaddfed5e604fbfaa1d81a7a1c38e78c26
3 changes: 2 additions & 1 deletion .github/CODEOWNERS
Validating CODEOWNERS rules …
Original file line number Diff line number Diff line change
Expand Up @@ -50,8 +50,9 @@ sycl/plugins/**/hip/ @intel/llvm-reviewers-cuda
# CUDA specific runtime implementations
sycl/include/sycl/ext/oneapi/experimental/cuda/ @intel/llvm-reviewers-cuda

# CUDA device code tests
# CUDA and HIP device code tests
sycl/test/check_device_code/cuda/ @intel/llvm-reviewers-cuda
sycl/test/check_device_code/hip/ @intel/llvm-reviewers-cuda

# XPTI instrumentation utilities
xpti/ @intel/llvm-reviewers-runtime
Expand Down
1 change: 1 addition & 0 deletions .github/workflows/issue-release-workflow.yml
Original file line number Diff line number Diff line change
Expand Up @@ -65,4 +65,5 @@ jobs:
release-workflow \
--branch-repo-token ${{ secrets.RELEASE_WORKFLOW_PUSH_SECRET }} \
--issue-number ${{ github.event.issue.number }} \
--requested-by ${{ github.event.issue.user.login }} \
auto
32 changes: 32 additions & 0 deletions .github/workflows/llvm-project-workflow-tests.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,32 @@
# This workflow will test the llvm-project-tests workflow in PRs
# targetting the main branch. Since this workflow doesn't normally
# run on main PRs, we need some way to test it to ensure new updates
# don't break it.

name: LLVM Workflow Test

permissions:
contents: read

on:
pull_request:
branches:
- 'main'
paths:
- '.github/workflows/llvm-project-tests.yml'
- '.github/workflows/llvm-project-workflow-tests.yml'

concurrency:
# Skip intermediate builds: always.
# Cancel intermediate builds: only if it is a pull request build.
group: ${{ github.workflow }}-${{ github.ref }}
cancel-in-progress: ${{ startsWith(github.ref, 'refs/pull/') }}

jobs:
llvm-test:
if: github.repository_owner == 'llvm'
name: Build and Test
uses: ./.github/workflows/llvm-project-tests.yml
with:
build_target: check-all
projects: clang;lld;libclc;lldb
51 changes: 47 additions & 4 deletions bolt/include/bolt/Core/BinaryContext.h
Original file line number Diff line number Diff line change
Expand Up @@ -145,6 +145,35 @@ class FilterIterator {
}
};

/// BOLT-exclusive errors generated in core BOLT libraries, optionally holding a
/// string message and whether it is fatal or not. In case it is fatal and if
/// BOLT is running as a standalone process, the process might be killed as soon
/// as the error is checked.
class BOLTError : public ErrorInfo<BOLTError> {
public:
static char ID;

BOLTError(bool IsFatal, const Twine &S = Twine());
void log(raw_ostream &OS) const override;
bool isFatal() const { return IsFatal; }

const std::string &getMessage() const { return Msg; }
std::error_code convertToErrorCode() const override;

private:
bool IsFatal;
std::string Msg;
};

/// Streams used by BOLT to log regular or error events
struct JournalingStreams {
raw_ostream &Out;
raw_ostream &Err;
};

Error createNonFatalBOLTError(const Twine &S);
Error createFatalBOLTError(const Twine &S);

class BinaryContext {
BinaryContext() = delete;

Expand Down Expand Up @@ -237,7 +266,8 @@ class BinaryContext {
public:
static Expected<std::unique_ptr<BinaryContext>>
createBinaryContext(const ObjectFile *File, bool IsPIC,
std::unique_ptr<DWARFContext> DwCtx);
std::unique_ptr<DWARFContext> DwCtx,
JournalingStreams Logger);

/// Superset of compiler units that will contain overwritten code that needs
/// new debug info. In a few cases, functions may end up not being
Expand Down Expand Up @@ -605,6 +635,10 @@ class BinaryContext {

std::unique_ptr<MCAsmBackend> MAB;

/// Allows BOLT to print to log whenever it is necessary (with or without
/// const references)
mutable JournalingStreams Logger;

/// Indicates if the binary is Linux kernel.
bool IsLinuxKernel{false};

Expand Down Expand Up @@ -737,7 +771,8 @@ class BinaryContext {
std::unique_ptr<const MCInstrAnalysis> MIA,
std::unique_ptr<MCPlusBuilder> MIB,
std::unique_ptr<const MCRegisterInfo> MRI,
std::unique_ptr<MCDisassembler> DisAsm);
std::unique_ptr<MCDisassembler> DisAsm,
JournalingStreams Logger);

~BinaryContext();

Expand Down Expand Up @@ -1349,8 +1384,12 @@ class BinaryContext {
return Offset;
}

void exitWithBugReport(StringRef Message,
const BinaryFunction &Function) const;
/// Log BOLT errors to journaling streams and quit process with non-zero error
/// code 1 if error is fatal.
void logBOLTErrorsAndQuitOnFatal(Error E);

std::string generateBugReportMessage(StringRef Message,
const BinaryFunction &Function) const;

struct IndependentCodeEmitter {
std::unique_ptr<MCObjectFileInfo> LocalMOFI;
Expand Down Expand Up @@ -1398,6 +1437,10 @@ class BinaryContext {
assert(IOAddressMap && "Address map not set yet");
return *IOAddressMap;
}

raw_ostream &outs() const { return Logger.Out; }

raw_ostream &errs() const { return Logger.Err; }
};

template <typename T, typename = std::enable_if_t<sizeof(T) == 1>>
Expand Down
21 changes: 11 additions & 10 deletions bolt/include/bolt/Core/BinaryFunction.h
Original file line number Diff line number Diff line change
Expand Up @@ -1910,12 +1910,11 @@ class BinaryFunction {

/// Support dynamic relocations in constant islands, which may happen if
/// binary is linked with -z notext option.
void markIslandDynamicRelocationAtAddress(uint64_t Address) {
if (!isInConstantIsland(Address)) {
errs() << "BOLT-ERROR: dynamic relocation found for text section at 0x"
<< Twine::utohexstr(Address) << "\n";
exit(1);
}
Error markIslandDynamicRelocationAtAddress(uint64_t Address) {
if (!isInConstantIsland(Address))
return createFatalBOLTError(
Twine("dynamic relocation found for text section at 0x") +
Twine::utohexstr(Address) + Twine("\n"));

// Mark island to have dynamic relocation
Islands->HasDynamicRelocations = true;
Expand All @@ -1924,6 +1923,7 @@ class BinaryFunction {
// move binary data during updateOutputValues, making us emit
// dynamic relocation with the right offset value.
getOrCreateIslandAccess(Address);
return Error::success();
}

bool hasDynamicRelocationAtIsland() const {
Expand Down Expand Up @@ -2054,9 +2054,10 @@ class BinaryFunction {
/// state to State:Disassembled.
///
/// Returns false if disassembly failed.
bool disassemble();
Error disassemble();

void handlePCRelOperand(MCInst &Instruction, uint64_t Address, uint64_t Size);
Error handlePCRelOperand(MCInst &Instruction, uint64_t Address,
uint64_t Size);

MCSymbol *handleExternalReference(MCInst &Instruction, uint64_t Size,
uint64_t Offset, uint64_t TargetAddress,
Expand Down Expand Up @@ -2100,7 +2101,7 @@ class BinaryFunction {
///
/// Returns true on success and update the current function state to
/// State::CFG. Returns false if CFG cannot be built.
bool buildCFG(MCPlusBuilder::AllocatorIdTy);
Error buildCFG(MCPlusBuilder::AllocatorIdTy);

/// Perform post-processing of the CFG.
void postProcessCFG();
Expand Down Expand Up @@ -2217,7 +2218,7 @@ class BinaryFunction {
}

/// Process LSDA information for the function.
void parseLSDA(ArrayRef<uint8_t> LSDAData, uint64_t LSDAAddress);
Error parseLSDA(ArrayRef<uint8_t> LSDAData, uint64_t LSDAAddress);

/// Update exception handling ranges for the function.
void updateEHRanges();
Expand Down
4 changes: 2 additions & 2 deletions bolt/include/bolt/Core/BinarySection.h
Original file line number Diff line number Diff line change
Expand Up @@ -112,7 +112,7 @@ class BinarySection {
static StringRef getName(SectionRef Section) {
return cantFail(Section.getName());
}
static StringRef getContents(SectionRef Section) {
static StringRef getContentsOrQuit(SectionRef Section) {
if (Section.getObject()->isELF() &&
ELFSectionRef(Section).getType() == ELF::SHT_NOBITS)
return StringRef();
Expand Down Expand Up @@ -159,7 +159,7 @@ class BinarySection {

BinarySection(BinaryContext &BC, SectionRef Section)
: BC(BC), Name(getName(Section)), Section(Section),
Contents(getContents(Section)), Address(Section.getAddress()),
Contents(getContentsOrQuit(Section)), Address(Section.getAddress()),
Size(Section.getSize()), Alignment(Section.getAlignment().value()),
OutputName(Name), SectionNumber(++Count) {
if (isELF()) {
Expand Down
17 changes: 11 additions & 6 deletions bolt/include/bolt/Core/DIEBuilder.h
Original file line number Diff line number Diff line change
Expand Up @@ -15,6 +15,7 @@
#ifndef BOLT_CORE_DIE_BUILDER_H
#define BOLT_CORE_DIE_BUILDER_H

#include "bolt/Core/BinaryContext.h"
#include "llvm/CodeGen/DIE.h"
#include "llvm/DebugInfo/DWARF/DWARFAbbreviationDeclaration.h"
#include "llvm/DebugInfo/DWARF/DWARFDie.h"
Expand All @@ -32,6 +33,7 @@
namespace llvm {

namespace bolt {

class DIEStreamer;
class DebugStrOffsetsWriter;

Expand Down Expand Up @@ -120,6 +122,7 @@ class DIEBuilder {
std::unique_ptr<State> BuilderState;
FoldingSet<DIEAbbrev> AbbreviationsSet;
std::vector<std::unique_ptr<DIEAbbrev>> Abbreviations;
BinaryContext &BC;
DWARFContext *DwarfContext{nullptr};
bool IsDWO{false};
uint64_t UnitSize{0};
Expand Down Expand Up @@ -219,9 +222,10 @@ class DIEBuilder {
if (getState().CloneUnitCtxMap[UnitId].DieInfoVector.size() > DIEId)
return *getState().CloneUnitCtxMap[UnitId].DieInfoVector[DIEId].get();

errs() << "BOLT-WARNING: [internal-dwarf-error]: The DIE is not allocated "
"before looking up, some"
<< "unexpected corner cases happened.\n";
BC.errs()
<< "BOLT-WARNING: [internal-dwarf-error]: The DIE is not allocated "
"before looking up, some"
<< "unexpected corner cases happened.\n";
return *getState().CloneUnitCtxMap[UnitId].DieInfoVector.front().get();
}

Expand Down Expand Up @@ -261,7 +265,7 @@ class DIEBuilder {
DIE *constructDIEFast(DWARFDie &DDie, DWARFUnit &U, uint32_t UnitId);

public:
DIEBuilder(DWARFContext *DwarfContext, bool IsDWO = false);
DIEBuilder(BinaryContext &BC, DWARFContext *DwarfContext, bool IsDWO = false);

/// Returns enum to what we are currently processing.
ProcessingType getCurrentProcessingState() { return getState().Type; }
Expand Down Expand Up @@ -295,8 +299,9 @@ class DIEBuilder {
if (getState().TypeDIEMap.count(&DU))
return getState().TypeDIEMap[&DU];

errs() << "BOLT-ERROR: unable to find TypeUnit for Type Unit at offset 0x"
<< DU.getOffset() << "\n";
BC.errs()
<< "BOLT-ERROR: unable to find TypeUnit for Type Unit at offset 0x"
<< DU.getOffset() << "\n";
return nullptr;
}

Expand Down
15 changes: 8 additions & 7 deletions bolt/include/bolt/Core/DynoStats.h
Original file line number Diff line number Diff line change
Expand Up @@ -159,8 +159,9 @@ inline DynoStats getDynoStats(FuncsType &Funcs, bool IsAArch64) {

/// Call a function with optional before and after dynostats printing.
template <typename FnType, typename FuncsType>
inline void callWithDynoStats(FnType &&Func, FuncsType &Funcs, StringRef Phase,
const bool Flag, bool IsAArch64) {
inline void callWithDynoStats(raw_ostream &OS, FnType &&Func, FuncsType &Funcs,
StringRef Phase, const bool Flag,
bool IsAArch64) {
DynoStats DynoStatsBefore(IsAArch64);
if (Flag)
DynoStatsBefore = getDynoStats(Funcs, IsAArch64);
Expand All @@ -170,12 +171,12 @@ inline void callWithDynoStats(FnType &&Func, FuncsType &Funcs, StringRef Phase,
if (Flag) {
const DynoStats DynoStatsAfter = getDynoStats(Funcs, IsAArch64);
const bool Changed = (DynoStatsAfter != DynoStatsBefore);
outs() << "BOLT-INFO: program-wide dynostats after running " << Phase
<< (Changed ? "" : " (no change)") << ":\n\n"
<< DynoStatsBefore << '\n';
OS << "BOLT-INFO: program-wide dynostats after running " << Phase
<< (Changed ? "" : " (no change)") << ":\n\n"
<< DynoStatsBefore << '\n';
if (Changed)
DynoStatsAfter.print(outs(), &DynoStatsBefore);
outs() << '\n';
DynoStatsAfter.print(OS, &DynoStatsBefore);
OS << '\n';
}
}

Expand Down
4 changes: 3 additions & 1 deletion bolt/include/bolt/Core/Exceptions.h
Original file line number Diff line number Diff line change
Expand Up @@ -30,13 +30,14 @@ class FDE;

namespace bolt {

class BinaryContext;
class BinaryFunction;

/// \brief Wraps up information to read all CFI instructions and feed them to a
/// BinaryFunction, as well as rewriting CFI sections.
class CFIReaderWriter {
public:
explicit CFIReaderWriter(const DWARFDebugFrame &EHFrame);
explicit CFIReaderWriter(BinaryContext &BC, const DWARFDebugFrame &EHFrame);

bool fillCFIInfoFor(BinaryFunction &Function) const;

Expand All @@ -59,6 +60,7 @@ class CFIReaderWriter {
const FDEsMap &getFDEs() const { return FDEs; }

private:
BinaryContext &BC;
FDEsMap FDEs;
};

Expand Down
2 changes: 1 addition & 1 deletion bolt/include/bolt/Passes/ADRRelaxationPass.h
Original file line number Diff line number Diff line change
Expand Up @@ -30,7 +30,7 @@ class ADRRelaxationPass : public BinaryFunctionPass {
const char *getName() const override { return "adr-relaxation"; }

/// Pass entry point
void runOnFunctions(BinaryContext &BC) override;
Error runOnFunctions(BinaryContext &BC) override;
void runOnFunction(BinaryFunction &BF);
};

Expand Down
2 changes: 1 addition & 1 deletion bolt/include/bolt/Passes/Aligner.h
Original file line number Diff line number Diff line change
Expand Up @@ -39,7 +39,7 @@ class AlignerPass : public BinaryFunctionPass {
const char *getName() const override { return "aligner"; }

/// Pass entry point
void runOnFunctions(BinaryContext &BC) override;
Error runOnFunctions(BinaryContext &BC) override;
};

} // namespace bolt
Expand Down
2 changes: 1 addition & 1 deletion bolt/include/bolt/Passes/AllocCombiner.h
Original file line number Diff line number Diff line change
Expand Up @@ -33,7 +33,7 @@ class AllocCombinerPass : public BinaryFunctionPass {
}

/// Pass entry point
void runOnFunctions(BinaryContext &BC) override;
Error runOnFunctions(BinaryContext &BC) override;
};

} // namespace bolt
Expand Down
2 changes: 1 addition & 1 deletion bolt/include/bolt/Passes/AsmDump.h
Original file line number Diff line number Diff line change
Expand Up @@ -28,7 +28,7 @@ class AsmDumpPass : public BinaryFunctionPass {
bool shouldPrint(const BinaryFunction &BF) const override { return false; }

/// Pass entry point
void runOnFunctions(BinaryContext &BC) override;
Error runOnFunctions(BinaryContext &BC) override;
};

} // namespace bolt
Expand Down
Loading
Loading