Skip to content

Commit

Permalink
ip/clk: remove unused ena port
Browse files Browse the repository at this point in the history
  • Loading branch information
redchenjs committed May 7, 2020
1 parent e1b593d commit bda8b87
Show file tree
Hide file tree
Showing 8 changed files with 20 additions and 45 deletions.
3 changes: 1 addition & 2 deletions ip/clk/globalclk.qsys
Original file line number Diff line number Diff line change
Expand Up @@ -44,7 +44,6 @@
type="conduit"
dir="end">
<port name="inclk" internal="inclk" />
<port name="ena" internal="ena" />
</interface>
<interface
name="altclkctrl_output"
Expand All @@ -62,7 +61,7 @@
<parameter name="CLOCK_TYPE" value="1" />
<parameter name="DEVICE_FAMILY" value="MAX 10" />
<parameter name="ENA_REGISTER_MODE" value="1" />
<parameter name="GUI_USE_ENA" value="true" />
<parameter name="GUI_USE_ENA" value="false" />
<parameter name="NUMBER_OF_CLOCKS" value="1" />
<parameter name="USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATION" value="false" />
</module>
Expand Down
12 changes: 3 additions & 9 deletions ip/clk/globalclk.sopcinfo
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="globalclk" kind="globalclk" version="1.0" fabric="QSYS">
<!-- Format version 19.1 670 (Future versions may contain additional information.) -->
<!-- 2020.04.09.22:56:32 -->
<!-- 2020.05.08.07:04:05 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1586444192</value>
<value>1588892645</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>
Expand Down Expand Up @@ -107,7 +107,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="GUI_USE_ENA">
<type>boolean</type>
<value>true</value>
<value>false</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
Expand Down Expand Up @@ -185,12 +185,6 @@ parameters are a RESULT of the module parameters. -->
<width>1</width>
<role>inclk</role>
</port>
<port>
<name>ena</name>
<direction>Input</direction>
<width>1</width>
<role>ena</role>
</port>
</interface>
<interface name="altclkctrl_output" kind="conduit_end" version="19.1">
<!-- The connection points exposed by a module instance for the
Expand Down
1 change: 0 additions & 1 deletion ip/clk/globalclk/globalclk.cmp
Original file line number Diff line number Diff line change
@@ -1,7 +1,6 @@
component globalclk is
port (
inclk : in std_logic := 'X'; -- inclk
ena : in std_logic := 'X'; -- ena
outclk : out std_logic -- outclk
);
end component globalclk;
Expand Down
14 changes: 4 additions & 10 deletions ip/clk/globalclk/synthesis/globalclk.debuginfo
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="globalclk" kind="system" version="19.1" fabric="QSYS">
<!-- Format version 19.1 670 (Future versions may contain additional information.) -->
<!-- 2020.04.09.22:56:33 -->
<!-- 2020.05.08.07:04:06 -->
<!-- A collection of modules and connections -->
<parameter name="clockCrossingAdapter">
<type>com.altera.sopcmodel.ensemble.EClockAdapter</type>
Expand Down Expand Up @@ -53,7 +53,7 @@
</parameter>
<parameter name="generationId">
<type>int</type>
<value>1586444192</value>
<value>1588892645</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
Expand Down Expand Up @@ -189,7 +189,7 @@ the requested settings for a module instance. -->
</parameter>
<parameter name="GUI_USE_ENA">
<type>boolean</type>
<value>true</value>
<value>false</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>true</visible>
Expand Down Expand Up @@ -267,12 +267,6 @@ parameters are a RESULT of the module parameters. -->
<width>1</width>
<role>inclk</role>
</port>
<port>
<name>ena</name>
<direction>Input</direction>
<width>1</width>
<role>ena</role>
</port>
</interface>
<interface name="altclkctrl_output" kind="conduit_end" version="19.1">
<!-- The connection points exposed by a module instance for the
Expand Down Expand Up @@ -341,5 +335,5 @@ parameters are a RESULT of the module parameters. -->
<version>19.1</version>
</plugin>
<reportVersion>19.1 670</reportVersion>
<uniqueIdentifier>005056C00008000001715F714CC9</uniqueIdentifier>
<uniqueIdentifier>5CE0C587F67700000171F161BA18</uniqueIdentifier>
</EnsembleReport>
6 changes: 3 additions & 3 deletions ip/clk/globalclk/synthesis/globalclk.qip
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ set_global_assignment -entity "globalclk" -library "globalclk" -name IP_TOOL_NAM
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_TOOL_ENV "Qsys"
set_global_assignment -library "globalclk" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../globalclk.sopcinfo"]
set_global_assignment -entity "globalclk" -library "globalclk" -name SLD_INFO "QSYS_NAME globalclk HAS_SOPCINFO 1 GENERATION_ID 1586444192"
set_global_assignment -entity "globalclk" -library "globalclk" -name SLD_INFO "QSYS_NAME globalclk HAS_SOPCINFO 1 GENERATION_ID 1588892645"
set_global_assignment -library "globalclk" -name MISC_FILE [file join $::quartus(qip_path) "../globalclk.cmp"]
set_global_assignment -library "globalclk" -name SLD_FILE [file join $::quartus(qip_path) "globalclk.debuginfo"]
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_TARGETED_DEVICE_FAMILY "MAX 10"
Expand All @@ -15,7 +15,7 @@ set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONEN
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_REPORT_HIERARCHY "On"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_VERSION "MS4w"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTU4NjQ0NDE5Mg==::QXV0byBHRU5FUkFUSU9OX0lE"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTU4ODg5MjY0NQ==::QXV0byBHRU5FUkFUSU9OX0lE"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNMDhTQ00xNTNDOEc=::QXV0byBERVZJQ0U="
set_global_assignment -entity "globalclk" -library "globalclk" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
Expand All @@ -28,7 +28,7 @@ set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -nam
set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::RGV2aWNlIEZhbWlseQ=="
set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfVFlQRQ==::MQ==::SG93IGRvIHlvdSB3YW50IHRvIHVzZSB0aGUgQUxUQ0xLQ1RSTD8="
set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX0NMT0NLUw==::MQ==::SG93IG1hbnkgY2xvY2sgaW5wdXRzIHdvdWxkIHlvdSBsaWtlPw=="
set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -name IP_COMPONENT_PARAMETER "R1VJX1VTRV9FTkE=::dHJ1ZQ==::Q3JlYXRlICdlbmEnIHBvcnQgdG8gZW5hYmxlIG9yIGRpc2FibGUgdGhlIGNsb2NrIG5ldHdvcmsgZHJpdmVuIGJ5IHRoaXMgYnVmZmVyPw=="
set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -name IP_COMPONENT_PARAMETER "R1VJX1VTRV9FTkE=::ZmFsc2U=::Q3JlYXRlICdlbmEnIHBvcnQgdG8gZW5hYmxlIG9yIGRpc2FibGUgdGhlIGNsb2NrIG5ldHdvcmsgZHJpdmVuIGJ5IHRoaXMgYnVmZmVyPw=="
set_global_assignment -entity "globalclk_altclkctrl_0" -library "globalclk" -name IP_COMPONENT_PARAMETER "VVNFX0dMSVRDSF9GUkVFX1NXSVRDSF9PVkVSX0lNUExFTUVOVEFUSU9O::ZmFsc2U=::RW5zdXJlIGdsaXRjaC1mcmVlIHN3aXRjaG92ZXIgaW1wbGVtZW50YXRpb24="

set_global_assignment -library "globalclk" -name VERILOG_FILE [file join $::quartus(qip_path) "globalclk.v"]
Expand Down
2 changes: 0 additions & 2 deletions ip/clk/globalclk/synthesis/globalclk.v
Original file line number Diff line number Diff line change
Expand Up @@ -5,13 +5,11 @@
`timescale 1 ps / 1 ps
module globalclk (
input wire inclk, // altclkctrl_input.inclk
input wire ena, // .ena
output wire outclk // altclkctrl_output.outclk
);

globalclk_altclkctrl_0 altclkctrl_0 (
.inclk (inclk), // altclkctrl_input.inclk
.ena (ena), // .ena
.outclk (outclk) // altclkctrl_output.outclk
);

Expand Down
25 changes: 9 additions & 16 deletions ip/clk/globalclk/synthesis/submodules/globalclk_altclkctrl_0.v
Original file line number Diff line number Diff line change
Expand Up @@ -88,35 +88,28 @@ endmodule //globalclk_altclkctrl_0_sub
`timescale 1 ps / 1 ps
// synopsys translate_on
module globalclk_altclkctrl_0 (
ena,
inclk,
outclk);

input ena;
input inclk;
output outclk;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 ena;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif

wire sub_wire0;
wire outclk;
wire sub_wire1;
wire [3:0] sub_wire2;
wire [2:0] sub_wire3;
wire sub_wire2;
wire [3:0] sub_wire3;
wire [2:0] sub_wire4;

assign outclk = sub_wire0;
assign sub_wire1 = inclk;
assign sub_wire2[3:0] = {sub_wire3, sub_wire1};
assign sub_wire3[2:0] = 3'h0;
assign sub_wire1 = 1'h1;
assign sub_wire2 = inclk;
assign sub_wire3[3:0] = {sub_wire4, sub_wire2};
assign sub_wire4[2:0] = 3'h0;

globalclk_altclkctrl_0_sub globalclk_altclkctrl_0_sub_component (
.ena (ena),
.inclk (sub_wire2),
.ena (sub_wire1),
.inclk (sub_wire3),
.outclk (sub_wire0));

endmodule
2 changes: 0 additions & 2 deletions rtl/sys_ctl.sv
Original file line number Diff line number Diff line change
Expand Up @@ -40,13 +40,11 @@ rst_sync sys_rst_n_sync(

globalclk global_clk(
.inclk(pll_200m),
.ena(1'b1),
.outclk(sys_clk_out)
);

globalclk global_rst_n(
.inclk(sys_rst_n),
.ena(1'b1),
.outclk(sys_rst_n_out)
);

Expand Down

0 comments on commit bda8b87

Please sign in to comment.