Skip to content

Commit

Permalink
fixed end-of-file whitespace
Browse files Browse the repository at this point in the history
  • Loading branch information
billmcspadden-riscv committed Apr 30, 2024
1 parent 978d107 commit 29825da
Show file tree
Hide file tree
Showing 2 changed files with 0 additions and 6 deletions.
5 changes: 0 additions & 5 deletions SAIL_RISCV_ROOTDIR
Original file line number Diff line number Diff line change
@@ -1,10 +1,5 @@

This file is created in the root directory for the RISC-V Sail model.
It is used as a reference point for various tools within the repo.

Do not rename it. Do not move it. Do not duplicate it anywhere in
the repo, else tooling may get confused.




1 change: 0 additions & 1 deletion bin/run_tests.py
Original file line number Diff line number Diff line change
Expand Up @@ -727,4 +727,3 @@ def ignore_test(testname) :
sys.exit(1)
else :
sys.exit(0)

0 comments on commit 29825da

Please sign in to comment.