Skip to content

tcamolesi/simple-mips

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

70 Commits
 
 
 
 
 
 
 
 

Repository files navigation

Single Cycle MIPS Processor implemented in VHDL

Supported Instructions:
  + I-Type
    - ADDI

  + R-Type
    - ADD
    - SUB
    - AND
    - OR
    - SLT

  + Branch
    - BNE
    - BEQ

  + J-Type
    - J

About

Simple MIPS processor written in VHDL

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages