Skip to content

Commit

Permalink
try to fix xyce issue
Browse files Browse the repository at this point in the history
also rename SB_PROBE -> SB_SETUP_PROBES, use macros in args example
  • Loading branch information
sgherbst committed Apr 17, 2024
1 parent 5506a65 commit da8c14d
Show file tree
Hide file tree
Showing 16 changed files with 31 additions and 34 deletions.
14 changes: 3 additions & 11 deletions examples/args/testbench.sv
Original file line number Diff line number Diff line change
@@ -1,23 +1,15 @@
// Copyright (c) 2024 Zero ASIC Corporation
// This code is licensed under Apache License 2.0 (see LICENSE for details)

`include "switchboard.vh"

module testbench (
`ifdef VERILATOR
input clk
`endif
);
// clock

`ifndef VERILATOR

reg clk;
always begin
clk = 1'b0;
#5;
clk = 1'b1;
#5;
end

`SB_CREATE_CLOCK(clk)
`endif

integer a=0, b=0;
Expand Down
2 changes: 1 addition & 1 deletion examples/axi/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -66,7 +66,7 @@ module testbench (

// Set up waveform probing

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/axil/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -64,7 +64,7 @@ module testbench (

// Set up waveform probing

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/minimal/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -45,7 +45,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

// $finish

Expand Down
2 changes: 1 addition & 1 deletion examples/python/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -45,7 +45,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

// $finish

Expand Down
2 changes: 1 addition & 1 deletion examples/router/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -44,6 +44,6 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule
2 changes: 1 addition & 1 deletion examples/stream/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -43,7 +43,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

// $finish

Expand Down
2 changes: 1 addition & 1 deletion examples/umi_endpoint/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -72,7 +72,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/umi_fifo/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -60,7 +60,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/umi_fifo_flex/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -61,7 +61,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/umi_gpio/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -61,7 +61,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/umi_splitter/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -49,7 +49,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
2 changes: 1 addition & 1 deletion examples/umiram/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -39,7 +39,7 @@ module testbench (

// Waveforms

`SB_PROBE
`SB_SETUP_PROBES

endmodule

Expand Down
4 changes: 3 additions & 1 deletion examples/xyce/testbench.sv
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
// Copyright (c) 2024 Zero ASIC Corporation
// This code is licensed under Apache License 2.0 (see LICENSE for details)

`include "switchboard.vh"

module testbench (
`ifdef VERILATOR
input clk
Expand Down Expand Up @@ -48,6 +50,6 @@ module testbench (

// Waveform probing

`SB_PROBE
`SB_SETUP_PROBES

endmodule
1 change: 1 addition & 0 deletions switchboard/sbdut.py
Original file line number Diff line number Diff line change
Expand Up @@ -203,6 +203,7 @@ def __init__(

if trace:
self.set('option', 'trace', True)
self.set('option', 'define', 'SB_TRACE')

if self.trace_type == 'fst':
self.set('option', 'define', 'SB_TRACE_FST')
Expand Down
22 changes: 12 additions & 10 deletions switchboard/verilog/common/switchboard.vh
Original file line number Diff line number Diff line change
Expand Up @@ -318,14 +318,16 @@
`SB_DELAY(0.5 * period); \
end

`define SB_PROBE \
initial begin \
if ($test$plusargs("trace")) begin \
`ifdef SB_TRACE_FST \
$dumpfile("testbench.fst"); \
`else \
$dumpfile("testbench.vcd"); \
`endif \
$dumpvars(0, testbench); \
`define SB_SETUP_PROBES \
`ifdef SB_TRACE \
initial begin \
if ($test$plusargs("trace")) begin \
`ifdef SB_TRACE_FST \
$dumpfile("testbench.fst"); \
`else \
$dumpfile("testbench.vcd"); \
`endif \
$dumpvars(0, testbench); \
end \
end \
end
`endif

0 comments on commit da8c14d

Please sign in to comment.