Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

switch to SC version 0.24.0 #248

Merged
merged 2 commits into from
Jul 9, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension


Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
2 changes: 1 addition & 1 deletion examples/axi/test.py
Original file line number Diff line number Diff line change
Expand Up @@ -100,7 +100,7 @@ def build_testbench():
dut = SbDut('axi_ram', autowrap=True, cmdline=True, extra_args=extra_args,
parameters=parameters, interfaces=interfaces, resets=resets)

dut.register_package_source(
dut.register_source(
'verilog-axi',
'git+https://github.com/alexforencich/verilog-axi.git',
'38915fb'
Expand Down
2 changes: 1 addition & 1 deletion examples/axil/test.py
Original file line number Diff line number Diff line change
Expand Up @@ -93,7 +93,7 @@ def build_testbench():
dut = SbDut('axil_ram', autowrap=True, cmdline=True, extra_args=extra_args,
parameters=parameters, interfaces=interfaces, resets=resets)

dut.register_package_source(
dut.register_source(
'verilog-axi',
'git+https://github.com/alexforencich/verilog-axi.git',
'38915fb'
Expand Down
2 changes: 1 addition & 1 deletion examples/fpga_loopback/test.py
Original file line number Diff line number Diff line change
Expand Up @@ -63,7 +63,7 @@ def build_testbench(fast=False):
dut.set('tool', tool, 'task', 'compile', 'var', 'pins_bv', '2')

# libsystemctlm-soc configuration
dut.register_package_source(
dut.register_source(
'libsystemctlm-soc',
'git+https://github.com/Xilinx/libsystemctlm-soc.git',
'670d73c'
Expand Down
2 changes: 1 addition & 1 deletion examples/network/test.py
Original file line number Diff line number Diff line change
Expand Up @@ -134,7 +134,7 @@ def make_axil_ram(net):
dut = net.make_dut('axil_ram', parameters=parameters,
interfaces=interfaces, resets=resets)

dut.register_package_source(
dut.register_source(
'verilog-axi',
'git+https://github.com/alexforencich/verilog-axi.git',
'38915fb'
Expand Down
2 changes: 1 addition & 1 deletion requirements.txt
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@

numpy
tqdm
siliconcompiler <0.24.0
siliconcompiler >= 0.24.0

# Testing dependencies
#:test
Expand Down
4 changes: 3 additions & 1 deletion switchboard/sbdut.py
Original file line number Diff line number Diff line change
Expand Up @@ -235,6 +235,8 @@ def __init__(

self.set('option', 'builddir', str(Path(builddir).resolve()))

self.set('option', 'clean', True) # preserve old behavior

self.set('option', 'mode', 'sim')

if not subcomponent:
Expand All @@ -252,7 +254,7 @@ def __init__(
self.add('option', opt, sb_path() / 'verilog' / 'common')

if trace:
self.set('option', 'trace', True)
self.set('tool', 'verilator', 'task', 'compile', 'var', 'trace', True)
self.add('option', 'define', 'SB_TRACE')

if self.trace_type == 'fst':
Expand Down
5 changes: 3 additions & 2 deletions switchboard/sc/morty/uniquify.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,7 @@
# This code is licensed under Apache License 2.0 (see LICENSE for details)

from .morty import setup as setup_tool
from siliconcompiler.tools._common import get_tool_task


def setup(chip):
Expand All @@ -13,7 +14,7 @@ def setup(chip):
tool = 'morty'
step = chip.get('arg', 'step')
index = chip.get('arg', 'index')
task = chip._get_task(step, index)
_, task = get_tool_task(chip, step, index)

chip.set('tool', tool, 'task', task, 'var', 'suffix',
'suffix to be added to the end of module names',
Expand All @@ -28,7 +29,7 @@ def runtime_options(chip):
tool = 'morty'
step = chip.get('arg', 'step')
index = chip.get('arg', 'index')
task = chip._get_task(step, index)
_, task = get_tool_task(chip, step, index)
design = chip.top()

cmdlist = []
Expand Down
5 changes: 3 additions & 2 deletions switchboard/sc/sed/remove.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,7 @@
# This code is licensed under Apache License 2.0 (see LICENSE for details)

from .sed import setup as setup_tool
from siliconcompiler.tools._common import get_tool_task


def setup(chip):
Expand All @@ -12,7 +13,7 @@ def setup(chip):
tool = 'sed'
step = chip.get('arg', 'step')
index = chip.get('arg', 'index')
task = chip._get_task(step, index)
_, task = get_tool_task(chip, step, index)

chip.set('tool', tool, 'task', task, 'var', 'to_remove',
'strings to remove from the Verilog source file',
Expand All @@ -23,7 +24,7 @@ def runtime_options(chip):
tool = 'sed'
step = chip.get('arg', 'step')
index = chip.get('arg', 'index')
task = chip._get_task(step, index)
_, task = get_tool_task(chip, step, index)
design = chip.top()

infile = f'inputs/{design}.v'
Expand Down