Skip to content

A simple up-down counter made using icarus verilog as a part of the Digital Design and Computer Organization course (UE18CS201) at PES University.

Notifications You must be signed in to change notification settings

aditeyabaral/up-down-counter

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

6 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Up-Down-Counter

A simple up-down counter project made using icarus verilog as a part of the Digital Design and Computer Organization course (UE18CS201) at PES University.

Created and tested on Linux Environment :)

Compilation

Use the following commands to compile the file with the testbench and create the image:

iverilog -o Counter Counter.v CounterTB.v
vvp Counter

Finally, view the waveform using:

gtkwave Counter.vcd

About

A simple up-down counter made using icarus verilog as a part of the Digital Design and Computer Organization course (UE18CS201) at PES University.

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published