Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Driver][SYCL] Address issue with improper bundler call with -fsycl-link #13002

Merged
merged 5 commits into from
Mar 19, 2024

Conversation

mdtoguchi
Copy link
Contributor

The initial change to modify -fsycl-link for AOT introduced a modification to the general toolchain flow that is more aligned with the host link step. This caused a disconnect with the unbundling step from objects where we were unbundling for host and target, but not providing the needed output files.

Fix this up to allow for both the host and target output files to be available. There is an additional fix that will be needed to restrict this (i.e. only unbundle the target, and not the host), but functionally what we have in place takes care of the immediate problem at hand.

The initial change to modify -fsycl-link for AOT introduced a
modification to the general toolchain flow that is more aligned with the
host link step.  This caused a disconnect with the unbundling step from
objects where we were unbundling for host and target, but not providing
the needed output files.

Fix this up to allow for both the host and target output files to be
available.  There is an additional fix that will be needed to restrict
this (i.e. only unbundle the target, and not the host), but functionally
what we have in place takes care of the immediate problem at hand.
@mdtoguchi mdtoguchi marked this pull request as ready for review March 12, 2024 23:50
@mdtoguchi mdtoguchi requested a review from a team as a code owner March 12, 2024 23:50
Copy link
Contributor

@sarnex sarnex left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

LGTM, just minor yelling at the clouds

clang/lib/Driver/Driver.cpp Show resolved Hide resolved
@mdtoguchi
Copy link
Contributor Author

@intel/llvm-gatekeepers, this one looks ready to merge, thanks!

@bader bader merged commit c63b49d into intel:sycl Mar 19, 2024
12 checks passed
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

5 participants