Skip to content

Commit

Permalink
update documentation
Browse files Browse the repository at this point in the history
  • Loading branch information
sgherbst committed Apr 17, 2024
1 parent c48f581 commit dce7d3a
Showing 1 changed file with 7 additions and 7 deletions.
14 changes: 7 additions & 7 deletions examples/python/testbench.sv
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,7 @@ module testbench (
`SB_CREATE_CLOCK(clk)
`endif

localparam DW=256;
localparam integer DW=256;

// SB RX port

Expand All @@ -24,7 +24,7 @@ module testbench (
`SB_WIRES(from_rtl, DW);
`SB_TO_QUEUE_SIM(from_rtl, DW);

// custom modification of packet
// loopback with data modification (add "1" to data)

genvar i;
generate
Expand All @@ -38,16 +38,16 @@ module testbench (
assign from_rtl_valid = to_rtl_valid;
assign to_rtl_ready = from_rtl_ready;

// Waveforms

`SB_SETUP_PROBES

// $finish
// end simulation after receiving a packet of all 1's

always @(posedge clk) begin
if (to_rtl_valid && ((&to_rtl_data) == 1'b1)) begin
$finish;
end
end

// Waveforms

`SB_SETUP_PROBES

endmodule

0 comments on commit dce7d3a

Please sign in to comment.